You are on page 1of 34

HC VIN CNG NGH BU CHNH VIN THNG KHOA K THUT IN T 1

---*$*---

BO CO TI NGHIN CU KHOA HC SINH VIN


ti:

iu khin robot nhn bit bng hng ngoi s dng FPGA


M s: 18-SV-DT-2009 Gio vin hng dn: Mnh H Sinh vin thc hin: Nguyn Tun ng L Thanh Lm Nguyn Vn Thanh Lp : D07KTDT1

_ H Ni, 12 /2009_

Mc lc
Mc lc.....................................................................................................................3 Li ni u................................................................................................................4 I. Mc ch ti......................................................................................................6 1.1 Tm hiu v cng c m t phn cng VHDL................................................6 1.2 Tm hiu v Cng c lp trnh FPGA ...........................................................7 1.3.Robot t hnh.................................................................................................8 II.Thit k phn cng..............................................................................................10 2.1 H thng cm bin........................................................................................10 2.1.1 nh ngha............................................................................................10 2.1.2 Cc loi cm bin...................................................................................11 2.1.3 Led hng ngoi (Light Emiting Diode )...............................................11 2.1.5 Mch in b cm bin :..........................................................................15 2.2. Mch cng sut iu khin ng c............................................................15 2.2.1. Hot ng ca DC motor ...................................................................16 2.2.2 iu khin Dc motor bng rle(RELAY).............................................17 2.2.3.iu khin chiu quay cho DC motor dng rle...................................18 2.2.4. iu xung PWM, iu chnh vn tc cho ng c..............................20 III.Lp trnh iu khin...........................................................................................22 3.1.Gii thiu v kit th nghim CPLD COOLRUNNER II 256 TQ144........22 3.2.Lp trnh trn KIT th nghim......................................................................23 3.3.S khi mch iu khin.........................................................................24 3.4 cch b tr cm bin trn robot.....................................................................25 3.5 Bng trng thi iu khin cho ng c......................................................25 3.6 Trng thi hot ng FSM............................................................................26 3.7 Code ca modul iu khin robot................................................................26 IV Kt thc ti....................................................................................................29 PH LC CNG TI........................................................................30 TI LIU THAM KHO......................................................................................34

Li ni u
Ngy nay cng ngh Logic s pht trin rt mnh m v l cng ngh ch o trong vic pht trin cc sn phm in-in t cng nghip v dn dng,iu khin t ng,vin thng v cng ngh thng tin.Hin nay c rt nhiu loi cng ngh logic s khc nhau c s dng thc hin cc thit k logic s.Mt trong s l cng ngh logic kh trnh (Programmable Logic).Mt cu kin logic c th lp trnh c(PLD) l mt IC s m ngi dng c th cu hnh chng c kh nng thc hin cc chc nng logic nh mong mun.y l 1 chip LSI c cha 1 cu trc bnh thng v cho php nh thit k to ty bin cho n dng cho bt k mt ng dng c bit no,tc l n c th c ngi dng lp trnh thc hin mt chc nng cn thit cho ng dng ca h. Cc PLD c cc u im sau: Thi gian thit k ng dng ngn. Chi ph pht trin thp . Gim thiu c yu cu khong trng trn bng mch. Gim thiu c yu cu v in. Bo m tnh bo mt ca thit k. Tc chuyn mch nhanh hn. Mt tch hp cao . Chi ph sn xut s lng ln thp. PLD cng cho php nh thit k c nhiu phng tin linh ng hn th nghim vi cc bn thit k bi v chng c th lp trnh li trong vi giy. Vi nhiu u im nh vy nn hin nay c mt s lng ln cc PLD c cc nh sn xut IC to ra vi nhiu tnh nng a dng v nhiu ty chn c sn nh thit k mch c th s dng mt cch ph bin. Cng vi vic pht trin khng ngng ca khoa hc k thut hin nay th nn cng ng robot ang pht trin mt cch v cng mnh m.Robot c ng dng rt nhiu trong cc lnh vc nh cng nghip,hng khng,v tr,dch vTro lu thit k robot ang din ra mt cch si ni nht l trong b phn sinh vin.Nhiu cuc thi sng to robocon thu ht khng t cc bn sinh vin v cc trng i hc ln,tuy nhin vi iu kin nghin cu v kin thc c hn nn cc m hnh robot khng qu phc tp.Vi yu cu nh vy th chn 1 vi iu khin nh VDK8051(P89V51RD2),VDK PIC(16F877A),VDK AVR(ETEMEGA 16L) l kh ph bin.Tuy nhin vic thit k phn cng trong vic iu khin robot l kh phc tp.V vy song song vi vic tm hiu mt s cu trc ca PLD nh : Mng logic kh trnh(PLA).Logic mng kh trnh(PAL),cu kin logic kh trnh n gin (SPLD), v mng cng c th lp trnh theo trng (FPGA). Nhm chng em chn thit k robot t hnh,nhn bit bng 4

hng ngoi v th nghim trn KIT CPLD nhm tng kh nng thit k logic v tn dng c nhng u im ca cc PLD nh ni trn. Chng em xin chn thnh cm n s tn tnh hng dn ca Thy Mnh H, cng tt c cc thy c trong b mn K thut in t trong sut thi gian thc hin ti ny. Vi s tn tnh gip kt hp vi s n lc c gng ca c nhm, chng em hon thnh ti ny. Tuy nhin, do hn ch v mt thi gian cng nh kin thc cha su, nn ti c th s khng trnh khi nhng thiu xt. Chng em xin chn thnh tip thu nhng kin ch bo ng gp ca thy c cng ton th cc bn ti s hon thin tt hn v c th ng dng tt trong thc t. Xin chn thnh cm n ! Nhm sinh vin thc hin ti

I. Mc ch ti
1.1 Tm hiu v cng c m t phn cng VHDL
Gii thiu v VHDL VHDL l ngn ng m t phn cng cho cc mch tch hp tc rt cao, l mt loi ngn ng m t phn cng c pht trin dng cho chng trnh VHSIC( Very High Speed Itergrated Circuit) ca b quc phng M. Mc tiu ca vic pht trin VHDL l c c mt ngn ng m phng phn cng tiu chun v thng nht cho php th nghim cc h thng s nhanh hn cng nh cho php d dng a cc h thng vo ng dng trong thc t. Ngn ng VHDL c ba cng ty Intermetics, IBM v Texas Instruments btu nghin cu pht trin vo thng 7 nm 1983. Phin bn u tin c cng b vo thng 8-1985. Sau VHDL c xut t chc IEEE xem xt thnh mt tiu chun chung. Nm 1987 a ra tiu chun v VHDL( tiu chun IEEE-1076 1987). VHDL c pht trin gii quyt cc kh khn trong vic pht trin, thay i v lp ti liu cho cc h thng s. VHDL l mt ngn ng c lp khng gn vi bt k mt phng php thit k, mt b m t hay cng ngh phn cng no. Ngi thit k c th t do la chn cng ngh, phng php thit k trong khi ch s dng mt ngn ng duy nht. V khi em so snh vi cc ngn ng m phng phn cng khc ta thy VHDL c mt s u im hn hn l: - Th nht l tnh cng cng: VHDL c pht trin di s bo tr ca chnh ph M v hin nay l mt tiu chun ca IEEE. VHDL c s h tr ca nhiu nh sn xut thit b cng nh nhiu nh cung cp cng c thit k m phng h thng. - Th hai l kh nng c h tr bi nhiu cng ngh v nhiu phng php thit k: VHDL cho php thit k bng nhiu phng php v d phng php thit k t trn xung, hay t di ln da vo cc th vin sn c. VHDL cng h tr cho nhiu loi cng c xy dng mch nh s dng cng ngh ng b hay khng ng b, s dng ma trn lp trnh c hay s dng mng ngu nhin. - Th ba l tnh c lp vi cng ngh: VHDL hon ton c lp vi cng ngh ch to phn cng. Mt m t h thng dng VHDL thit k mc cng c th c chuyn thnh cc bn tng hp mch khc nhau tu thuc cng ngh ch to phn cng mi ra i n c th c p dng ngay cho cc h thng thit k. - Th t l kh nng m t m rng: 6

VHDL cho php m t hot ng ca phn cng t mc h thng s cho n mc cng. VHDL c kh nng m t hot ng ca h thng trn nhiu mc nhng ch s dng mt c php cht ch thng nht cho mi mc. Nh th ta c th m phng mt bn thit k bao gm c cc h con c m t chi tit. - Th nm l kh nng trao i kt qu: V VHDL l mt tiu chun c chp nhn, nn mt m hnh VHDL c th chy trn mi b m t p ng c tiu chun VHDL. Cc kt qu m t h thng c th c trao i gia cc nh thit k s dng cng c thit kkhc nhau nhng cng tun theo tiu chun VHDL. Cng nh mt nhm thit k c th trao i m t mc cao ca cc h thng con trong mt h thng ln (trong cc h con c thit k c lp). - Th su l kh nng h tr thit k mc ln v kh nng s dng li cc thit k: VHDL c pht trin nh mt ngn ng lp trnh bc cao, v vy n c th c s dng thit k mt h thng ln vi s tham gia ca mt nhm nhiu ngi. Bn trong ngn ng VHDL c nhiu tnh nng h tr vic qun l, th nghim v chia s thit k. V n cng cho php dng li cc phn c sn.

1.2 Tm hiu v Cng c lp trnh FPGA


Khai thc, nghin cu c bn cng ngh mi l bc khng th thiu trong vic ci tin, nng cao cng nh ch to mi cc trang thit b qun s v dn s nhm p ng vic hin i ha cng nghip ha t nc. Cng vi s pht trin vt bc ca ngnh cng ngh thng tin cc cng ngh mi v cc mch tch hp vi in t, cc mch t hp logic lp trnh c ra i lm cho cc sn phm qun s cng nh dn s ngy cng hon thin v u vit hn. tin mt bc xa hn trong vic ci tin cc thit b in t hin i p ng nhu cu ngy cng cao ca con ngi vi tc x l cc k cao, i hi phi c cng ngh tin tin ph hp vi tnh hnh chung ca th gii. Trn c s pht trin cc chip PLA hin nay cng ngh nano c a vo ch to cc mch tch hp lp trnh c FPGA & CPLD, n lm cho mch tch hp logic ln ti hng chc triu cng, tc ng h ln n 500MHz. ng dng cng ngh mi vo trong thit k ch to cc thit b in t lp trnh PLIC l mt bc cn thit cho tng lai vi mt nc pht trin nh Vit Nam. p ng c tnh bo mt v nhu cu chuyn dng ha, ti u ha(thi gian, khng gian, gi thnh), tnh ch ng trong cng vic.ngy cng i hi kht khe. Vic a ra cng ngh mi trong lnh vc ch to mch in t p ng nhng nhu cu trn l hon ton cp thit mang tnh thc t cao. Cng ngh FPGA ( Field Programmable Gate Array) v CPLD(Complex Programmable Logic Device) c cc hng ln tp trung nghin cu v ch to in hnh l Xilinx v Altera. lm ch cng ngh mi v t chc thit 7

k sn xut cng ngh FPGA ca xilinx cho php chng ta t thit k nhng vi mch ring, nhng b x l s ring dnh cho ng dng ca chng ta.c bit trong lnh vc x l tn hiu s cc mch tch hp dng nhn dng m thanh, hnh nh, cm bin. vi tnh mm do cao v gi thnh thp. Mc d cng ngh FPGA xut hin t nm 1985, xong i vi nc ta th n vn cn rt mi do vy tm hiu, lm ch cng ngh FPGA l vic lm hon ton cn thit.

1.3.Robot t hnh
Robot t hnh hay robot di ng (mobile robots, thng c gi tt l mobots) c nh ngha l mt loi xe robot c kh nng t dch chuyn, t vn ng (c th lp trnhli c) di s in khin t ng thc hin thnh cng cng vic c giao. Theo l thuyt, mi trng hot ng ca robot t hnh c th l t, nc, khng kh, khng gian v tr hay s t hp gia chng. a hnh b mt m robot di chuyn trn c th bng phng hoc thay i, li lm. Theo b phn thc hin chuyn ng, ta c th chia robot t hnh lm 2 lp: chuyn ng bng chn (legged) v bng bnh (wheeled). Trong lp u tin, chuyn ng c c nh cc chn c kh bt chc chuyn ng ca con ngi v ng vt (hnh 1.1g,h). Robot loi ny c th di chuyn rt tt trn cc nh hnh li lm, phc tp. Tuy nhin,cch phi hp cc chn cng nh vn gi vng t th l cng vic cc k kh khn. Lp cn li (di chuyn bng bnh) t ra thc t hn, chng c th lm vic tt trn hu ht cc a hnh do con ngi to ra. iu khin robot di chuyn bng bnh cng n gin hn nhiu, gn nh lun m bo tnh n nh cho robot. Lp ny c th chia lm 3 loi robot: Loi chuyn ng bng bnh xe (ph bin) (hnh 1.1a, b, c, f, i), loi chuyn ng bng vng xch (khi cn m men pht ng ln hay khi cn di chuyn trn vng m ly, ct v bng tuyt) (hnh 1.1d, e), v loi hn hp bnh xe v xch (t gp). Tim nng ng dng ca robot t hnh ht sc rng ln. C th k n robot vn chuyn vt liu, hng ha trong cc ta nh, nh my, ca hng, sn bay v th vin; robot phc v qut dn ng ph, khoang chn khng; robot kim tra trong mi trng nguy him; robot canh gc, do thm; robot khm ph khng gian, di chuyn trn hnh tinh; robot hn, sn trong nh my; robot xe ln phc v ngi khuyt tt; robot phc v sinh hot gia nh v.v... Mc d nhu cu ng dng cao, nhng nhng hn ch cha gii quyt c ca robot t hnh, nh chi ph ch to cao, khng cho php chng c s dng rng ri. Mt nhc im khc ca robot t hnh phi k n l cn thiu tnh linh hot v thch ng khi lm vic nhng v tr khc nhau. Bi ton tm ng (navigation problem) ca 8

robot t hnh cng khng phi l loi bi ton n gin nh nhiu ngi ngh lc ban u. Trong ti ny, bi ton tm ng cng nh m hnh robot s c gii quyt mc khng qu phc tp bng ngn ng m t phn cng VHDL, trn cng c lp trnh FPGA

a)

b)

c)

d)

e)

f)

h)

g)

i) Hnh 1.1. Mt s hnh nh v robot t hnh

a) Robot Nomad 150. b) S kt hp gia robot t hnh Nomadic XR4000 v cnh tay robot PUMA 560. c) Robot con ra. d) Robot Houdini di chuyn bng bnh xch. e) Robot bn t ng nghin cu vng cc bc. f) Robot SRR v FIDO ca NASAg) Robot t hnh bng chn Spiderbot di chuyn trn sao Ha. h)Robot su chn Genghis. i) Robot Meet Timbot dng test cc phn mm h thng nhng trong phng th nghim.

II.Thit k phn cng

2.1 H thng cm bin


2.1.1 nh ngha - Trong mt con robot c bn. Mt trong nhng phn quan trng nht trong robot chnh l h thng cm bin. Vy cm bin l g? 10

+ Cm bin c nh ngha l cc gic quan ca robot.Robot cn xc nh trng thi ca mi trng bn ngoi( nh l cc vch trng, mu sc ca cc chng ngi vt.) gi trng thi mi trng n b x l ri a ra cc phn ng iu khin robot i ph vi cc s kin bn ngoi y. 2.1.2 Cc loi cm bin. -Trong k thut robot ngi ta s dng nhiu loi cm bin nh l: + Led hng ngoi (B pht thu hng ngoi) + Quang in tr (PHOTORESISTANCE) : cds hoc cdse + T bo quang in + Cm bin cng nghip + Camera s trong cng ngh x l nh Trong ti ny chng ti xin c gii thiu mt s thit b cm bin thng dng. 2.1.3 Led hng ngoi (Light Emiting Diode )

Hnh 2.1 nh ca mt b thu pht hng ngoi Led hng ngoi l mt loi cm bin c s dng rt nhiu v gi thnh r.

11

1.C ch hot ng.

Nh trn chng ta thy hnh bn tri : Light Source l mt b pht hng ngoi hoc l mt ngun sang no c tia hng ngoi.Khi chiu xung 1 b mt mu en hoc b mt c mu sm, ti th cc nh sng s c b mt hp th & lng nh sng b bc x tr li rt t, nn b thu nhn c nh sng phn hi t. Ta xt hnh bn tri : Khi b mt mu trng hoc mu sng th nh sng c pht bi b pht hng ngoi th s khng b hp th bi b mt m b ht di ngc li v khi u thu s thu mt lng tia hng ngoi ln. => Do Led hng ngoi c th phn bit c b cm bin ang chiu vo mt vt mu sng hay mu ti truyn ti b x l. 2.Cu to b pht hng ngoi :

12

Hnh 2.2 Cu to b pht hng ngoi Hnh trn l cu to ca n led pht hng ngoi.Chn anode c ni vi cc dng ca ngun in.Chn cathode c ni t(cc m) Thng thng th chn anode di hn chn cathode. 3. Cu to b thu hng ngoi :

Hnh 2.3 Cu to b thu hng ngoi Cu to Quang tr gm mt lp cht bn dn (cadimi sunfua CdS chng hn) ph trn mt tm nha cch in . C hai in cc v gn vo lp cht bn dn 13

Ni mt ngun khong vi vn vi quang tr thng qua mt miliampe k. Ta thy khi quang tr c t trong ti th trong mch khng c dng in. Khi chiu quang tr bng nh sng c bc sng ngn(nh sng hng ngoi)hn gii hn quang dn ca quang tr th s xut hin dng in trong mch. in tr ca quang tr gim i rt mnh khi b chiu sng bi nh sng ni trn. o in tr ca quang tr CdS, ngi ta thy: khi khng b chiu sng, in trng ca n vo khong 3.10 6 om; khi b chiu sng, in tr ca n ch cn khong 20 om . 2.1.4 S nguyn l ca b cm bin :

Hnh 2.4 S nguyn l ca b cm bin + Led y l b pht hng ngoi cn quang tr l b thu. Nguyn l hot ng: Trong s nguyn l trn ,cp led thu pht c t st nhau ,mt chn ca quang tr c a v LM324 .LM324 l IC khuch i so snh ,mc ch khuych i tn hiu t sensor. Khi hot ng ,led pht chiu tia hng ngoi xung sn thi u ,khi chm tia hng ngoi chiu xung nn en , quang tr khng nhn c chm phn x nn khng dn ,in tr bng v cng ,tn hiu ra LM324 l mc 1 c a vo FPGA.Tng t ,khi robot gp nn trng quang tr nhn c chm phn x,in tr gim nn dn,tn hiu ra LM323 l mc 0 c a vo FPGA.

14

2.1.5 Mch in b cm bin :

Hnh 2.5 Mch in b cm bin Cch b tr cc led trn mch in:

2.2. Mch cng sut iu khin ng c.


ng c m nhm s dng l ng c mt chiu (DC motor). ng c mt chiu c cu to t hai b phn : B phn ng yn c cu to t nam chm vnh cu c gi l Stato v mt b phn quay c cu to t mt nam chm nhn to c cu to t mt cun dy ng c li l mt thanh kim loi c gi l Rotor.

15

Hnh 2.7 Hnh nh v ng c DC

2.2.1. Hot ng ca DC motor Hnh a

Khi cho dng in mt chiu i qua motor s khin motor quay theo chiu nh hnh a. Hnh b

16

Khi ta o 2 cc ca dng in ng c o chiu nh hnh b ng c DC cho dng in mt chiu chy qua v lm quay Roto dn n motor quay, nu ta i chiu dng in th motor s quay theo chiu ngc li.Do c th iu chnh c hng quay ca motor.c tnh k thut ca hu ht ng c motor l tc quay (Vng/pht) cao v moment ngu lc thp. Nhng m hu ht Robot cn tc quay chm v moment ngu lc cao. Do mt hp s c th c lp vo DC motor nhm gim tc quay v lm tng moment ngu lc.Trn hp s thng ghi r ch s truyn ng ch trc v sau hp s. V D: Tc quay ca mt DC motor l 1000 Vng/ Pht c gn vo mt hp s 1000/ 1. C ngha l tc quay ca u ra hp s c 1/1000 ca tc DC motor khi cha gn hp s -> Vn tc hp s bng vn tc DC motor chia cho 1000. 2.2.2 iu khin Dc motor bng rle(RELAY) Rle l mt IC c cu to bi mt mch in c mt on mch ni vi mt ci bn l c m hoc ng nh mt cun dy nam chm. 1. S nguyn l ca Rle:

Hnh 2.8 S nguyn l ca rle 2. Nguyn l hot ng Nguyn l hot ng ca Rle l cun dy khi c dng in s ht cc thanh kim loi v pha cun dy, lp tc hai thanh kim loi ny s ri b hai u dy c v tip xc vi hai u dy khc: Ta xt hnh th nht:

17

+ Lc ny khi cha c dng in i qua cun dy nam chm (2 cc u l x) cc thanh kim loi c ni u 1 vi u a,u 2 vi u c.Do vy dng in ch u 1 n u a v t u 2 n u c. Ta xt hnh th 2:

+ Lc ny c dng in i qua cun dy nam chm,cun dy s ht cc thanh kim loi v pha cun dy .Do lc ny cc thanh kim loi s ni u 1 n u b,u 2 n u d Dng in s ch i t u 1 vo u b ,u 2 n u d. Vy nguyn l ca hot ng ca rle l bin i dng in thnh t trng thng qua cun dy,t trng to thnh lc c hc thng qua lc ht thc hin 1 ng tc v c kh nh ng m cng tc ,ng m hnh trnh ca mt thit b t ng. 2.2.3.iu khin chiu quay cho DC motor dng rle. 1.S mch nguyn l:

18

Hnh 2.9 S nguyn l iu khin chiu quay cho mot DC Loi rle lm vic trong mch robot t hnh ny l loi rle 8 chn(2 tip im).Mch rle diu khin ng c gm 2 tn hiu iu khin.Mt tn hiu ly ra xung t FPGA c tc dng ng m con FET(IR540).Ngoi vic ging nh 1 cng tc ng m mc tn hiu vo ng c, FET cn c tc dng bo v ng c mi khi ng c o chiu,mc chu p cao ca FET l rt ln.Mt tn hiu dng o chiu ng c.Lu trc khi o chiu ng c bn phi tt FET trc ,nu khng s gy cht FET. 2. S mch in :

19

Hnh 2.10 S mch in iu khin chiu quay cho mot DC 2.2.4. iu xung PWM, iu chnh vn tc cho ng c. iu xung PWM( Pulse With Moduratinon) l mt khu quan trng trong thit k robot. Qua bn c th iu khin tc linh hot cho DC motor t c th dng cc hm vn tc cho robot c th bm theo vch trng. iu xung ni chung l cch bt tt ngun in ca motor lin tc l motor lc nhn c in lc mt in.Khi tn s cao motor s quay n nh mt tc nh moment quay ca bnh xe. Xung vung l th biu hin trng thi ca ngun in. Ngun c m th motor hot ng lc ny xung vung ang trng thi cao, ngun tt th motor dng li lc ny motor ang trng thi thp. Tc motor t l trung bnh vi di ca xung vung.Sau y l 1 s trng thi hot ng:

20

Hnh 2.12 Trng thi hot ng Ta xem cc xung trn,mi xung d l 20% ,50% hay 80% u c hai trng thi l 12V v 0V.12V c gi l trng thi cao, mc Trong mt ny motor s quay.Cn 0V 21

l trng thi thp, trng thi ny motor s c in p dng. biu xung,tng phn trm ca trng thi cao v trng thi thp s l 100% v con s 20%, 50% hay 80% l xung cao.Cho nn s phn trm thp = 100% - % xung cao.Nu di xung cng rng th tc motor cng cao do motor t c tc cao nht khi motor c gi n xung 100% v motor dng khi c 0% c gi ti. Chng ta c th s dng knh iu xung ca kit CPLD to ra cc mc vn tc nh mong mun.

III.Lp trnh iu khin

3.1.Gii thiu v kit th nghim CPLD COOLRUNNER II 256 TQ144


The CooRunner II 256 TQ144 l mt trong nhng b kit CPLD ca xilinx. B kit bao gm ngun cung cp vi hiu qu cao,b to dao ng c th cu hnh , cc cng giao tip I/0,ng h o thi gian thc v cng USB cp ngun v lp trnh cho CPLD .B KIT c 5 cng m rng vi 64 tn hiu giao tip t CPLD ra mch ngoi gip m rng kh nng kt ni. Cc c im ni bt ca KIT: 256 khi Coolrunner II CPLD trong gi TQ 144 C cng USB cp ngun ,lp trnh v chuyn d liu ngi dung B dao ng c th thay i c (1000/100/10khz) ,them vo l c khe np b dao ng thch anh th 2 64 tn hiu I/0 trn cc cng giao tip(32 trn cc cng ni tip ,32 trn cc cng song song) Cc ming m cho SPI PROM gn trong

22

Hnh 3.1 CoolRunner II 256 TQ144

Hnh 3.2 S khi ca KIT CPLD CoolRunner II 256 TQ144

3.2.Lp trnh trn KIT th nghim


Modul iu khin c vit trn VHDL mt ngn ng m t phn cng ca Xilinx s dng phn mm Xilinx Integrated Software Environment (ISE) v.10.0 .Vic u tin l son tho vn bn c sn trong ISE vit modul v h thng qun l project ,file cu hnh cho CoolRunner II 256 TQ144 c to ra trong ISE .N bao gm nhng vic sau (tt c u c phn mm lm t ng ) :

23

Bin dch VHDL thnh s cc cng logic ,thnh phn ca mch (thng qua cng c tng hp XST) V s da trn nhng ti nguyn c sn trn FPGA Sp xp cc thnh phn t s ti u nht File cu hnh c np vo CPLD qua giao tip JTAG .Kt qu ca qu trnh ti u ha c th dn n s thay i cc file gc v cc chu trnh sau .

3.3.S khi mch iu khin

Hnh 3.3 S khi mch iu khin Mch iu khin s c lp trnh to ra 3 khi Khi pht hin ng dn: C nhim v x l tn hiu t sensor d ng a vo FPGA. Khi iu khin chuyn ng : Nhn tn hiu iu khin t khi pht hin ng dn v a ra mc iu khin hp l. Khi to xung : Pht xung cho hai ng c gip ng c c th hot ng linh hot bm theo ng dn.

24

3.4 cch b tr cm bin trn robot

Hnh 3.4 Cch b tr cm trn robot Trong mch ny chng ti s dng 3 cp thu pht hng ngoi d ng.Khi cp thu pht gia chm vch trng mc tn hiu a vo FPGA tng ng l R=101.Khi cp thu pht bn tri chm vch trng mc tn hiu a vo FPGA l R=011.Tng t khi cp thu pht bn phi chm vch trng th tn hiu a vo FPGA s l R=110.

3.5 Bng trng thi iu khin cho ng c


Sau y l bng trng thi iu khin cho ng c:

Hnh 3.5 Trng thi iu khin ng c 25

Mc 1 s lm cho ng c hot ng.Khi mun robot i li ta ch cn o chiu c hai ng c.

3.6 Trng thi hot ng FSM


S biu din cc trng thi hot ng ca robot:

Hnh 3.6 Trng thi hot ng

3.7 Code ca modul iu khin robot


library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity robot is Port ( cb : in STD_LOGIC_VECTOR (2 downto 0); clk : in STD_LOGIC; dctrai : out STD_LOGIC; dcphai : out STD_LOGIC; chieutrai : out STD_LOGIC; chieuphai : out STD_LOGIC); end robot;

26

architecture Behavioral of robot is --bo dem signal dem : std_logic_vector (6 downto 0) :="0000000"; signal clk50hz : std_logic :='0'; begin process(clk,dem) begin if(dem = "1100100") then dem <= "0000000" ; else if(clk'event and clk = '1') then dem <= dem + 1; end if; end if; end process; process(dem) begin if(dem = "1100100") then clk50hz <= not clk50hz; end if; end process; process(cb) begin case cb is --robot re trai when "011" => dctrai <= clk50hz; dcphai <= '1'; chieutrai <= '1'; chieuphai <= '1'; --robot re phai when "110" => dctrai <= '1'; dcphai <= clk50hz; chieutrai <= '1'; chieuphai <= '1'; 27

--robot quay vong tron when "000" => dctrai <= '1'; dcphai <= '1'; chieutrai <= '0'; chieuphai <= '1'; --robot quay tron when "111" => dctrai <= '1'; dcphai <= '1'; chieutrai <= '0'; chieuphai <= '1'; --robot di thang when others => dctrai <= '1'; dcphai <= '1'; chieutrai <= '1'; chieuphai <= '1'; end case; end process; end Behavioral;

28

IV Kt thc ti
Nhm thit k v chy th nghim thnh cng trn KIT CPLD CollRuneer II 256 TQ144 .Tuy nhin kh khn ln nht m nhm gp phi chnh l khu thit k v lm phn cng.Do thi gian v s lng kin thc c hn nn chc chn ti s khng trnh khi c sai st. Xin trn thnh cm n cc thy c gio trong khoa k thut in t gip chng em trong sut qu trnh hc tp v nghin cu. Rt mong nhn c nhng kin ng gp ca cc thy c chng em c th hon thin hn kin thc ca mnh.

H Ni ngy 1 thng 12 nm 2009 Nhm sinh vin

29

PH LC CNG TI
HC VIN CNG NGH BU CHNH VIN THNG Khoa K thut in t 1 ===***=== CNG HO X HI CH NGHA VIT NAM c lp - T do - Hnh phc ==o0o== H Ni, ngy 28 thng 9 nm 2009

CNG NGHIN CU KHOA HC SINH VIN


1. Tn ti: iu khin ROBOT nhn dng bng hng ngoi dng FPGA. M s:21-SV-DT-2009 2. Thuc lnh vc: Thit k logic s 3. Mc tiu, ni dung v kt qu ti a. Mc tiu: Gip sinh vin lm quen vi cng tc nghiu cu khoa hc. Lm quen vi k thit k logic s, pht trin cc ng dng trn nn FPGA. b. Ni dung: Xy dng m hnh ROBOT t hnh. Thit k b iu khin nhn dng s dng hng ngoi Thit k mch iu khin cho ROBOT t hnh. c. Kt qu: Bo co kt qu ti Bi bo tham d hi ngh khoa hc SV M hnh ROBOT t hnh. Khoa K thut in t 1, Hc vin Cng ngh Bu chnh Vin thng. 5. C quan phi hp (nu c): 6. Ch tr ti: Nguyn Tun ng D07KTT1 7. Nhng ngi tham gia thc hin: L Thanh Lm D07KTT1 Nguyn Vn Thanh D07KTT1 30

4. n v ch tr ti:

8. Ngi hng dn: - Mnh H 9. S lc tnh hnh nghin cu, iu tra trong v ngoi nc: a. S lc tnh hnh: + Trong nc: Hin ti c mt s nghin cu v vn ny nhng cha c kt qu y . Cha ng dng vo iu kin c th Vit Nam. + Ngoi nc: c nghin cu thnh cng nht l trong sinh vin ca cc trng i hc ni ting trn th gii cng chuyn ngnh in t v K thut My tnh. b. Nhu cu thc t v kh nng p dng kt qu ti: ti thc hin mt nghin cu v giao tip ngoi vi ghp ni chip iu khin. 10. Sn phm giao np ca ti Dng sn phm I Mu sn phm:

Dng sn phm II Qui trnh cng ngh k thut

Dng sn phm III Chng trnh my tnh Phng php, gii php

Vt liu: Thit b, dng c

Phng php cng ngh

n qui hoch S , bng s liu Khc

a. Kt qu sn phm S TT 1 2 Chng trnh demo Bo co kt qu nghin cu quy n 07 Tn sn phm .v tnh S lng Ch thch

b. Yu cu k thut, chi tit cht lng i vi sn phm (dng 1)

31

S TT 1

Tn sn phm v ch tiu cht lng

n v

Mc cht lng Cn t Tham kho

Ch thch

c. Yu cu khoa hc, kinh t x hi i vi sn phm (dng II, III) S TT 1 2 Bo co kt qu ti dung Bo co tm tt ti ng trong k yu Hi ngh KH SV c chp nhn Khoa hc v ni Tn sn phm Yu cu c th cn t Ch thch

11. Tin thc hin cc ni dung S TT 1 2 3 Xy dng ROBOT t hnh m hnh Ni dung tng bc Thi gian thc hin Thng 8/2008 910Kt qu cn t
Bo co nghin cu a ra m hnh

Thit k b iu khin Thng nhn dng s dng hng ngoi 10/2008 Thit k mch iu khin Thng cho ROBOT t hnh 11/2008

Thit k mu

12. Bng d ton kinh ph S TT 1. 2. 3. 4. 5. Ni dung cc khon chi Xy dng v duyt cng nghin cu Vit bo co kt qu nghin cu Hi tho khoa hc Nghim thu Chi ph vn phng phm, photo copy, ng quyn Tng cng: (mt triu nm trm nghn ng chn) Thnh tin 320.000 500.000 150.000 350.000 180.000 1.500.000

32

CH TR TI

N V THC HIN TRNG KHOA

Nguyn Tun ng NGI HNG DN

GS.TS Nguyn Bnh

Mnh H

33

TI LIU THAM KHO


1. Slide bi ging Lectures VHDL. Mnh H. Hc vin Cng Ngh Bu Chnh Vin Thng. 2. Thit k thit b in t lp trnh s dng cng ngh FPGA v CPLD. PGS. TS Nguyn Tng Cng. Hc vin K Thut Qun S. 3. Gio trnh in t s. Mnh H, Trn Th Thy H. Hc vin Cng Ngh Bu Chnh Vin Thng. 4. FPGA Prototyping by VHDL Examples Xilinx Spartan-3 Version.Feb.2008. Pong P.Chu. Cleveland State University. 5. Cc website: http://dientuvietnam.net; http://www.kythuatvien.com; http://www.picvietnam.com;

34

You might also like