You are on page 1of 298

TRUONG DAI HQC BAcH KHOA HA Nor

7 LlNH GIANG
/'
K
www.Beenvn.com - download sach mien phi

TRUONG HQC BAcH KHOA HA N(n
NGUYEN LINH GIANG
A' -'
THIET KE
,
H BANG MAy TiNH
Giao trluh cho sinh vien Cong Thong tin, tit Vien thong ...
cae truOng hQc, cao dfing ky thuQc cae dolo t.w
,
NHA. XU'\T nAN KHOA HOC vA KY THUST
HA N(JI
www.Beenvn.com - download sach mien phi
6-6C2-01-6T7.3
-----113-244-03
KHKT-03
www.Beenvn.com - download sach mien phi
Uri n6i dilu
ClIng nii .\'1/ ,i('// h(1 ella khoa !rec k.v fhlll)t, 11/ d(Jtlg h()(l rhie'" k(
("{Ie m(lch fl'( drillg nI(Jr \'(/i lui qllall hellg '/"o/lg "/(;(" tlllle del)' Sf.'" pil(I'
tri/n ".f rllll(l{ tilllt (mill. TII'I11117)lg IIdm 50 n;a lilt k)" 20, (III(/ 161111 pluff/ric'll
k} rllll(tt fir dd qlla Jlhi/u giai do(w: 1/( IIllling b/i/Ig dhl /If'd6!
nlurllg h/mg /)(111 deln, qua IIltirllg nlWh licli IWp IIlId r6i {(il llliii'lig mwh \'(1'/
nl/lt d() Ifcit IWp!/fIl I't/ sihr 1/111. NIIII)lg nlWh licit IWp loai 1/(1), CfY fli/ ell/'(O
itdllg finl! kii;'11 h/m d/i/l (FCII nl(J{ II1Wh. \ 'f (il.l di(;'1 flil/II h, ("lIe h(! )'i XII'
'-". Vi('(' lf1i(" k( /lll/l'lIg mach l'I)'/ d(i tidl it(IP hl/l \'(/ S/('II I!J"f/ k!/{)/lg 111/ ///1/('
nl()t ('(leI! tllli C/jng. /lid plu;i u; Sl/ Xilip (hY (,Iiu mciy lillh.
SUII f1l()/ .1'/;' lIlinl guing nUJ/I hee 'Till'" I,j nrw-II 1/1/(')' nUlv Ifnll"
Klloa CrJng Ilg/i TII/mg till, TI'II/)'n,!!, D(li h(lc H(icil kho(l Hti N()i, Il'hl ('(/
sri Tlwm khdo kilili nghinr gidng Clio ('(Ie ci(ing IIglli{'p I'({ cdc tlli
!1r1l(!C flllh 1'1,11 lIay, ell/ilig IrJl hiL;1I S()(III gido trillil c/to /Jujll hpc II(IY, nh/im
(,illig (,(I{) till tllOm I-Iu/o clio sil/II I'h'lI ('1111.\'('/1 IIgilllh C/Jllg TJU)/lg
lill, tlrVitll tll/lllg I'd 1I11I(I:g (Ii (II/Ifil (tim tim hilu limon Ii(H' Irc'lI,
"j rollg CII(;'II s(leh I/(I.\' ellllJlg t(ii Iril/It hay nlc giw doqn qllWI !r(ln:.;
lrung (/1/(/ Irin/r {hie" k(j'I'd .will XI/(I! cdc mw'lI tleh l/(ir tmllg ('(illg
Qml trillil 1/(/-,,: hao g(JJ1l ('(Ie /JI(//{' IIlIi hill" IUla m(lch tn'/! CUI' nll(c d(j chi lu't
kll(ic 111/((11, xciy dl.olg mwh 1'(/ ki/m IlI(ICII, Clllillg I{)i kluJ/lg tlwI!!
I'ellg Irinlr hdy IJr 01 C(1e 1'(111 1/ lie'll qllall I()'I lett oj cdc gilli ('[ia qil(l
Irinit .\'(III.rJ/(/{ IIId ellli Y(';' {(Ie trill/g 1'(/0 111 'I ,I()' CI)/lg Tlli(it k/ Ill/I hilll!
!UJ(I tlwch I'd ki/m {filII (hing dt/II nia Ihic;, k/ Trhl gUli 1176
hi/lft !U)(/, lrollg 1111/(' t/ ('(J IIllItll /'<.;/ill IIgl7' OJ Iht'dll'(!C Sir dllllg 111111' SPICt:,
VFRILOG, VIIDL .. , sOllg cluillg /1'1 !/'IIlIg I'c/O trill It bdy IIg/j/lllgll'\/HDL
trong gi(io Irillli lillY, !Nfi nglJII ngtr VIIDL ld tIl(Jt IIg/J1I IIgii' OJ tillfl C{/II tl'lle
('(lO, M(JI d{ic dilm (/1/(/11 Ir(!I('<; Clia IIg01l IIgll' \--HDI. Id /I() clIO p!tL;fJ flU) lei'
tfllL'! At" Tileo 11111/11 tlllit d(j ('iti !ie'; kh(IC 1111(111 - ll/' nl/rc ki(',1 tl'l/e d(',1 ('(IC cdll
Inie I'd ti(ing cI/i' Vri'i nhii)lg 11'11 di/m IIdy, Ilg/)II IIgii'FJlDL clIO phL'P xci)'
dl/Ilg C(IC Ihidf k(mwh III' 1(/lIg qlUfT dJlI clll li(", clIO pl/('p IIhd Thief /':(UI Ih/
liMn fII(;T (,(lell /('{lIg the>" qwi trin/t thit'! k( I'd: gilip clto qWI Trinh ki/m Ira tfl/It
tiling ddll uta titil'! Af dl!'(!c de ddllg. Cltfilit llit(1 md IIg()n ngii'VHDL
3
www.Beenvn.com - download sach mien phi
dll(iC Sir dUllg r(!lIg n7i [rollg djl/g IIghir'p ehe'I(Jo mwh III' (,(J de) rich
C([O .
. f\"IUfll ((If) ('11(/11 S(lefl dWfC .rudt hdll, dUIl/g I()i .rill clUJII IIie/1I1! ni//1 (m
SI/ ,l!.()jJ cllcllI tillli CI/O Ule ddllg (rollg B(j /}/(J/I Kv Mel.\"
linlt, KI/Oo C611g Tht'illg rill Trtl/iIIg D{li D(Ic/t kho(l flil N()i.
[f/(Ji, ell/il/g I()i Cllllg c//(111 11/(11111 ('(im (ill SI/ kllll.W'/1 khicfl l'rlf{1O c/I/II
Clio Nlui XI/crt bdil Khoo flee I'd flllldt dl CWil1 Sikh /1(1)" .\,()'m dl({lc ra el()'i.
CUr// Cling cluillg t(11 I/IOllg dWfC Sl/ cI(l/lg g()p ball Cliu cdc b(1I1
d(}'lIg 1'(1 d(){' Xli gd"1I d/lrill {(JI IUIII sail ('I[(}II S(lcit dl(/c hOc/II
ehillll /l(iII.
T,le gia
TS. Linh G-i:mg
4
www.Beenvn.com - download sach mien phi
MI,lC ll,lC
Trang
LO'j noi dati
Chlwng I. Ma dati vao thiet kc In<:,ch vi din tu
* 1.1. Cae pl1[lll trong thiet kc d.c mach lfeh lwp
1.2. :\16 hlnh hoa nwch din
1.3. T6ng hQP va 'oi uu hoa 111'-.lCh dung Imi), tinh
Chuang II. Co' sit toan h<;lc
*2.1. so Bool YU I}' thuyct chuyen mt.teh
Cae logic va d'.lIlg chufln uk
*2.3. T6i thicu h6a de bieu Ihue logic
7
7
,
III
12
IY
19
22
27
III. Co so ella thiCt kc logic :t'i
*3.1. D.)c diem cLIa qu!.! trlnh thic"t kc miiy tinh 35
*3.2. Cae phan IU logic C0 ban 40
Thiel ke d.e nwch t6 hQ'p '-1-3
*3.4. Nh(l'ng \'un de khi thie'l ke mach to hqp 51
*3.5. Thiel ke cae mt.teh tuan tl! 54
*3.6. Nhung van de khi ke Ci.lc m<',lch tufin 67
Chuong IV. NhO:ng khai chung ,"'c mb hloh hoa phan 77
drng
*4.1. M6 hlnh hoa philn cung
Otc ngon ngu m6 hinh hoa phun cu:ng
Otc mo hiBh tnlu tuqng
Chtwng V. eric phuong phap mt> hlnh hoa logic
.1. Co sa ma hillh hoa logic
Phuong phip ma hinh hoa hi en djch
5.3. Phuong phip mo hlnh hOil hu6ng sl! ki4n
77
78
87
95
97
106
I II
5
www.Beenvn.com - download sach mien phi
M(l hlnh hoa qua trlnh tre tin hiu trong cae philo tLr 1T1i.Kh 115
M6 hlnh hoa tren mtre cae phan tV logie 114
Chll"tJllg VI. I'oigon ngu ml> hinh hoa VHOL 131
96.1. <.UtU ng<.'m ngO VUOL 131
Cic c:{u truc co so trang VHOL 136
Cae kie'u dO ll('u 153
Toao tv \';1 bic'u thue 162
etc cAu true tuiin tv 171
Dic cilu true song . ..,ong 1X7
Cic chu"Ong trlnh con Vil cae goi clnwng trlnh 196
Chmmg VIl. hlnh hoa bill1g ng(ln ngu VHUL 207
.1. hlnh hoa Iren mile crlu true 207
\16 hlnh hoa trC:n ll1Lrc thanh ghi truycn dq.t 219
.3. hlnh hoa de 610111<11 hiJu Iwn 231
Chmmg VIll. C{IC phuong ph,ip kic'm tra loi ml,lch logic 243
8.1. Cae 1116 hlnh lui logic 243
8.2. Bai to;io phat hiGn 16i 250
8.3. Cie phu<.1ng ph<.lp toan tong h<,l"p uk gia tr! thiI
nghi111 264
PlllfO'ng ph<ip!TI6 hinh h6a 101 277
8.5. M(l1. so pilU'0ng phap lam d<.m gian hoa qua trlnh kiem tra
phat hi911 16i 288
Tai tham kluio 297
(,
www.Beenvn.com - download sach mien phi
CHUONG I. MO DAU VAO THIET KE M,.,CH VI Til
1.1. Cae ph:!n do:;t" trung thiet ke cae mach tich hqp
SV ra (\i:J'j ella de mach \'i di2n Ill' di'i l:un co' phel! phCill
phi-til I1lCIll Cllil de th6ng tillh t,xtn tl'Ong nhl1"ng th(tp g;lll d,ly. Vll'l'
\,\ng hen n,le meTe d6 Ifch hqp ella de lll<.lch c1in til' IrcH tnt'll 1ll::11 don dua
t6i che 1<,10 nhilng I-u; thong \'O'i d(l phdc 1'-.1]1 ngay t:Ing COll)! ngh!.,'
che Itch heyp tren eel sb' uie chflt han d,ln pluit \'U hao. T(1i gifb.l
nhfrng n[\[11 RO elta the k)' 20 nglf(1i la dil dl chc tao liU'(,il' llhung \11',lch
1ich h9P chu-a lui h(\I1g liuh kicn din lU trcn mot lmh the b:ln d,ll1.
I\'hU11g llwch dl) duq'C gqi El m'.Icil lich hQ'p cao(VLSl) \a mi..tell vi ctin
IU. ra dui etla nhung 111'-.leh vi Ill' (hi him nay sinh can Ihid ph,!i
co ITIQI phuong ph{ip luan va quy trinh thie't ke'. ehe 1<,tO thieh h9V.
Trong eoog che' t,..tO uie nweh lich IH)p dU'Q"c thL!e IlJn qua
hon giai
Giai dmm thie't ke.
Giai c1o<',m che' h.W.
Giai tra.
Giai c1m.1I1 dong go!.
o giai do,.111 thiel kc. Itr de ehue nang ma me.teh sc tlll.re hin. ('hClng ta
x,ly dung mCl hinh ella me.leh tren mue de) chi liet khac nhau. Cae muc
de) chi tiet co the (hrqc ehia thanh muc kie'n true, mu:e logic, mCre V(lt 15;. Ket
qua eLla giai dO<'111 thiet ke la cac rm'l hlnh ella m,wh (El duvc xac lIil(1ll kh6ng
ehu:a loi tren phuong thiel kc.
Gi<.li <.10<.111 th(r hai iiI giai c1O<.I11 che' h.l0. 6 giai c10,.111 nay, m'.Ich tieh hqp se
duqe che \'.10 th..:o dc e(mg ngh eflY ghcp d.e phfin tLf lllC.lCh iClI dIe tinh tht
chat bi.in d<'ill btll1g phmmg phap na che phLI vi'I cong ngh X{IY dung cae
mach la-po Ke't q\l(i ella giai c1m.l!1 llay lit nhung vi mach time
nhfrng ehLfe n[mg nhu trong thie't kc.
Giai doan ba la gi<'lI c1m,1I1 kiem Ira. 6 giai dO<'.111 nay, nhu'ng m',lch d5 che'
t"to se dU\J'C kidm nghim ngau nhicn c1d khiing dtnh dll1g l1l<:tch kh6ng ebu:a
loi ve m,)! ehe !<;Io. Trang truung hqp co nhilng 10, g(lp nllleu litn c6 the rut ra
7
www.Beenvn.com - download sach mien phi
1<:6'1 lutlll loi do eo la loi lrong qua Irlnh che l<;to. Dl!<I \'aO VIC kitm Ira
quy Il'lnb eong ngh la e6 Ihe rUI ra ke'l lU(1l1 \'e cae kh,lu c6 >;mh ra luI.
Cil;\[ doan euai clll1g gml c10an dong g6i. Ll:ic lki de vi mach sc ducfe
phfll1 laeh \'il I,.to Vl') hoe.
Trong ehu'ong trlnh ta s2 nghi2n eCru ky giai dill! ti2n iii giai duan
thict kC. Qua trlnh thic't kc' nie mach vi ltin tti lrung cong nghicp c1uqc ehia
Elln ba ph;ll1 dOi..ll1:
Mo hlnh h6a.
T()ng hqp vii lai Ull ho'\.
nghim vii phi': eiluln.
Trong do ehung la 1(lp trung vi:1O blli loan m() hlnh hoa maeh 1611g hl.lp. t6i
ll'll ho;i mach.
Pluin dUll lien: 1\-11'. hlnh hO{1
6 giai dm.1i1 thie't ke X[IY (hyng de mo hinh dIll trllc l11<,lch \'il
de ch(re ma mi..lell >;is tlll.re hin. Cic mI.) hlnh m;.leh iii c{)1l1:! cu bi(o'u
d.e ;' tu'('mg thiel ke. Mo hinh ho,\ el6ng \'al tn) quail lrong Irong LI1II.':1 kc'
m:.Ich \'i din ILl' blii \'1 cac m6 hlnh Iii nhung phuong lien mang Ih6ng Lin
dc mach s0 duue xiI)' m6t deh Cl) dong VlI ehinh x,ic. Do d6 Ill() hinh
e[m ph;ii ehinh x<.i.c. ch[lt ehl' eOng nlur c6 ml['e ell) 16ng quat. trong su6t \'(1 de
c10i w':ii ngl['()"i thiC't kc "il may. V{)'i sl,l' phat eUd cae k5' Ihu,:tl mo
phong, me) hlnh 111<,1ch c6 the duqc xi.ly treil cO' so' Ciic ngon ngL'i" 1110 l;i
ph[in eU:llg HDL description language>;). Trollg nhicu tnIll'ng hnp,
Ciic mo hlnh de) hqa nhu bieu do dong thong tin. so' de) m,.teh V(I 1lll) I;i hinh
d'.lI1g hlnh hQc eLla cae d6i tU'(5!lg cung nhu deh sAp xcp cluing Ixin
mach dell eo Ihe dUQ'e dllllg hidu <lien m;.Ich. D6i vt'fi llhi}'ng llli,leh co dt)
lich h0'P sieu lall do dl) phLi'c t:.lp ella mach n'ft eao nen X[IY (h.rn,!! 111l')
hinh mach Ihuang Iheo eae IllLrC d() chI li2'1 kh,ic nhau. Dieu d6 elm ph<'sp
nglf(\i Ihiel ke' trung \'aO tung ph,'ln Cl'la mo hinh I;.li 1[l'llg giai dO:.lIl thiet
kC:'.
Ph;'l11 hai: Tong hqp va t6i I(lI hila
Tl)llg lWp mach iii giai cto'.111 >;;ing I'.l() thCr hai ella qll,i trlllh ll1le-l ke'. ('lal
dOi.lI1 dill! lu[m theo cae \' lUl'mg: cllil nh;1 Ihici kc hl1111 111>l1h (hin de kh;ii
www.Beenvn.com - download sach mien phi
nim 111<.lch xay dvng nhung mo hlnh sO' h9 dfiu lien ye m'.\'.:I1. Ml.lc dleh
ehinh cLla giai dO<;ln t6ng h\Jp mach xfly dVng 1116 hlnh chi liel ella 111<.1ch.
v\ dl,l nhu cae chi liel \'C dang hlnh hqe phl,le vu cho cong dO'.I11 Illp nip viI tao
vi) bge eho mach. Dicll d'.lt c1l(.iC thong qua yu.\. trinh xay dung: chfnh
xac hm\. thiet kc ti:rng: bu6e trong d6 m0 hlnh truu wq"ng ban diiu dW"iC ngui\i
thiet ke chi tiel ho<1. tung bu6c (11 l<:li. Khi thVe hi1l qUi. Idnh t6ng Iwp
111'.lch theo dc blfac Gii tie'n m6 hinh, ngu(1i thi('1 kc" dn nillcu thC)ng tin lien
quan 16'i cae e(lIlg che tao YLI cac phong cach thi(,t kc 1l10ng l11u6n. Ta
co Iht thtiy e.lc ehCre nang ella lll<.\eh e6 Iht dge !{Ip \'Cii cae chi tic"t thut hiC'n,
trong khi do Cile hi6u dien hinh ella nwch toi\l1 pliu thU(lC \'LIO
cac d;)c tinh ella cemg ngh vi dl,l nhu kfch Ihu6c cLla de d[ry dim IroJlg miKh
plll.l thuQe dto cbng nghc ehe' 1 . 10.
Bai Imin t6i It'U mach IUllll ke"t IWp cll(lt che \'6i bili Imin t(')ng IWp m'.lch.
Qu;.i trlnh 16i lilt dOl h(')i phill Il,l''-l chQn nhung chi lict dc djnh eua 1l1i..lell \'()"i
ml.lC rlieh lam Hill'; kh,'1 ella m'.leh "e phuong <.lJn thie"t ke tuong Crng
v6i nhiJng d(l do x,ie djnh. Vai Ir() ella tcii lru IiI n[mg cao chell IUq"ng ella
mach dien Hlur tOL uu chue nan". \'C ttch, \'C tll1h kiem n"hicm \'i'l
. _ b . b ,
ph,it hill il')i. ehuc nimg lien quail t6i thl"ii gian rl6 Ilwe Ill(lt qu(\ trlnh
:Xlr I)' th(lJIg tin cung nhlf IUQ"ng Ih6ng lin c() lh6 dU<;ic XLe Iy trong 111(11 d{1ll
Y! Ih(l"i gian. Cilc tlnh n,-mg ella mach Iii anh lur6ng 16n t(1i kha nfulg eanh
tranh ella m'.leh trC:n th; trui:5ng. V.tll dc ch{lt Iuong ella n1<,leh cOng !ten qllan
t6i kkh Hllr6c cling nhlr tfeh clla n1<,leh. Din Ikh cung IiI d6i Wong cLla
16i uu mach. Kfeh Ihu6e nhl) ella me.lell eho phcp co Ih6 ph.ln b6 nhicu mach
tren IIl9t lap. dicu d6 IZlln gli.im gl.l IhLtnh che' lao \'a d6ng g(ll. Trong dmg
ehe' 1,.\0 chung la Il10l1g muCln c<.'l nhung IhiL::t ke' eho phcp ph.it h:cn
10] \'a x.ie djnh \'i tI'l 16i ella nl<.\eh sau khi ehe' tao. Kh.'t nfmg !lilY. lrong
nhicu truil'ng hup, :lJlil imtJ'ng 16n t6'i eh:it ella M(ll tht'H1g
quan trqng lrong \'eln de plUl1 hin loi ella Il1i.\eh li\ ph[ill Inllll loi e6 th6 duo'e
ph(11 hien doi ,,6'i mot h() g]a trj thLe nghilll. l\'oi chung, ngU'{Ji thic"t k.c mung
mu()n c6 nhung m,.ICh de nghi\D, dieu d{) lam glillll gi(l thLlllil chung:
ella qUil tl"lnh xuelt.
PhilO dOl,l1l 3: Kicm "'a phe chmln
Qui Iflnh phe chuan m'.lch ILl vic (t,lt dw.IC <'1 m()1 mLre (t() eh(lc eh{lll hop
1)' rang 111<.1ch dicn sc lam \'ic dung v6j gi,\ Ihic"t khong c6 loi clie" t,.lo. TrC:n
')
www.Beenvn.com - download sach mien phi
phtlll dm.lI1 nay ml.le dich ra b\ pluii IO<:J.i hI') m9i 16i thi6t kc co the e6 tru6"e
klll dua van san xUtlL Qua tflllh phe ehwin mi.lei"t bao g6m vie xdy dl;Ing mo
hlnh mo phong mi!eh elva thiet ke va tlive hin klem Ira. Mo phollg
1l1<;lch bao g6m phii.n tich die dlen bien hanh vi ella di':ll then Ihni gian
doi \'6i mQI nhieu h(J gia trj dAu vao. Qua trlnh Jl)() ph6ng c() the: ,ip
dl;lllg tn:n nhicu mue thiet ke kh5.c nhau tuy theo de mue truu eLla mo
hlnh.
1.2. M6 hlnh hoa m;:tch
Mo hinh !TIl.tch la bieu trlru tuqng trang d(j chi
thich hqp mi\ kh6ng c6 nhung chi tiet tmrng (rng.
QUii tdnh t6ng ht;1p m<;leh la qua trlnh m6 hinh
111i.\Ch bal driu Itl nhung bitu dien sa luqe nha!.
Cae m6 hlnh duae phun Im.li then cae mLrC dl) mo
Iii triru tuqng Vll de g6c dq quan
Cae !TICre d(l 1116 til trtlu tuqng: duqc chi a
lam ba merc nhu sau:
Mere kien true
6 1I1U'C kie"n wk. mi.lch din duqe tht
hin qua t(lp hqp de thao tic nhu d.c
tfnh 10iln tren dfr hu, de phep ehuyen
dbi \'ll Iruycn thong tin. Vi ell,!, tren mlrc
kien true, co the' duqc bi6u dien
qua nhu11g mo hlnh tren de ngon ngCr
1116 lil phan cUng. nhung bieu diS luang
thong tin.
Muc logic
6 m(re logic, Illl.ICh dlen duqc the hln
ra nhlin" dac linh
c .
Mtl"e kien true

Fetch (PC)
....................
Muc 16gic
1> 1=L8=
Mu-c hinh hqe

nlHt ti)p hqp dc chu'c nang /(lgic va duqe
ehuy6n Ihill1h de ham logic. Vi UI.1. Iren
m(rc logic Illi;lch co the dlflJC bicu uien
thong qua cac bicu do ehuyen tl"i..Hlg thai,
ulc sa do mi.1Ch logic.
I1lllh 1.1 Ba llllk Ol) tri:ru
IlllYn)! biell dicn mach (llen.
to
www.Beenvn.com - download sach mien phi
Muc hlnh hoc
6 mue hlnh h9C, co dUQc bitu dicn nhu hQp dc d6i
tlrqng hlnh h9c. Vi dl) don gian ctia bic'u hlnh h9c e6 the la
e:k lop trong mq.eh nhicu lOp, dang vc be ngoai phfm b6 clla
dc phfin tu cau thanh Im.leh.
Cae goe d<) quan sat ding dUQ'e ehia thanh ba goc d():
Goe elC;! hanh vi
Goe di} hanh vi mt') t:i de ehde niing eua m<:leh ma kh(lng
quan tAm tai tlwc hin cae dll1c do.
Goe ell) diu true
Goe tIC;! diU true 1110 hi mo hlnh bang cae pld.n CO"
b,in ella va cae lien ke"t gii1"a de thanh phan d().
Goe tIt) V1.)t 1)'
Goe tIt) V\l.t Iy co lien quan t6i cae d6i tuQ'ng 1"9 XU[lt
trung thiel k'::.
Cae rno hinh co dc Il1de m6 tA truu tu9ng khae nhau co the el\.fl,l'e
quan sat thco nhung goe <.19 khae nhau. Vi dl}., a iTIdc kie'n true theo goc d()
hanh vi thl me.lell din tftp hqp c;ic phcp toan va S\..T licn quan glll"i.1 chung
v6i nhau, con thl.Oo gt)e d() cAu true thl nweh Iii hqp de khoi co sa va ute
lien k6t, ghep n6i gilia de khai co so do. N0"u xct truO)lg h0P thiet kc" d.e
mq.eh ching uQ thl voi d.e mo hlnh trcn muc logiC, g{)C d() hZmh vi co la
cac lUll do chuyc'n tqmg tlul.i, con goe de;. diu true la cae ph[in tiT Il)gic c,ic
kct noi giua ca.e phun tlr do. M6i quan h giua cae mue d() Huu twmg va uie
goc dl) qUan sat C{HI mo hinh duqe bie'u h,\ng s(} de) ehu Y ell.::t Gajski-
Kuhn.
G6e dQ h2uiQ vi de) eAu true
r\1UC kie'n true ;/
lIinh 1.2 ele g(}c do qllan vii
d.c llllrc lllO ti trlill tlrong nia blC:u
dien 1110 hillh mach.
, ,
\ ,
, /
/
Mile hh{h hoe
11
www.Beenvn.com - download sach mien phi
I lInh 1.2 eho ta (htlY rnoi lien giCra cae rn(re dien m() hlnh \;t de
gae d() quan S(It. 6 muc kien true va l6gic. rno hlnh 1l1'.leh tillro-ng oll"<}e hicu
dicn tll<.:o de g6e elt) hiU1h yj ctlu truc, eon 0 Il1li"e hI nil hqe IlH') hlnh
thui'mg duqe bicll then qua g6e dQ c:iu true va V(lt IY. Tr2n hinh 1.3 cho ta d
tll.1 \6 dc nnk bieu dlcn ella m6 hlnh bQ xu iy c(le g/lC dQ qUdll
(mg. 0 lTIlIC kitn true, thea g6e oQ hanh vi, rn6 hinh Iii Uic dong inh Iren
ng6n ngiJ mo li\ phfln eung HDL; theo gac d(l cAll true, m6 illllh ban g6m 1.:lr
iHJr cae khoi ea sCi nhu h6 t[nh lmill so hQc, h9 (tieu kilien, ... yJ. de lien kc-'t
giiJa de ph:in tLr d6, 6 mLre 16gie, thea goe <.19 \'1, mo hlnh ban g()ll1 dc
so de) ehuyen tf'.lllg Ihiii clla cae ot6mat bieu diclI hm.lt dQllg ella mach: then
gae ct() c:\u true. me) hlnh dW)'e elien btmg de so d6 mi.ll'h 16gie gilra de
rh'lll tlt' 16gic e(/ biill.

G6c dc) vi G6c de) Cftll lrllC (,ell'
I [fl'

- -
ri
WJL
I

L
Mu-c kicn true
Felch (PC) I ADD I I
I
I Contra I
'"to 0)
:3> F x
1 ),," ,)
Mlrc lC)gic
--D---D-'
(Slale 2)
lIinh I.J IlllfC hillh vil [ill' goc ell) qU.lll \iil llfon)'. l'mg.
I.J, Ton.t::: hap \-'a t(ii 1ru hoa mach dim.t::: m:i,v Huh
Cil' e{mg (\1 trq giup thiet kc hfmg may tfnh eho ntmg eao mlng
",uSt thi0't ke', Cie k5' thw.}t Ihiet k6 eho rher gl,llll Ih(ri glall. n:mg eao chu
trinh Ihi6t k6 \'(\ giii!l1 e6ng SLre COil nguo-i, Cac ky thu(lt l(ii tru I;"mg el1ftt
ll((),ng tlli(1 hY. Dn do de ky thU(lt t(;llg hQ'p vu toi u'u hOii 1ll:.lch \'6"i IIV
12
www.Beenvn.com - download sach mien phi
giup ella 1ll.ly linll dUO'e su dung trong hriu he'l de qua trinh IhilYI "-0- 1ll',lCh
diell ILl' ,,,6,
I. 'U,ng hVp IIW(h
ViL:e phtm lo . 1l de m(l hlnh cae mu-e IrLI'U ILI'(.mg \';1 CIC g/lC It{)
quan ,,:.il eho c1u1ng la phwmg phi.tp xtly dlJng de Ihie"1 k2' tren gtai dO'.I11 16ng
11O'p mi,lCh. (iiai dm.m h:;ng h(,1P maeh e(l Ihe d\I(J'C p!l[lJ\ ehla Gle ph.tn
dO'.Il1 -",IU:
T()ng l1\rp d Illll"c kic'n Irue ban gClm t',IO ra g6e d() Cill Ink
eLla me) hlllh 0' mue kien tnle. Dieu [\;lj IU'lmg vuong H1i \'icc X,{lC
dmh phil!! de c1uic n{wg elm mach Ihi'tnh de ph0p 10,ln. etc
pll0p to,tn du{ye g0i IiI 6i nguyen ella Ihiel kC:. Tmllg nHl hlnh
cung bao g6m e.1 dc kc'l n6i giCfil de phcp 10.11\ <I trinh Itr Ihu'l'
Philn doan IllLl'(mg duqe gQI IiI (('lng hop (\ Illl're L'<I() h<l\'
ICing IH,rp eAu true VI (1 d{) ngu'i)"i lhiel kc phJ.i de d!nh C;'IC du
lrue \'J m(l ( tren ll1lfe d() dc -;0 d6 khoi ) eLla ]11'.Ich.
'U;ng d rnlIe k)gie IiI ph[m do,,111 1,.10 ra g6e d() C<lU Ink ella Illl)
hinh mlrc 16gie. hqp logic hao g6111 de Ihao I,le sLJ' dung
ky Ihu(ll logic de' 1,.10 nen J11() hlob logIc. M6 hlnh g6m e{) e,\c
ph,In III l6gic co bin \'a kel 116i giO'a eie ph.:in Ill' d6. Nluf \':1)'
bu6e long hap 16gic Et hU"l_)-c x,ie dinh c[['u Irue \'j m(l ( t'), Il1lfC de
ph:ill It! 1()gIC cO h{1l1 ) clla lll',Kh. U1I1g \'le ehuycn d6i 1110 hlnh
It'lgie de h:0'1 neii gi[j'a de ph:in I(f dUc,fC m(J t.1 Imllg lllll'
\'in die pll[!l1 ILl' cO" Iluri:l'ng gqj ,[nh \<.1 c{)]I); nghc hay 1,'1 lien
kc'l Ihen IllU' viC:n.
T(lng hqp (). mu-e hlnh hqe hao g6m 1',10 ra g6e ct() \"{II 1.\ ella
J1l() hln:l () m(rc hlnl1 hoc. Noi dell kh[lC, (1 m(fe 11:IY lllll !lll1h
du"t,J'c In() Iii Ihong qua de dilc Ifnh ella 1[11 c;i de m[lLl hlllh hoc
lao ncn (t,lllg Clla Ie llli,lch. ph[ln h6 de m'.lCh b:ill Ill'Kh.
Phtm do'.111 nay Ihu(l'ng (tw!e goj Ilm:;1 ke' \',11
www.Beenvn.com - download sach mien phi
""
Goe d6 hanh \1"
Mere kicn
Mile logic
"
//
/G6c de) diu trl\C
T6ng h0P kien true

MCre hlnh hQc Thie't k6 V[tt IS'
lI'inh 1.4 Cic goc d
ll
quan \,\1. I!\lrC <16
Iri:ru Ilroll'. \'il ciic phrtn dmlll !hie", ke.
a. Tong lHlP kicn trllC
G6c d9 V(lt ly
M6 hinh hanh VI Ci mut kiei1 true co th0 the qua \{Ip h()p de
phcp loan vi:11l10i quan h phLJ thuc)c giiJa chung. Tong hqp kicn trllc yell d.lI
ph,ii X,lc dinh cae laj nguyen phdn cung dn llLrqc sit' dyng dJ tlure !Iln de
phep tmin. ,fn dinh trinh tty t1wc hien cae phcp loan va gtlll kG! chting vt'J"j de
nguyen.
Vic tlll;JC hin Xfly (iL.rng m'Jch s,w !lay phl,! thuQC nl1l6u \'010 bIJ6c n:ly.
Tlwc de !hie'! bY ve il1{I\ kicn true .s;: xac djnh mlre d() Ilwc ,-;ong
song ella (,.\c phcp toano TllI;IC hin toi 1111 hOit 111C.\ch 11"en llllk nZly Ch'lllg \"ai
tro het suc quan trQng trong qua tdnh thlet le".
Ta hay xct y[ sau: til thiet ke m;\Ch IhljC hin Yic gi:li phuong Irlnl1 \'1
ph[m), -; 3x)' -j 3y=O tren c1m.m [0, u] bi'l.llg phuong phap s6 "{5i but'Jc dich d\
va dlc gia tf! ban (.Hiu x(O)=-x. y(O)-y, y (OJ--II.
M<'ICh co the duac dien btmg ng6n ngfr m6 til ph[in cling llhu
sau:
Diffcq 1

Read( x. y. dx, i.l):
Repeat {
xl==x+dx:
u 1 == u - 3*x*u*dx - 3*y*dx;
www.Beenvn.com - download sach mien phi
yl =y+u*dx
C = x < a:
x = xl:
u=uL
y = yJ:
Until (c ):
Writd y):

119 dieu
khicn
I
I
':'
I
I
Bo
nh(1
t ALL I
I
Trong VI <lL,l de dOll gi,in. ta ctl till:; coi c<le c111'ong dfr lill Clb mach ;.;uSt
phattlr hai nguon: til oQ nhtm ",'a lir h9 tinh to,in so hoc ALU. fill ALL
co tl11fc dc phcp tmin cong. trir \'il so s<-ln11. s0 g()ll1 c6 dc
thanh ghi. h9 X,lc dinh dia chi va or) dieu Goc dO diu Ink ella mo
hlnh mi..teh (1 mlTe kic"n truc cho ta Ihtiy dc cau trllc VI mo etta lhi0"l kc".
:\16 hlnh 0' m(re logic ella 111<,leh co thc duqc hieu dicn btll1g dlc sO" c16
chuyen trang thai Clla dc o16mat hilu h;.ln. b,'ll1g doc sa d6 h'lgic btll1g
de ngon ngCi" m6 t,\ rhrin c(rng HDL. Cic lllO hlnh c1lt'(.K nha thiet I-..c' (hl'a
ra hO(lC dunc tong hqp tir Ule mo hlnh ()llllt'C kic>'n trlle.
Cic thao tac 0' mlJoc k'lgic co khae nhau tU)'" theo tinh ch,"l[ cLla mach
(nhu lll'.ICh t() hqp hofle 111i,lch turin Il,r) va d'.lIlg hieu dicll ban t1:iu (biC:ll d6
ehuyen tn,mg Ihiii hay sa do l6gic). va'n de toi uu hOii dong \'ai tro he'[ slk
quan tr9llg. N6 gflll lien voi qu,i trlllh t6ng hop lrung yi2c ,de dillh de C:\U
truc vi mo ctl<l m'-.lch. Ket qua cuoi cung clla tong IWp ('j mu'c 16gic El
dlCI1 CtlLl trllC dfiy uti. VI dl,l n!ur bang cac phan tlf logic ca h:1ll \'il kc:t Mii giu<l
nhlJ"ng ph:in lir do.
Trang VI dL.1 tru6"e cac d<:mg dCr OUl)c dua vc hO nila va dU'<jC (1tt'a lU
ht) !lha t6i ALU va bt.,'l nhfm. o<)ng clla b9 dicu khien du'(.l'C bidu (hen
hang sa do In.mg th,ii va so do logic.
15
www.Beenvn.com - download sach mien phi
ThllYI ke' \'(11 I}' 1<'1 qu<i. Irlnh lao ra d6 h6 lri eLJa ('/iiI} dien ILl'. Ceil' 16"r
btl trf m"Jch luang lIng \'6i de 1ll,:11 n'.1 dung lrong qu,i Irlnh ehe" t:.IO ('Iill). ])0
ct6 c,k 116 tri hlllh hqe iii nwe IICU euoi cung ella Ihl2'1 kc" ole m,.teh \'1 tu.
Cie Ihao I,ic chlnh Ircn rh,ln elm,lll hI b6 trlm'.leh, di del)" dinh tllv(n.
Trotlg eut'J1l -.;;ieh chung: 1<1 khong di ph,-Ill doan ni:'y.
2. l'{ii un hna m:..teh
lo<i.1I t6i Ull Iio;. me.leh Iw:m di dOl \'Cri h(li locin tc'ing hq'p m,.tell, T(\i U'u
iW[1 m:,lch f..h6ng nhO:ng (1;.\1 dU\K ()' ll1u'L' <16 eao nil:11 n:: cltSt IWl'J]g nwch
mil C(m 1',10 ra nhlrng llweh e6 trnh c.mh ttanh C,IO. Chling la chi :h:t c,le \:U\
de t6i uu hal d(l do eh,lt Im.-mg quan Ir9ng: din tieh \'(1 hO'-.lt (h)ng ell,. mach.
ra 11l(lt do do ehAI IU'qng qu,1ll tn.mg !lila kh,i n,1ng de kiC:m Ira \-il
ph;'lt hin Ibi eua Il1<)eh.
DiC:n Ifeh eLla ll1'.Kh dUq"e x,ic dinh hill1g t()ng din tfeh ella de ph,in tll'
Im,ch. Do d{l dJn tteh e6 tilt: "[Ie djnh duqc thcmg qua g6e d(l du true nla
m'.leh nc"u ta bie"t din tich ella Ilrng ph:ln m'.Kh. Thclng Ilnrh'ng de
ph;:in tlf ec1 b,in ella m"ch 16gic 1:1 6e ph:in ILr [clgie, c.:ie thanh ghi, de pltan
h'l' c6 dln tfeh bie't lnr{re lu)' tllll<)e \'JO lung loai Ihicl kc", DiJl licll dc
d,'IY n6i d{lng \'ai trt) 4uan trqIlg \'il khong the h() qUa. C,k th:ulh ph:in din
l!ch c6 Ihe X<.lc djnh IU mo hlnh m'.leh tren g6e d(l \',:11 I)' IH\le u6"c Im)"llg
tlf ,-"ie rlH) hlnh 111":0 dt) (;j"u Iruc th..:o cae phuong phcip Ihollg kc.
l-liC:u n{lI1g ella m'.lch dlf(fe t6i U'u hoa til/a IrCII (h(\i gi'lll tre. Ihb'l gldll
d(ing h(). c,mh tranh Iren ccie ph:in IlJ', ... Dc Ifnil to,in d() d,) ho'.11 cklIl)}, eua
Illi)eil c:in thic"1 ph,ii ph'ln lieh c'lu Iruc "il hanl] \'J cLla mach, V:Yn d..:: nilY
kllelc nhau dui \'rJ'i dc IO'-.li mach kll,ie nhall.
,
HIu n,-lI1g eLla de m',lcll 10 h(JP dU(,J"c x,ic dinh th{ltIg qua thlji gldn tre
Ill' lUU \'ilo den dell! nl. TIt(lng Ihui\ng gr,illl dl) pln!c I'n) clla tlllll
IO[\ll, la lut)]] giil thiet rang de gi,i tf! d{iu 11I";ll IrDlIg dlllg Ill()\ Ihi)i
\,:1 hicll m-lllg ub m',lell dU\1e tinh qua Ihr'ii gian truYCII tllLo lILt'ling
dO Iiu dili nhat.
Dt'Ji \'6i e<i.e mi..lell tu,\n dong b<\ d(l do hiu nrlllg c6 uuve x,ie djnh
Ihong qua thoi giall quay yong cua llli.,leh. Tho'i gian n;l)' IiI chu k)' dc)ng b()
nhanh nhJ"t c6 the et,H tlweh. Tel nh,)n IhAy dll1g tllb'i giaD Ire lruycil ct'la
Iii
www.Beenvn.com - download sach mien phi
thitnh ph::in m'.leh t6 hqp etta rn'.lch tu,i.n Iil. can d116i ell,1 thh'i gian quay
vt'mg.
Khi la :xct m6 hlnh trC'1l 111lTC kicll true nhu qp h{)"p d.e phep lo{m, d{il vll'i
de m'.lch tlHll1 ddng bi\ mt trong nlllrng ci{) do hi('u lHlng li\ Ihi)i giml dn
thiitt tte' tlll.TC d.c phep to,in. Th()'j gian nay co the dU'0C uo-e Ilfong Ih<'lllg
qua d.c chu ky thai gian. Tich ella thoi gian quay \'ong vii Ih(Ji glan tlllrc
hit;n eho ta thi\i gWIl thl!e hien tcing e(lllg ella 111'.lch. Thong tlmi::mg Ihi:J'i gian
quay \'(lI1g \,ZI thai gi<1ll tlllTC dlTOC t6i Ull hoa riC'Jlf; de' don gi"111 [10ii
qu{i :rlllh leli u'U \,;1 Ih(x! man cae yell ([ill (t)1 ra d6i v6i thict kc".
eic m;,leh d60g h6 c{) the' dune Ilnrc hicn day dc phcp toan theo chl:" dl)
d.\y ehuYCll pipdlll': ), trong dCllll'-.leh se tlll.fC c{le phcp loan song song
tn:'lI nhung hqp dll' khJe nhau. Nhu n[lIlg Cllil m'-.lch ellil e6
dU(l'e thc qua kh,\ n:mg XLI' 15' def liC'Lt, luqng tier m;\ llI'.leh e{\ IhJ
Xli' 1\'. Do do dt'i eni li\ th{llIg lunllt'. ella lIldeh. Doi \-(ii nhG"nl!. mach kh{llll!.
__ L' L' L
Ihvc qua ky IhU<)1 (by tIH'UIg hrqng hj glll'i h'.lll bni nglqch (j.\O
eLlCl tfch giiIa th6i gian quay \'i:mg va thll'i gl:ln tlwc K5' Ihu(\t (by
ChUYCll cho phep ]\I'.LCh thong 111(l'Ilg dCl' liC'u XLI' 15' nrot qua gl6i
h'.1lI n6i trcII.
V6'i nhG'ng dQ do n6i Ircn, tui uu hexi n:ll1g ella lIl'-.lch bao g()111 \'icc
gle\m thicu tlli:ri gian (re truy'?l1 lt6i \'6i 111'.ICI1 t6 hqp, Ihai gian quay \'{'ll1g \':1
(he)'j gial} Illl,TC Iw:n d6i v6i 111'.1Ch tll<ln 1l/ d6ng bel: IZlln Uing t6i cb thong
IlTong ella m'.lch d(ii \<'ri nhCmg: mach tlulc \..-5' thu[lt d.\y chuyen.
Ngoi\i nhu'l1g 100In t6i lTli hoa vc kreh tl1Ll'(k thai gW!1 n61 hlCll
liang ella ll1'-.lch con lien qllan t6i kh,-'l ni"llIg phal l()i V:I d]llh V! \'! tr[ 16i
lrong m:.Ich. (-l,:'11 10:ln x:ly nhCrng cho phcp de d:l1lg Illll It'll c111ng
mot yai lri'l qllan trong trong qUii IrJllh tllle"1 kc' \';1 t6i lilt ho,1 tnach. NhCrng
m'.lell de kicm tra cho phcp giam IhiJi gian Sll1h Ci\c b() gla Ir! thl! nghi('lJl \':1
gl'-'lll1 s6 lu'{.mg d.c gia In IhlT V[\n de (hlU lien dlH)'C giai qllyc-I
deh It III ra nhling thui.lt to{m tclng hnp mach c6 qu,'t: ctll1 \'an dc
tilL!" hal hen quail h'ri tIm ra nhLing thll,H to,\]) tIm j()j nhanh \'('ii llH.1C lieu
gi;\1l\ thili glan ph,-i! hin h')i V;I \'j trf 16i IUiJng l'rllg viii tlrng h(l Irj thLr
llghicl1l.
T()m h,ll h;1l tOJ1I t6i lIll ho,\ thic't kC' du(,l'C (ILra vc ke'l h(),p hal 10,111'
giall1 lich tlu,re k' ella lIl'.Ich vi\ I,-.ng n:ll1g ella llli.lch y(J'i J...h,\
ll{lllg cao nhtlt e6 the c6. Bi:li loan l<li uu hOii e6 the ph\! yilo cae ],;1111:'
bu()C yi lIl.t nlllT gioi he.1ll tren \'e dicll tich gi6i h'.111 dll'l)'i YC hilI n{ltlg.
17
www.Beenvn.com - download sach mien phi
lmin l(ii Ull hu,i co duO"e (1Il.'11 lrong kh(mg g:iun vccW !lIlli' T:lJ)
hnp c,ic c:lll c6 the c() CUd mc.teh ,,;: dLroc IhlC'l kl: l.!n lh:lnh !Illll kh(mg
Khllllg. gian nilY 1;(,)/ b kh(Jllg gl<lll llu(:1 kc \ il chli'a llJ(.lL S(l jill'Ll han cac
(hl;m tlong d6 mbi Ling \,{ii ITIi?! Ih!.:'1 kc' Cl,1 the, M{li (11':111 ( lUling
L(ng Ihl'::'1 l..(Y ) :-,c c6 de gi<.i Irj tfeh hi.::u nilllg lU(illg (mg. Ta l(tp
gia Iri. cil "i) cic dOl !l(i,Jng nhu lich. the)! gian Ire. lhi)i glill1
hin. thi)'i gu.lll quay \'ung, Ih6Jlg lu'tjng. Ru tmin ll1i Ull hoa mach 1m'
!h:ll1h n(u tmin lim kicm dicm \<ie d!llllirung kh(lllg gl<.ln thic'l k.:: "ao l'l1O cac
dlli 1Lfong: dat g,1J. tri t6i uu.
'Jhu "\y. 1j'()llg ChUUllg nilY Chllllg la dJ nghicn u'rll elc hlJ'('j"C Cit b,111
l!\lllg ljlH! trillll thie't k0 hop nwch.
18
www.Beenvn.com - download sach mien phi
CHlJONG II. CO SO ToAN HQC
Trong chuong nuy chClllg \()i nh:-tc 1,.ti nlt)1 sCi vCin de loan hoc liull co
cho C[IC chu'ong lier Ih(;o. Cell' kien there 10;111 Cllll )eu xoay quanh CO' so' X[IY
d.c mach so dna trcn cac d',\llg ci1Uan lAc ella cae biel! thue 16gic.
l\hD'ng vall de lien quan \(Ii qua trtnh 11m \/:'i !runt-' dIe Ill'-.lch logIC liell quan
loi cae plllwng ph,ip ma ho<i vi:' thuy6t d6 Ill!_ Do d6 ChUD'llg (\uoc chia
lum hal phiin chinh, m(l\ phfin lien quail tlij v[l'lI de 16i thieu I1mi cae hl0u
lhCi'c logic, phfill thLi hai lien quan I(:ii I)' Ihuyet de; til! vii rna hoa.
thuy61 Im,\Ch Iii co' '>()" Ihi(:', ke dIe h thling so hin (L,IL L)'
thuye", nilY cI\ra Ircn logic ky Il.r do nha tmln hQc Bool s:ing li.\O nen. Unh
[()gic t\f Iii phil! tritll ella logic hl.lc khi ta c1ua cae ky' hiu hlnh lillie vii
e,ie thao t,-Ie d<;ll s6 ilinh tillfe. Hai Bool dU(:il: dPlh nghla Iii m()t h sci
Iho,llllan he de lien ck
H,nh nghla: Doi Hii \,Jp hop B { <.\, h, ... ) vZlllili 1001.n IV '+' vi\ ' " n(u
h6n li('11 de lho<\ man 1111 he (t.11 sci g9i IiI d'.li s6 Bool:
1) Va. h E B. a + h:= b + ii, a . h = h. a: Tfnh eh[it giao hmln: (2. 1)
2) Va,h.e E It
a + ( h . c ) ::::; ( <.l + b ) . ( a + e ). a . ( b + e ) =
(a, b) + ( a . C): Tfllh ehtl! ph:ln phcii: (2.2)
J) 3 IE 13, 30 E:: B:
7<1 E B, a + 0::: <I, a. 1 = a: Ton ti..li e,ic ph,ln tlr dO'll q: (2.3)
- --
4) J <lEB:liaEB,a+ a=La. a=O:PldnhtL
C{u: djnh ella (h.li s6 Bool:
\. a + a::: a:
2. a, a:::: a:
J. a + I = I:
(2.5)
(2.6)
(27)
19
www.Beenvn.com - download sach mien phi
c). a.{),-O: )
5.
"
-u C.9)
6.
(a.h).c=a. ( b . c ): (2.111)
7. ( a -j- b ) + C = a + ( b + c ): (2.11 )
<I+<I.11=a: C.12)
9. a . ( a + h ) = a: (2.13)
10. (/+h=a.h: (2.1-+j
II.
(/,h = u
h : (2 151
Vt'i'i he lien de ella dai so Boo1. ta c6 the chung l1linh de djnh {rell.
Cic llplb ly' eua d<.li ,,6 13001 c6 the ctmK tile du6i (h,lng- thuyc't hop
n h Lr
(Ila [hie'! c61flP hl/P A. xci 1(111 hlJP S lZI qp cae 1{1[1 COil eua A.lX<i \'ri'i hal
phan ttr kit ell,t t;)p S :';:i\c d!llh phcp hop u phcp giao n. Do S iii
n'la Cjl' 1,:ljl (.",'11 eua A l1en llC:U [;Jr con (/ E.S thl ph<:in bLI ella a A IiI ,I
Cllllg thUl)C S. V(\'j de khJI niCIl1I(lp !J(:P A \,ZI S, la c() the l\llnh iH.la c,le Jl11h
\) (tl.l dc.li s6 Boo!.
2. Vai ... 6 chuycn
\1()1 \ i ell,l Cl1<1 dal S() Bou[ h.hl up hop B = 10, [ I. Kbl d6 ta c() hl' llai ,,\')
B(lul dun gi,ln nh,IL \Jm !tcn he 10'11-1:1 d:'l! s6 Bool nr'll trcn \'(ii 1)' (Iluy':-'t d{mg
mach d1011 duoe SIWlll1Ull dUd ra do ni1Q"ui.', n,-ll1l .:"i() ClICI Ih(: kv 20_ 1 h>
L _ ,
(L.II bao )!lim hdi ph'\1l1lf (0. 1) Cllll goi 1:1 eli.!1 S\-j ehuycllll1ach. Cae
lien u\c d!lIh 1.\ Cl1.l dal Ik)d] to;'\l1 dlf(:'l' <ip dung cho dai s6
lllach.
Dc 11l1et 1(1]1 mIll wong ql!<l11 glli,( 1)- tllu) c'l d61lg ngiil m,.tell \'6i c.ic
lil'll de \':t dplil 1)' ella d,\] Sll clluycl1 IUOlch. 1,\ dua ra de sO' Mi llle.teh dU\K
,<l\' dung Ill' nhCrng dli co' Sl)'"
== <l.h
a 0 ()
20
www.Beenvn.com - download sach mien phi
uie M; mach do, Inl11Q Iluii d6m! lllaeh Cltd kho,i li!le:
, ' L, L
gia Iri 1: \',1 1r<.ll1g Ih;il ngat mach tHong lrng \'l1i glei In O. Theo c,i.ch quy d!lIh
gi,i trj IlhH Irl:ll, tmin tU)'(:1I e6 duq'c hiC::u dl\':n nhu doall m,lch tn.ie
cae ki1O,[, trong khi d6 toan h()i tu'o'ng ling \'6'i dO,lll Ille.tell
mill' nt)i ti(p dc kho,i. Vl'"1i ic qlly Ul'"1C d6. tien hai ella dai Boo] C() the:
dUne chcn thitnh dc me.tch wong dunng nilu "au:
aT b. c (a+b),(a' cJ
IIlnh .2.2 SCi rl() mach dtlllg !lgal 1ll111h hoa dlO linn chXI ph:1Il plHil
tuong dU'ung gili'<l hai sO' do lmwh khmi nilY co duqc kicl11 c!ll'rllg h,ing
cac h,ing chan I)' tuong ung.
Dl!<l \,:\0 tlro'ug thlch giCi'a dc bieu Ihuc iClgie \'01 dc S0 (1<) mach khmi
ta co Ihe t'.IO ra dc m',lch khoa tuong duong de hiel! thCre \()glC \'t1'i nhlt'llg dC)
rhll'c qlp kh,\c nhall dl/a \"ilO c[te pher hl(':'n dC;i trong m,.tcll I\-'lac lItl
eo m()t so 1m,Ii m'.leh kh(mg the dicn dU"l,K 1!J;l11h h,'t hop giCi'<l de t() h(.ip
mach song \'il n6i li':p, troog nhu'ng tIlJ'i'.ing hnp (kl la ph,ii x{ry
mach dl,fa theo h,ing ch,tn Iy su dt.mg pi1Llnng rhiip li.IO t')\1 h(,1P c[ic h('ll
kel.
Chllllg ta xci tnro-ng h0P m',lch theo lien kcI lll'll'h crill: de (tLfl1!lg (1i Ill'
ph:in phia Ir,ii 111<,leh "ang pilfin phla phiil 11l'.leh bao g()1l1 uic dtrong (ab, aed.
ecb. cd \.Phll'(mg rh{tp t'.IO hop de lien ke't the hin nhu' "au: nell Ircn bilt
e d
IIlnh 2.3 /l.t<1(11 du.
1<5' du'o-og di IiI' pll<i,n ben Ir[ii maeh du ,..,<log
philO ben ph'l! ta dClng I{II cit de kho,i, kill
do mach dien se U trall" thai MJll!.! ebn
. . L'
trang tru'o-ng Iwp trcn moi duo'ng C('l it nhAt
I11Qt khOii 111(1 thl 11I'-.lch s0 l1 t . th,-ii mil'.
Vi ne'u trcn ducil1g (lcd ta dt'lllg 1:\1 c:t de
khOii a, c, d se d thai dl'lng. Nhu
d6i \'6i nli,leh Irtn, bieu thCl'e IClgic tLfO'llg
c1uong Ia:
21
www.Beenvn.com - download sach mien phi
a.b+a.e .d+c.e. h+c.d
Khi SlJ' dl.m,!! lhuy0'l chuyc'n mach Irong hieL! dien die thClC iClgic,
de dinh 15' ella d,-.\i ,,6 mi..tell C() rut ra ilf elc lien de. nguyen \)'
d6i ng:hl etla I)' thuyc'\ mach uj \'<li \r() kllti quail
]\;<ru\'t'n 1\' dlii JEW)'ell 1<' d6i ngilU dua Ircll cu w'5 X[tV dIm" uk
'"'. '"' L L. ., _ c
thCre d6i ng'\u.
D{)i llg:1ll eLla llH)1 bi2u th{t'c di.1i s6 Bool 1:1 IlH)t hi2u lIllIe
](\gic lrong d6 de b16n u elta bic'u thli'C ban (Ull duqc thay
him,!! (/"."J' lhay bimg '(r, '(i' thay hllllg 'I'. ph':p tuy01l
chroe lhay btlllg phcp Il()!, phcp h(ll lhH/C Ihay him,!! pllcp
tuyen. Kill hlp bicll thCre d6i ngilu la piJ.'ti su' dung de
d:iu ngo(1C de d.ltn IXlO Irat II! tinh 1O .. in bieu there.
Nguyen 15' dui ng{\ll: gi;i Lrj eLla 1l1l)t hieu 111l1-c (h.1l s6 Bool
bllllg bll ella gia Ir! bbieu thlic doi ng,\u Wong u'ng.
Ta e6 chung minh nguyen I)' dCii ngtIU htlllg plu(o'ng phiip quy m,lp tmin
hqc va Sli ch;Jllg cae djnh I)' de Morgan.
1. ('{u: h:'U11 Wgic \:1 khlii n-chieu
HilIl1 16gie /I throe djnh nghTa la anI! xa k.h6ng gian I/-cl!icu B" \'ao
II:
B" ---> II il={O,l) (2.16)
t<cu x; li\ phun Itt Ihu9C B. khi do x=(x/"",xI/J Iii m91 \'ccto ella kh6ng gian
veeto' /I chicu nil. Hum logic trell kh6ng gian n" ({j Ihe duqe viet dU'{l'j dang
.J(r/ .... r"J. KhCmg gian veeto' un eh(ra 2/1 diem \'a m(lt yceW x Ihu(K kh6ng
glan B" chtQ'e hieu iii mot trang s6 2" diem clla kh6ng gian 1/ nil.
C{IC diem nay e6 the' dUQ'e {ltong (rng \'oi cae dinh eua llH)t hlnh kh6i e6
2" dinh trong kh6ng gian B". HilIll logie.!L\/ . ... x,,.! SC tuang ling m6i dinh
clla hlnh kh6i cae gia trj () hO(lc I.
22
www.Beenvn.com - download sach mien phi
rrong llu11 \,lfe I111e'l clie m'.Kh khllllg nhil't thle-L ph'--lI cli,ll Ln ()
ho:)c J eho 1:11 e<t Cite dinh ella khoi - dIe \"l'elO' x. i\NI dch ehillh de IHin.
1121111 \(lglcj"dude djnh Ill:!hTa Ul ,Inh).a eua t(tp con A cLla kh(lllg t'lan B" \'ito
B.
r A c;; 13" --+ B B={O,l)
Khi la x01 m(lt 1(lp con Ac Bn \;1 kim f ct'l l111en x,le dill!. 1;1 A.llhLl'Ill:!
diem IhU(lC W \,;1 kh6ng thu(lc A l XE un \ A } 121 lilllh ta kh0ng quail L6i
\'il 121 l/inh-d; Cite dinh Ihu()e ,\ d. ling \'('jl de gi<,i Ifi () ho:1C J
se JlIrrc gqi Ian IUCft lit i/illlr-O \,,\ d//lII-I. Vdi Ciich Im;u UIC dlllh ella hinh
kh6i 2" (tinh nhu' \'ZI)'. lll()\ hill11 16giefsc ,inh X'.I de di(:llltrOlII; khll11g glan
B" \';\0 h(,1"p /().J.d)
I(x) : il"-> (O.l.dl 121N)
'[\\?U 1l1l)1 dinh ella hinh kh6i II-ehieu bi':u (hell du6i !lieu thCre I(ltlie.
la nh(\I1 dLrqc nn)1 bi':u thCre h()i. VI dl,l trong khong gian 3-ehicll. dinh Olls0
tlXong Crng \'(ii bi':u Ihl'rc 16gie XI_\"_'X;, Bi(:L1 thCfe Il)glC e6 Ihe ctWK ILl'
cae dinh cLld hlllh kh6i 2" cillCU kll1g deh gbi .\, llC'U gi<.'t Ir! eua 10,.1 d() thu- i
wong ling 1i\ . r hO[le x, lle'u gi,\ 11'\ 10,1 d(l tlHi' I 1:1 'if. thtre h()1 chi
nhtll1 gl<l Ir\ '1' \<.Ii m()t diem x du)' I\llll' \'il)' 10,.1 do- ella dinh s0 tu'O'llg
Ling w1i n1lit phfin ILl' Irong Ihlk h()j.
\'l()t deh I()ng qual La cllra \,;\0 k.h,ii nil:lll kh6'i e,fp III nhl1 Sillt:
M(ll \'ccto' " chicu C:: le/ ... . i't}. c,.::{O.l.xf. "<II dul,l"c gOl 1;1 "I/lii"
m(,)1 kIll)/" ClllLI III \- gqi 1;\ Utili' nil} III.
Vfdu: (01 I) !akh6ictlpO: (Olx)-kh6ieiip I:
Kh;ll I.fili'j EI h'lng qual h6a eLla klHii IHcm diuh eua kh6i lI-elllcLI.
Kh//i nij) //I 1:1 kh6i cnn III ehlcLI co ch(ra 2'" lImh. Vi d\1 kh6i (0/.\) ehtra hai
dmh (O/()) ";1 (OJ j). Noi m()t deh kh;ic It!.r ('6 Ih': nktn gi,i II"! '()' 110.)c
'1'. Mi)l kh6i ecip rn Il(Ong ling \'(11 bi(:'u link hl')i L'ln'ril 1/-111 blCil. Blc'u link
h(li n21),' e6 th': nh:111 (hf(.K nell ghi x, khi gl;1 If! Ililing trng ella 19<1 d(l thCr i 1;1
'()' .\', khl gi,i Ir\ tqa d() d6 EI '1' Lmng dt'J \'\ tIi cua k) 11.1 l kh(lIlg clune
tinh dell. 1\, t\ deh kh;lc ky .r c6 Ihe nh'Jn gi;l tf! '{)'.' r \'21 k.h6i dp 11/ ..,0
lUring dUO'll::; \'6'i bi0'u Ilnlc i6gie gum (II-ill) bic'n .
.\1()1 k116i dp () sc 1l1O'ng Ling \'6i dinh cluy nh{11 cua kll6i II-ehicu, kh6i
dip 11/ ,'it: biel! elien 2'" dinh, nhlr \'[IY m()1 khoi dp til sc bao pill! 2'" kh6i b[lC
www.Beenvn.com - download sach mien phi
o. M{)I dch 16ng quat. v6i hai !flp I1qp cae khoi C
I
C
2
nc'u hQ'p dinh
0,\0 phll 1116i kh6i Ihu(lc nhom (' I 1(lp con ella ItlP hop !.tinh hao phll de
kh6i eLla C
2
, khi d6 [a nOI r;'mg C
2
bao phu C
I

2. Cae chU<ln tile eua ham 16gic
T\'cu h;\111 i(lgie.l khLing ehCra ll/o/l-d, khi do dC:' xae dinh ham la din dlra
ra I<)p de d/II/I-I V;'I dilllr-O. Do kh6ng ehu:a cal' d/llh-d ncn 1(lp hop dc
d/nh-I(\ "l{)) !(Ip hl)"P dc d/nh-O (Vi!)) htl nhau. \'1(11 dinh (" (kh6i cAp ())
!Lru"llg CfIlg y(ri m()t bieu [hCre hOI F(cj. khi d6 h:ll11 .f(x) sc dU(iC (hen
lh6ng qua I(lp hop de dr'IIIi-1 \! /f) nhtl" -;au:
H\) = V Pic) (2.19)
P(c) gqi la thCre h(li ct.rc tieu hay ng{m gqll tfeh eLfc lieu.
Nlur oJ. de c)p 1(1i, m()[ kh6i eAp m eho 2'" dinh. hiju thCl"e
h(li ct.re li(:u :-.i: luang (rng \'6i -;6 Iwmg cuc li2u de dillh Iham gia \,;)0
Ih(rc h(li st:: nh,}n gi,i Iri 1 1'.Ii nhil'ng dinh nay. Ricu thCre (2.19) IiI hieu thu:c
tuyen ella de hieu Ihue h(li eve [iell \"a c!Lrq'e gQi Ja d'-.mg ehu,ln I,k luycn ella
hi0u Ih(rc logic.
Ta x5e dinh hi:tnl hCI I(lgie ( goi t<"11 ha111 Otl )eu<l m(l[ ham f m(ll hi:lln
nh,:111 gi<.l Iri '()' 1<.li nhung dillli f nhtlll gi,i Ir! 'I' Y;I nh,-}n gia lri 'J' I'.li
nl11.1ng dinh gi5 tri '()'; nilY chrqe ky- h,\ng I"
-
I 1\) 1 ffJ/lx) 12.20)
Ta ell the nh,}n dUQ'C h;Ull I neu tlMY V1(P(e) hitng V1,(P(c) lrong therc
(2.19).
I(x) = v P(c)
(2.21 )
TLf do ta co the nh,)n dWJC hietl thefC eua J(x) bflllg d.ch ,ip dl.lIlg cong 1111.re:
I .
j(.\) = /\ S(c)
(2.22)
eci ;,(,fJ
Oil' bi2'u thlre S(c) nh(1ll dUQ'C tu pre) h,\ng lhay X
J
x
J
' x, th;lllh \
\,;:1 thay phcp h(li (/\) thanh phcp tuyen (v). Ta nh(m IhAy S(C) sc tuung (rug
J'
-"
www.Beenvn.com - download sach mien phi
vO'i (]" -1) dinh trLL' dinh tuong ung voi Pic) duqc gqi Ii bicu tlltrc
d'.li. Ctch bicu e1ien hiun logic fthong qua h,?i cua d.c bi6u
thtTC tuycn qrc d'.li g<.li EI d"ll1g chui;n lAc h()i.
Tiep Iheo de co the kh,io s:it l11t d'.lng chu,1n lftc nif;.l, chung ta dinh I1ghla
trir 16gic XOR nhu' sau: XOR la phep 101m bai ng6i cho gia Iri 'J'
nC:u chi l11(lt Hong hai IOcln hang Ir! 'I' va nh,:m gia Ir! '()' lrollg
nhiIng tnri:mg hop C('H\ lai.
xEBy= XyA.\.)' 0.23)
Trong Ihtrc trl:n til thft)' n(u x ho;\c )' lu6n nh,)ll gi,i tq Ihi blc'u tlILk :-;0
nhfm gla Irj tu'ong ((JIg theo )' hO;:lc theo x, co nghlu 1;1 I EB.r::::: x. Them
vilO <.16 phep tmln XOR EB, cling gi6ng nhu phep u)ng. til(Sa ll1iln Illlh ch;'il
giao ho;.tn, kGt IH)'p phfll1 piloi va-i phep I1h,ln. DL.ra cae tinh eh,-lt Iren la
co thc dlIa ra d',\Ilg chuftn tftc Iheo phcp ta,in XOR nlILr suu.
M()t hilln 16gic hfll k5
1
e6 the (hrq'c hicu dicn theo h therc sau:
./Ix}. '\c' ... x,..! :::::.J(x}, x" .... X . }. (), _I',+}, . X.,) x, +/(\'} . .r
2
.... r,. [. (J. '\.1' .
x)x,
cer tiep It,lC nlur \'(1)' ta sc nh':.in dl!'()'C:
/(.1'" Xc' .... x".J -:::::. RO . ... () j.Y
I
Xc .. x., vI( I. (). ". Oi XIX, .. XII V .... v
1(1,1,..,1),,,,,,, x". (2.241
Mi1i s() IWng Irong bi(:u th(fC Iren IiI m(lt bieu th(rc IH)i Cl.rc IICU do d6 chi
co th0 co 1l1l?1 ,tinh nhfll1 gi,i If'! j' d6i \'('ii tifng h() gl[l In clla _\,._L, ,_1'/"
Thay loan v btmg phcp toan EB \'Cii mbi x lhay bang tl\l:'u tlllJ-c (It:;
\',) ta nh(lll dU\K hicu IhlfC 16gic ILf(ing (hwng chi chtJ'a phcp phcp Im.li
Irtf l6gic.
j(,,,, .c,., x,) =j((J, ,(J)(lCBx,)(lffix.)..(lffix,,1 ffi /!I, (), . ., 0)
xl(lEBxc) .. (lEBx,,) @ .... I()/(1.1" .. I)X
I
_I,' ... \". (1.25)
M6 UtC dtiu vi] ,ip dl.ll1g cac tfnil chilt k6t h{)"p, philn ph()i \'(ii phcp
IH)i. Iu nh(ll1 dLIQ'C:
'/(1'1 . x,,) :::::U
n
EB
(I/xiEB EB '" EEl lInX., CB
(/I.'X}X! CB (lUXIX" EB ... EB 1I}.,.\"1.\'" EB
lI]J.\,_r, EB .. EB lI
rH
_}J,,\'I/'}.\''' EB
(2.26 )
www.Beenvn.com - download sach mien phi
eic h s() II", (/1, ''', (II:. "co tim duae h'-tng deh tLrtlll,';! ute bicu
thLK C.25) \,<'1. (2.26). t)c bic'u (iien cAe he so a, ... til' .. U 1_' I, \a dlfa kh{li
vi ph,ln clia ham logiC,
Ta dinh llghl<l vi ph:m logic
biC:lI thue:
0,27)
Do lO,ill ffi hleU dong thai phcp lAy tonf! ":1 pilcp 1(1)' nen
hiC:u thut tren C(')I1 goi \;1 sai ph[m \6gic, Vi rh,ln 16gie e6 cae t[nh eh,Yt eua
toan Itr tuyen tinh. Them vao d6 kct qu,:.i eua phep Imin l:iy vi ph;lrI hie'n
.\, clla ll1<lt lich logic sc bfmg '()' bien x, khong Iham gia vilo tfeh d ,,2
hhllg ehinh Ilch logiC Irtf tli x, ne'u.r, Iham gia ":1.0 bien Ihve.
Vidu:
o
.... X
1
X:.X, X
1
==X
1
X
1
1.\'-1 ffiXI,\)h:1 =X
1
X,'\1
U\',
?'
.... x
1
x:>x,x-1 ==x1x:,x.;"'"-I ffiXIX:>X;XI :=-0
C'(,
SII' dl,mg k.h,ii ni(:111 vi phtm 16gie, de h so (/",,, , tI", Irong d6 lX, E[l..."n]
ella hie'u Ihue (2,26) sc dlrqe "iC:I (hr6i d<',lt1g
I=l..m ,
(:(, E[l.""n]

Tit bie:'u Ihve suy ra h s6 qXI(X,..(t", hiing I()ng 10i,li tru logic eLla de gia
tr! 1',11 de dinh baD phu m(lt khoi til ehieu, Kh6i tIl-chicu n;ly clltia.\ e{le
\'j Iri wong ung \,(ji (I, va '()' h,li Irtt ea d.c "i tr{ con 1<,li.
20
www.Beenvn.com - download sach mien phi
2.3. Toi thicil twa de bi(:u thlll' hJgic
:"J(l] dung ella Il1UC ni:'y hilll ltfi CtC pinfo'ng phap 16i tlHCU bo,1 de
Ihlie h'lgic CO' b,in khi Ihie'l ki':' c,i.c m;.lch 16i 11Ii":u hoa CtC bicu IhL"I'C
h'lgie l(lIl1 de hi":u Ihue u6 tr/l' nen don gl<-ln hGn. Dicu d61all1 bell
thuoe \'i\ tiing hi0u Jl<ing lu,.teh ( Irell phuGng Ih6i gi:Jn \'01 thi)"i gian
hin ) dW)"e I(;ng IH/p. NgOi)J ra d(}i \'6i \"ie ph;11 hill 10J d tlill' nghim
tillel boY. nhiJng ll1,.\Ch dllg (ton gielll eho phcp gi,11l1 IhlJj gian t1m de tl() gi:t
Iri Ihir nghim \'il giellll Ihb"i gJan ph;il hien i/il. Do d() hlli loan t6i IhicLl hOel
dc blCU Illl'I'c 16gJC d6ng \'ai In) quan Irong trollg qua Irltlh \r)ng hqp \'il [eli
Lru m:.lch.
Cho 16gJC II hienfrx
l
, .r" .... x,,) bicu dien dU(l'i di,lllg ellu,"in [i\C [uYCtl
(t6ng dlc 1feh i(lgIC). T6i thicll hO:1 s6 ilf</ng eile lfch logic clla hll1l1{ \,;1 s6
Iwmg de hie'n logic lrong lung tich 16gJC dan t6i Ull11 giellll gici Ih:lIlh
the ch6 1',10 mi.lelI: so luqng c<.\c phtin tlr co' S(1, s6 [If(;mg celc (f{\u \"ilO ella
lll'.Kh \,;1 d.C Jail vao Cll:J cae phtin Ilr mach. din Ifeh ella mach. gi;'ul1 thbi
gi,m kiclll m'.leh.
H;un I duVe dicn blri 1(lp hop cae d/llh-/ V I (f) "ll t,-!P hqp de IIIIIII-d
Vi/), GiiJa Gie Ifeh qre tiC"u ella hilIll (Yll de kh6i e6 m6i lUling quan m(lI
m(lt: kh6i cAp III SC luong ung \'(Ji nH)t lieh It'lgle (hJeu IhLIe h(li) g{')lll
(II-III) Im':'I\.
D!nh nghli.l tic" t6i giall : khlii c dLH!C gQi 1:1 Ifeh uii gi,11l eLJd !J;ul1In\Yu:
hqp V(c) ella de dinh ( kh6i h;k 0 l.
Vic) n V,ifI" 0:
Vic) c V,(O U ViI):
:::3 khoi c': V(C') c V1(O u V,,(f) \';1 V(e) (;; V(e').
Vi du: Gi,-i slr ta co ho1l11.f(x"x
1
.i',i) e6 hqp dinh Vo(j), V M) \,;'1 V,M).
j
c
o

1
o
1/
d
{
L{
Vo(t) : (0.0.0).11.0.11) J
V I (I) : (0.1.0).(0.1.1 ).( 0.0.1 ).1 1.1.0)J
V
2
(1) (1.0.1).(1.1.1)J
IIlllh 2.5 Lie tich tlii gi,ill (,r Lr) \ il (,u 1 J.
27
www.Beenvn.com - download sach mien phi
eic dlllh duqc elien b,\ng khat (.rlO) ehua trollg VIU) \,) V,M). \1:,It f..h.\C
c,ie dlnh duq'c bieu dicn himg khoi (xIx) cung l'ht:l'<.l lrung V IV) u \'If) vii
kh6i (xIx) baa phil bai khl'li (xIO). Do do (xJ()) khoIlg phaJ [I [feh t{ij gl;ln.
Kh(li duy nhAt bao phil (xix) li\ (.ux) nhung t(lp hop hicu dicll kh{ii (xLr)
kll(mg Iliull trong V I (I) U V d(l), do do (x Ix) la lfeh d(m gi,l11 ella ta
cling co Cr.rJ) cfing.la Ifeh t6i gi,in.
Ta P la qp hqp lal d e.ie lich teli gi,-Ill ella 11;lIn [('Jgic';: khi d6 ta
..,0 co drnh 1:;- baa phil Cl,re tieu sau:
Hinh I)' vc han phLi qIC tieu:
I\'cu t(lp hem \I(C) eila de dinh dier} 1,:IP IH/P de kh6i (' dlf(l'C cho
je(c) UJ(a)
,,,I
khi d6 t(lp h9'P (Hy du de tfch toi gl,in r sc trqng s6 nho nh<.ll trollg tAt
cit dc kha nflllg co co ella C tho,1 man t!lu'c:
V,(j) c V(C) c V,(j) U V,,(fl
Hinl1 trqng s6 duqc gi,; tlm?t 12i dlJO"ng viI dun dju v(ri c,le dQe
I<:tp so luqng dc bien tham gia vao ceie tfcll 1{)glC ella tLrng khrii \';1 s{)
luO"ng I[it ca de kh()l.
chung mmh djnh 15' nay cllllng ta dtlng phuO"ng phi.lp ph.11l cl1lIng. Cii,1
'-;tr t61l lai tZtP hqp cae khoi C' co tn,mg sf) qrc tleu khong tho,-I mall yell
c:lu djnh Iy, khi do trong cae kh6i do ton 1'.li it nhAI m(J! kh6i kh6ng ph<"ti Et
tich toj giilll. Kh6i (lLrqc k)' hiu 1;1 (", khi d{) la I,)P lwp:
C" =( C' -{e'))u (e").
trung el6 (''' El tieh 16i gi,in bilO phil c'. Ta c6 s61U'<,mg cae kh6i (rong trip IH/P
C' \';1 C" btlllg Ilhau. VI c" la (feh toi gi,ill (e " +- (') \,;1 bao pllll ( , lIen [["(.lll)!.
s6 C" nho hun C. Dieu do lit m[\U (huttO d ta gia thiet C' co tr\lng s6 nho
nhAt.
QU'ltr1nh teli h6a cae logic dl!<I (r0n cet Sli djnh v6 ban phl!
16i thieu e6 Ihe dU'<!e ehia 1:1I11 hai giai dO:,lI1 sau:
ill X<ic dinh W.'I d c.ie (fch t6i gicln clla hi'lI11 logicI( ltip hqp cae
tieh t6i gian ky hlu 1(1 P )
b) Tim trung (,)p hqp dte (,)p can C ella PUlP h(iP c6 lrong
s6 cJ!c c{le kl16i C'"ill Ihoa man (hue V 1m c V(c).
www.Beenvn.com - download sach mien phi
2. TIIIl (',ic lich hj'i ghlll trcn l'O' St't hieu dicn true quail.
PhuO'ng ph,ip Karnaugh
ele lfell Il)l glal1 e6 Ihc dU'Cie tim btll1g nllCmg so" (j() quall f.-hi '-;(l hlc'n
clla h:l111 r I1h(:). Twn)!. ph'ln Ir':n chung la aJ chi ra rtlilg. logic.l elU /I
bien d(lC 1,:IP c61hc dune ell0 hilllg deh g;ln de gia tl"i 0.1 \;1 d ellO de dillh
ella khr'ii /I Chll;LI. (llo-a de kh6i n chicu d.c Ifch 16i gial1 e6 tn()1 mcii liC'1l
dUll glilll. Theo d1l111 nghia [feh [cii gian c6 thc hlCll dlcn hang IlH)1 kh(ii.
[\VII kh;ic lll(yl kh(li cap III [;1 kh(ii con m chieu n;\]n lrang kh6i /I ChlCU liel!
101 gi,-lll 1;1 kh6i Ir'ill nhSI ll;illl Inmg hop V 1(/) 1..) Vit). Kh<il kh61
lr'm nkll Irollg Inr(l'Ilg [](/p c6 nghi<l Iii chua Itll C<t de dinh ella kh6i ";1
kh(ll1g 1{)11 IHi dc kh(ii e(l chieu 16n hem n;\ll1 Irong VI(/) u Vif)
Tbu Il,le 111_'1 k1':-'111 1;1'1 C'I cele 1Jeb 1cii gi,'m cua h:l1n l\)gIC.I ell,ra ";10 Ilnll eh,YI
n61 !rl'll dua 16i '.-i('c tl1l1 t(lp [1l)'P e,ie f.-h6i co cAp 16n nh,lt c6 Ihe cluuc y()'j
1/1 < /I baD phlll;'!1 c:i c<ie d/nll-J \,;1 dlllll-d ella hi:11l11 Nc"u /1::;3 IO:tll e6
the duqe Iln.re tui:mg minh tren h,in \'c e:tc k1l6i; IWllg tnJ'l:ing h(,)p /I ::--1-
iip dung phu'o'ng philP trl,l'c tie'p Id1 nen kll{) khCl]l.
Pillto'ng plutp tHing Karnaugh.
Oic dll1!1 eua dc k!1(:i"i 1:1 de 6 cLla h'-ing. ele () dIll h,'lllg c6 loa d{y
!lhu' dc dinh eua kh6i. (ii,i tr! lrong 6 gi:t tl"i eLla h;llll r I,ll dc
dinh tHUllg (rug.
Cill" dillh dlf(.IC kl:'1 [H,l'p Ihc{) quy 1{IC

1 bi dlllh 1,111 dn ( hai f.-bul b(lc 0)
1..\0 J11()1 kh6i I. Ctc dinh
11;-1111 Ir0n bIen ella being cling !:I cac
dll1h l:m C(lll \'61 nhau. C[IC 1\)<-1 d(l
dtlC I{\p dU\l'e 1;1 x.
B6n dinh l:m c:tn c{) kC"1 IH,l'p 1,.10
11101 kh6i h(lc 2 ehl1a hai toa
d(l d(lC lrtp,
T,im c1inh [,In e(m 1,.10 thanh kh6i
lxk 3.
'I
00 01 11 10
00
i
01
1 !
1
11 1 1
,
10
IIlnh 2.61'11l((111g pli,ip
h,)llg K,lrIl,lllgh
1
1
29
www.Beenvn.com - download sach mien phi
Vi du: ]();;ie hieu dlcn qua 1(lp herp cae d/IlIr-J
j = V J 4. 5. 7.9. I I. 12. 11 ) =
V xIX,X,X , V x,x,x,x-l v.\',x-,x,x.
1
Dc llil IIHCll hoa de hilm l"i dang cllU;in I{IC luycn la dLmg dIe ,1111"-/
'"it dillh-d.
V6"i de ham ehu,-in I,k IH)j la dung cae dlll"-{) "il d/Ilft-d.
J. Phuong phap h.lO theo d(' Im6c
pha!> Quine - .\IcCluskey
Tim ki0'1ll cae IIell 16i gi,in Iheo h<illg Karnaugh lrl1 nC:n nYL pink tap \.1
mift linh Irl/e quail 1l0'u s6 ILfl/ng Gie bi0'll ch)e 1<:lp ella halIl1 h'lgle \UO"1 li;t:!
n':im. U"U diem eLla plwo"ng ph<.ip b,ing Karnaugh 1:1 (\ ehb eho pllep ",\c dil1h
Itll)t deh In.re quan linh lien k6 ella cae 6. M61 phuung phar kh:i qll<lll In.lllg
lrong de h;u 10,111 ICii thicu hoa de hitu Ihue 16gie 1:1 phllo"l1g pha]1 Quine-
MeClu:-,key. PhU"O'llg pltap Quine - \1eClu,-;key eho phep x,\c (1Inll 11l:Jl kc
ella de dinh hill1g e,ieh [(Ip b,lllg.
10
11:1111 [()gle ctuqe eho h6i 1{lp hl/p de kh6i cap 0, ell' kh()[ nilY cllnie
ehia Ihco nhllln. S6 luung don \'j lrong de khCii li(:n kl: eliCllh !Cell
nhau m(l\. Trong \xlng ella uie kh6i dip (), la s:'p \c"p de kh()i 11ll'1l
iLJ'(mg don \'! "il nh6m de kh6i e6 dlllg lHong dll,[} \ I llH'lt c;:iclt
t,iell hlt.
V I du: cho h"lmI bicll diC:n bllllg 1(lp h(:rp de d/IIII- I \;1 Iflp hop de dllllt-d
f(.\'J.X2.,\,.X-l)= VJ(O.2.7,R.9.1:l)
",,(f) = ( :l, 12, 10 )
Qua trlnh klcm Ira t[nll kc ella e,ie dll1h duoe Illl.re lti1J \(\j !:II l',1
ciie hop de kh6i d6i \'ll"i hai nhtlll1 l:lll C.)II. l\cu hai kh()l kh<ie
nhau [x')'i I viI 0 chi ('"i m(ll V! IrL \'! Irf cl6 dlfl.!C dell ,r \'il llh,'\ll dU(il' 1l1(11
khhi e6 kle I{m hon. Kh6i nilY ctu"t,)'e dua \';10 b;'l!lg m6i \';t danh dflu
'I" \'i\O \'1 tri ella e,le kh6i ban dilu duqc bao phl! h6i kh6i e6 c,Yp lCm
hon. Sau khl IhtK hin bUel'e J{)i \'fij If It dl de kh{)i la dllqe
b,'mg m6i c6 m()1 nh6m II han so \'t'J'i IX'lng ban dfiu. Nc'u trong h,'lllg
www.Beenvn.com - download sach mien phi
]w'ji c6 llai hOi.lc nlllcu hcm c[le klH'ii gi(;!lg nhau Ih'l chung ,\L' bJ
loal ho.
QUii 11'inh Irl'll \0 duoe I(lp 1'.li \'(j'i de t-.h6i cap I elto 16i khi ehling la
nh{\ll dunc h,ing d6i \"6i cic kh(li e:t'p 2. Ti"::p Il.lc qu.i Ir!nll \'()'i eae
-- S,i Kilol
dOll \'1 I
. '------OOO(j' -1---,--
._--_.. ,-
--- -

[(lOU
=_: :--
1100 _,_
.' (J II 1 \
----- --------
II 0 I \
I
Kilol L,LP I
- (1-' ___ +-' _ :'
1 ()Oc,,'"----f--
.-----j--.- 100" -+- ,
:;:DIU

II
-----'r--;I\() I
II(h
()
Kh(ii C(LI?2
.,,0,\0
,

kh(li dp 2, cAp .. V.\" ehn I{ii klli
ta kh6np. ebn nh{1Il du"c)c de Ixing
ehll"a (hYu 'I".
Trnng de hang nll,)ll dU(Je IL(
phUlfng phap l:.lP lloi Irell. nhCl"ng
klIcii kll61lg duc}c datIl! dau b(ii
'1"' nhung Ifch tcii gi,in ella
halll 16gJC hem d:iu. Trong vi cll.1 if
hlBh nllll'ng tieh I(li !:P"111 IiI
(OOIr). ((hi I). 1,'hO). (''')')'
Kill Irong h:eu ellen hilll1 c6
nilling dlllli-d. 1.1't dlilillrng dlllh (t6
..,0 dU'9'C 'ill" dl.lllg nlllJ nhCl"llg d/nh-J
\':1 I1lYu "all kIll hJcn cllll lal
IlH)t kh6i ban plll'l l:il d c,ie dildl d
till kh(ii d6 e6 Ihe IOdi bll.
Plufo'ng phiip (Juinc-
r-.kClu"kcy dlja Iren cae phcp
do dl) eho phcp td ell the \:IY dlrng
de chu"()'Jlg trlllh Il"en lll;iy linh
hlen l(ii Ihil;lI hOil e,ie h:1Il1
16gie d6i \'6i nhL!"!lg h:\l11 d) ,,6 hie'n
16n,
lIinil 2.7 I'IHf,illg QlILIlc-lIlcClu,kcy. :\Jgoiu nllL"rng phWl"llg ph,lp IlCli
Il"l'J1 eon llhL"fllg phuung ph:lP Ill!
thicu hOii de biell tht're IllglC Iren Ifeh t6ng qual. phlflfng ph.lp t6i Ihll<u
IlO,i Ih' ck 11,\111 j{"'Jgic, de phu(J'ng ph<.lp Cic phuo'ng phcip l1ilY c!to
phcp gl.1111 Ihh'i gian l(ii thieu hmi e:le hilll1 logIC ph(rc \'.IP \'6i 'rei git'l[J CLLI
mii)' tinh.
4. PlllioUg phap fun cae tich tiii gian thong qua ticll kCt hqp
Phuong phiip tn,re qUilll eung nhU' phuang ph,ip dLllIg [xing de tim de (ieh
31
www.Beenvn.com - download sach mien phi
t6i yeu d.ll ph .. 'll 'dien h;\lll i6gic ball duu kmg: nhang k1I6! Cifp O.
Khi ,,6 bic'!l d('lc ell,\ \[l1lg 1cl1. sf) !Lrqng de khoi dip () ,,<: \,"lIlg
theo 1)' I mo.. do do tim nhiIng Ifch 16i g[,ill Il1co cae kh6i co cYp Ill)'
)', trong ky Ihu(\ng <ip dung de phucl'IJg ph.:'tp chi so. Sau day (i1llng la
nghicn Cllll phUCillg phar t{ji ho'.1. elva tren linh \o,in de kh{ii. PIllI'Ong:
phi.lp qu;i! hOii phuong ph,ip
Dinh nghia tiell ket IWp: Tfch kt\ ho'p c,' ella hai khoi (',. kht:ii c6
cL!c dc.li thoa 111an:
V(c, )cY(c, V(;)=t-V(c,). V(c,
nJ co Iht nh(m dU'0C Ifeh kc't hqp la IhL!c hin de phcp Ilnl1 thl'() hlnh 2.S
d6i \'oi nhCfng hlll1g gi,i tri Clll1g len eLla cae kh6i. C'lC ],;,0'\ q:.:.'l sc dUde the
hln nhtr sau:
T\6u trollg k(t qu,.! eua de thao t,ic d6 h.), lW:1l Y XUdl lHcn trunt! hal
hang hm\c nhicu hcm thl khong ton \i..11 tfeh K0'\ ilnp. Trong tnrlmg lH)'p
hai oinh kit k5' ll[(llll:'- (rllg
ntlltl trong Y( C
1
) V<.\ Y( l', )
kh6ng e6 chung canh \tung ,",Illll
1/ ehi611.
lIinh 2.S Ciic phe]) 11m lieh kd hop.
!\Cll .\ kh{mg .'\U[il hlen
dicu d() dl nghla 1;\ dl !I1()1 ,",1)(')1
ehe rltll ,",h6i kl<.\ d ,",hCm)! ph,11 1<.\
tieb t6i gi;"1l1. Trong truling hup .\
xll[i\ hiel\ tiling tal !11()! \'! Irl. neu
lhay \' b(')"! .\ lel nil.\n du()"c llH)!
kh6i lhO,'1 man C,IC LliclI ,",Iell clla
dPlh nglll<l IIell ke'! hO'p. Do ,",h6i
duoc chua.\" IlCll khl'li d{l tho,llllJn dicu kin: V( l' 1 -I- V( c
1
l.
Y(c; ) ;i:. V( C
C
); ra do kc'\ qU<.'1 ella rll0r 10;ln gil-fa.\ \';\ I Clll1g
nhu' giiJa.1 va 0 btl11g 1 d,O wong ung. kh(ii 1111;111 duU'c thu,; lll:J.n tllCll
kin V( C; ) c V( e] ) u V( c] ). Thea kc't qu;'\ clla de than \,IC, ta nh')ll
dw.1C kh6i ell b(\c Cllc d;,\i.
Vicc tim de Hch l(ii gi<.in tfong t(lj1 11(.1p b.\1 dc kh()i (' d) the r.\
theo ,,0 (\{i SHU:
32
LO,.li bb kh6i (", ra kh6i trip hCJp C n6u V( c
i
) c V( c, ).
c],c
2
E C.

www.Beenvn.com - download sach mien phi
Tilll'c hin 11m tich ket iWp doi v('i'i (/' C.' E C va. IH.ip ('
trong lnrcmg h0'P cho phep.
Thu tl,le n;IY dW1C tlu.re hin cho den khi ta khfll1g the them vao t(l(l (' ceic
kh6i moi. Do thu tl,le n:1Y hao ham dl tllll ll:lc hqp ccie kh6i hen kc dd dw.'e
m6 tei a pheln tren, kc"t qUe! ta dUal' de lfeh t6i gi;in.
Tom lai chuonu haL ChUlln ta da nubien ellll Cl1 <.;0 16"ic dl: x,h'
. e '" e e.
d\rng de s6. Co su OilY bao gam Ch.ll s6 chuyen nwch - de.li <.;6 BooL
dien d.c hlll11 logic btll1g ccic (l<.\llg churln ttle va de phu'ang pheip t6i
thieu hOil ccic bieu Illlk i6gie. Nhilng \'[tn di': nay ntlll1 lrong nen 1,lng co' him
eua k5' lhu(\l thie"! k2' cae mach 16gic.
www.Beenvn.com - download sach mien phi
CHUONG III. CO 56 CUA THIET KE LOGIC
CIC mi.lch 1ich hop xlr 15' dc Ih6ng lin dUQ'c bieu dicn trong he nil! pilC!Il.
Khi xfly dlJng 1Il'.Kh, de loan t!UJ'(l'ng: dW.le hieu diell qua de h:l1n
logic, do do bieu dicn c;k hihn logic lrong cae In'.leh tlch hqp b,\ng nh(rng
rhu'o'ng tin thll iil. co' so cua thie! kc logic. Thong thuong. de 16gic
co the lhll'e duai dang .\c 1l1'-.lch ttl [](/P, nhung lrung ph:in [(m d.c
tlUo-ng hop de c6 do tfeh h0P cao tlll.J'C llhl'tng ch{l'c nang h.li
theo thoi gian. De lUll IrQ" dc kel qw.'t trung gJan ell<\ uie phcp to[]n \<1 t[uyc
hien qLtLi trinh lc}p thea thll'j gi<lll de chue n{lJlg 16 hqp ctUCiC hien tren
llhCl'llg 111i..lell thao tal' turin tLL Co 'i(j tllle! kc de n1<.\cl1 tic clong lur\ll IV elva 1:1
m6 hlnh cae l1!6mal huu hi,lll. Trong chucl'ng n:l)' chClng la <;\0; nghi('n CI'I'U van
(16 tiliet ke de mHeh t6lH:p 1111et k6 d.e IlWeh lile ch)ng tufin IlC
3.1. D:;ic cu .. tlU{l trinh thic't kc' l11<:ich may tinh
Trong ehuCing nily ehClllg ta ngien eCru eac phuong phap Ihie! kc' de kh(ii
chIle n,lng CUd de Ihiel bi tll1h to{tn. Cae thiet h.i Ifl1h IOilH thve hi('11 de
vi thao 1{le d6i v(l'i eac tIn lac Qt)ng. Cae vi tlmo lac lu'ong Cl'ng \'fii Ihang
11'(11 11,1' nhflt trong Ihang ph[m etlp dc phuong phap dicn C<1e th!c'\ bi
1 fllh IO{lI\.
Ctlu true eua cae kh6i c!nk nftng phLre t'-.IP hon etlll IrtlC eLla de ph[lI1 IU
higlc. Do do Yic nghl..?n CUll ule hoc.11 d6ng ella ({tC kh6i do Ir2n cO' m,.tch
Ill' !le'li chung kh6ng th":" thy-e hien elw:c. Trang ky Ihu(lt thi,:'t kc'. ngl((\i ta
nghicn eCru hOi.lt dt)ng eua c,ic khai ehue nang ll1t)t dch gfln dung 1Ili:1
dn thi6t phai tIm hicu cae so (16 nl<,lch lrung IfUt'ing hQ"p kh6i 1;1 nhO'ng
cflu true h)gic. Cae cflu truc logic nuy ciuQ"c xfly dung III nhCrng ph:in ILr It)gie
I)' !U'lillg.
1. Danh gia tho'j gian tre trung nit' Ill',lch 16gil:
Trong quit trlnh tilie't k6 C,-IC thiel hi tlnh Imlll. thiC't k6 kh()ng dl!

www.Beenvn.com - download sach mien phi
Ihiel litlll dc' c,llh6i gi.m Ire eua lill hiu khi eli Llua c.le phan ILl' [(lgie \'il c.lc
lto,m mach. Thi\i gian Ire nay ,Inh !llfling l6'n den ho'.11 d6ng ella mach lrong
tlwe Ie'. Do cit'! \'IC I)1l) 1,1 XLr Iy' Gie gla Iq Ih('1i giatl trong c,le IlllC'1 hi
I[nh \(Xlll d{IJlg val Ire) quail Ir9ng.
Trong me) hlnh don gi,ln nh[11 \'a ph() bien nh,il ell<1 de phflll til' l()gie.
mQI IhU(lC Linh cua Iho'j gian lin hiu qua 111'-.leh [I Ih6i gl,m Ire Ihu[ln
'" . Twng Ilily. Ih(1i giom Ire eua mach gum de phfin It! ehCre
nl'li liC:'p sc htlUg Iring de Ih('1i gian Ire eua C,IC ph[in Il'r chCrc n[mg
V(I lhi)'i gi<ln tre ella ule phrin tu' licn kel. Thong lhui:mg L!lb'! gian lrong
dc ph[in IU' 1<1 nhung d<.11 lU9ng ngtw nhien. do cit'> \'iCcc tinll (i0'n C,IC gi,. Iri
Ih(\i gwn Ire phc'u sit dl;lllg cae plllrO'llg phap thong k0.
Thlllig thU'l11lg cae IIha >;,.In xllAt dlia ril gia [ri cLfc d'.Ii Clla tho-i gian Ire.
D()l khi de cling dp (hiy du th6ng tin hall \'C Iho-i giall Ire, nglrh'i ta Cll the
dlta ra giii Iq CLtc dai \'il nhiJng gia tri li2u hieu. M()l c,lch (by (ttl hcm la c6
Ih':: ulng C[lp gi,i Iq L"l..rc dai \'il qre lieu cua lho-i gian Lre. Trong nhlIng tnl'(\ng
IH.'p Ci11l d() chinh xile cao ngu'i\i til dn phili eung cilp nhiing (be linh Iheing
\-..l' ella Lhll'1 gl<lll Lre nhu k-5' \'(.mg Imin hqe E, plllf0ng >;ill 0' \':t 1116 la phu
IllLl(lC ella Ihl1i gian Ire nllu'llg ll1l)i Iru'lmg hen ngo;lI nhu nhlC!
d(l, d(l [im. d{l drill di0n ..
!\":;u ell! bict gl.lln ql'e di.1I ella Ihi\j glall tre. dlii \'()'j lIll)1 Ini.KI! Irll) en [Ill
llieu h:11 1-.:5'. la clll e6 the dPlh du't,l'e rl\J1g [In hlCU khi di qlla 1ll<.Ich s0
b! Ire khong h,'11 IHm Iring Ulc gJiI Iri qrc d'.li ella lhb'i gian Lre. Ttl d() llH)1 h{'
qu,'t quan Lrong 11<IY Iii chung La kJlrlflg ('(I kil(1 filing VI .\'/11111 {II/if giull lUll
11'11.\"(;'/1 f/ll (/lIiI ('(il d(llill 11111('/1 JJl!ic II/III/I. Trong mqi dOi.\n m'.Ich gi'l Iri
thiji gian Ire e6 [he" nllt)
N0\1 ehLlllg la hlCt gl:\ LI"! qrc d'.I1 va qre tleu clla Iho-i gian Ire. khi d<'l
lrung llWi dO;.1ll m . teh 1<1 c6 Ihe x;ie dinh gi;i Iq el.re (t.li vii qle Ihiu ella Ihb-i
glall Ire. Trong tru'lmg IH)'P n:IY, ta c6 Ihe so sunh dU\ie cae thl)'i gian tre
nllll'lIg Kc't LIU,"t e6 the x;ie djnh hO(IC f..hOng .:..ac dillh. Dicu d6 [hU(IC VUlI
c.ie Iham so Ihb-i glall Ire eua de ph:in ILr vi:t s61lfqng de phtln ILr trong do'.1Il
Ill.teh.
Trollg nhCrng tnr('-mg hup gi(1i hi.tIl cia hie'!. thl1i gian Ire Ihli0ng dU\K xae
lIlllh bill1g e,iell linh den nhCrng f..h;'l n[lI1g x{iu nh:il lrong qua Irinh IrUYCIl Ifn
!lIcit. each linh \lay dl.J'a lrcll gjii thlct nhu sau: thl1j giiln tre ella lin klli
di qua de ph{in ILr co Ihe dWK xac dinh th6ng qua nhCrng tn)' ng'.ll kill dam
bao nhO'ng ehC' dQ 1(1111 xiic dinh eua philn lir. V6'i ehe' dc) Eun [Y'
36
www.Beenvn.com - download sach mien phi
tw'mg. Ih()'j f!IClI1 Ire c6 the COl nlm g{ll1 bimg kh6ng. Khl kh{mg th':; (bm han
dU<.K che' dCl \i\111 VIC tw'mg do :l!lh hu'()-ng lit bell ngo;u. th(ii lrl; eua
tin hlCll sf t,"mg kn. Tronl.! Iruo-ng hrjp dlj"J1 gian nhlll hili tin hicu b\
ph,in ILl' lam meo. thi)'] gian Ian IrLlyen c6 the dunc coi El elf) \ch pha ella
till hieu ra tunng ling \'(1'i tin hi2u V;10. Noi chung plllfO'n,g phap ]lil) dli tinh
den nhilng trLfll'Ilg I1l,)"p co kh,i Xll)' ra nho nh,"lI lrollg m'.lch l.\ic lhcll
kin hU(K c{) the dlfCiC X:lC d!nh nhu' sau.
Ta .\a hal throng truyen tin hlU. mClt dU'lmg chLra:-..J
1
ph"lll IlC du(mg lh(r-
hal chua N\ ph:in ILL Cii,'] thie, rtll1g Ni > 1\,. +)(:;i \'6i nhCrllg m'.lch 16c d() cao.
la din ph<ii lfnh Je'n Ih6"i gWtl Ire eLla d nhi:i'ng m,.tch 1-.0'\. T{;lIg lh(\i
tre Irong !lhO'llg nwch hen k61 dUQ'e k)' hi2u 1;1 I" sc duqc I [nh g(ljl \'l)'j Ihi)']
gian Ire ella ph'ln ILL
Thb'i gial\ tre lui Iren dui:mg tin hiu eh(ra l':J. nh6111 phrln tIl' bang
II I11LI1= N I., Idlllill.
Thoi gian Ire 16i dOl dU'ong tin chua N\ nhom phfin Ill' ,,12 hang:
t.'iLll;h"" N". tUlll<L.\
Trang qua Irinh Ihie't ke la cfin Ihm't dicu 11 't:--, hay !it:
NJ / N:--, "> tJm,)\ /1,1111111'
t\lllr \'(Iy, nC"ll h thu'e lrclI tho.'t man Ihl IronS Inri\ng X[IU nhSI lin
hiu Iruycn Iheo ducmg co it ph::in ILl' se nhanh hcm then dui:lng cli(l'a nhicll
ph.ln Ill'.
t\'6u linh d'::"ll nhung dale tinh Ihting kc eua thai gian trG chLing La e6 the'
ea nhling danh gia ehfnh x,ie hO'n vc thai gian trc ella lin hiu khi di qua de
phfin Ill':--'O \'(1i phuong phClp ctlnh gi.i Iheo kh,-! n[\I1g x,Yu nh:I'!.
eii;.! Ihiet ril11g. eac gILl. In Ihl1i gian Iii cae d'.li IU\l11g ng[IU nillen L10e
va e6 ph[111 b6 xac su:it gfin voi d'.l!lg ph,h) b6 Gall"s. CiI:1 Ihi'::"l n(IY dUl)'C
olJa tren co Sli cae nghien eu'll tinh ella cae pho:in ILr !6gie.
Y 6i nhung clieu kin do, thai gian Ire lrung m()1 do'-.1Il 11Weh gC)1TI de
rhein ILr mite noi ti6p se duqc eoi 1a d'.li lu,<!ng ngau nhien c() ph<.ln b6 xae sufll
dano Gau:--,s vo-i ky' to,in hoe E va phuon
o
sai 0'2 IiI eua de: k\' VOII\!.
. /;' . /;', /;' /;' -'
loan hqc E, va long ella Ule: phuCing sai 0"/ ella piJClll h6 x,ic slI(i( ellLt de
ph,'in tu' Ihanh ph[in. Khi do .1 eLla thb'i gi;lll Ire Irell dO;I') ll1'. ,eo nhi6u
phjn tll' ,,6i Ihi'ii 1re Ircil c1OL,lIl nwch
ng;'lu nhien co ph,In bu X;1e: d,.mg Ci"
E(,\) 1-_((,)-
'I', 11 ph:in 1<1' hCitll dll.s la d'.li lu,mg
, \,()'i ky \,(Ong 10;\n hoc' E htUl)C,'
37
www.Beenvn.com - download sach mien phi
trong d6 E( \[, )V;I E( 1:, ) III k)' vqng. to,l\l hqc ella thbi giun tre tren dm,lIl
mach I, vii S: va phvl1tlg sai
DC\) D(t,) Illt,)
!mlll; til) D(I.J Iii plllfn'ng sai ella thl)'i gi,m In': Ir2n c\0,-.lt11l1,-.\Ch L \';1 S.
lrallh xung d()1 thl tin Ir211 du'cing e6 nhicu phan IV phi.'ti
ch,-Jm hon t[n hi2u trcn dufmg c6 il ph{in Ill' han 1l1(1t kl\(xl.ng thai gian Ian
hu'n ho'.le I1flll. f
r
, ..
X<ic su<Yt de diCll ni:ty h! ph,i \'0 e6 the (hJ(l'C xac d!nh nhu ta xi'll'
lImh d'-,Ii Im.mg:
E(,0.)-1'1
n '----
0-("')
Irong dr), crl,',) =, Il("')
x,\C dicll kin tnlllh '\ung dOl b! phii yfj Iii Xite eua Iru'G'ng iWp dai
Ilrnng ( L\ - 1:\ ) Ich khai E(.3.) 11101 dm,lll lXll1g 1/ do lch quy chu,1n. V{ij gi<.1
In 1/ > 3, xLie sU<.YI nay du\:iC Ifnh giin dung Ihea e6ng link:
Khi ",ic 1tinh gi'-l Irj Ihhi gian ta Gin ph:ii l[lIh dc"n ;inll hl(1))lg eua
d(), ella 1;li, ". 10n lio,-,II d(lllg cLla ph:1l1 ILL
Cie tillh to,-in lIeLi Ircll lrong HUang hO[l thtJ'i gian Ire Iii (h,li luqng ngtlLl
nl1H:-n dU\lC sv dl.lIlg cho Inri)"ng hQ'p Il1<,lch duqc x[IY dl,mg til' nhu'l1g ph[in ILr
bi1. Khi 1ll:'ICh dll'qe )\1()1 c,leh d6ng nhrll Ircn m()1 tinh Ihe, Sl! 1,'111
1l1'.111 IlJ'O"ng d6i e':I<,1 Ihbi gian Ire gi,-\m di do Slr tlfung quail giCra c,-ie ph'lll tLI'
mach, Ih(ii giall Ire lril' nen g<.lll nhv U'll
2. eie U; hqp va cae tmill, tl!
Sv phfln ehia eSc mach s{) th:l1lh c,Ie m'.lch to hqp \';1 eac m'.leil tu[in III
XlI,-Yt ph,-t! tv e[le dicmkh{lc bi! cei b;m giiJu ute linh eua chung.
Cic bien dilu ra ct'Ja cae 111i.lch t6 h9'P chi phl;! tilll()C v;tO eSc tac d6ng \,ZIO
m;.lch lai Ihai ditm hin !ai.
eic tu[in tLr tinl! toan cae gia tf! ra d\-J'a \,:10 cae gi,i Ir.i chlU vao
khong chi tai thO'I diem hin lai m:l clm phl;! Ihuoe Ci\ \';10 nhCmg Ir'-.l11g Ih.ii
Clla mach tlnh ILr l!lfji dang xct Irl") \'C tnr6c. C.ic tr<.ll1g: thaI clIa 1l1<.lch
3X
www.Beenvn.com - download sach mien phi
Ill' chroe ltru Ifll" \'(\0 Cal' ph[in III nhl'i lrung ph,ill elLI :1',_, .. Tr:lil,O!.
Illal ella me.teh lai mIll thb'i dicm 1;\ ell;! eiL' Irdng Ih,'11 l'lld 111.t,-,11 \'i]
de gICi If! (till \'au tal cic Ihui ,ilem Iru'Uc c!(). :"JIll! mach IU,lll tLl' bl':'ll
doi lll(li chulii de giii Ir! ella uie lin hil'u \'ill) th:inh ellUl'll c,ie gi,'t ll"! lll<l lin
111U ra. Cac I11<-,lCh Ilj dlJq"e e:lu 1,.\0 Ix\'i hai rhein: de h() ph,)n n\J,i' lk lUll
trD' cac Irang thai eua 11Weh: mach 10 hor dung dc dlCll khlC:11 cell' plt'lll ILr
nha viI hlnh thilnh de gi:i Ir! tin hiu ra.
Trong ky Ihu:lt tinh loan. de m<'leh (() hqp l:t ceil' mach llO,i, IlIa.
b(l '-;0 '-;,Inh lin hi\'u. htl c(mg. Cae 111<.1eh tuSIl IiI Ciie tngn. c,lc Ill.ICll nl1(\.
thanl! ghl. b(l dC:ll1. Ci.c phuong ph,ip t<:-;ng hC,lP \':1 [1h;'1Il lich c,il' 1I1.ICII til
hqp clel'n gian hOIl so \"ai mach Il!<l:n tl.J.
Trang qu,i tr1nh IhiC:'1 kc. Ule m'.leh so Ihu'(lIlg dunc bicu dicn nlll':u
plwo'ng ph,lp. \'i nhtr e(le lX'HIg, 111a Inll1- di) thl h:IC elL' (l\()]Jl.II
lvL.leh te)
l19'P
\'LlCh
il il()'
Till illeli 1'a

111ll(lC \';\0 Uch \':\ d(l
plll're 1,,1[1 ella lllilCh 1.1
Ina ch(.l1l plllrll'llt-' plJ,'ijl tl1ich
lhip. \'1(lt cach Icing qu:'il. ca
1l1<'lcll i(i hnr 1,ln Illi..leh tll;ill Il!
c6 Ih(' dl1'(.1'_' l'l1ell (llC:ll (,IC
(J!(lillal. C;\"" lltlll1lclt till' dll(,"(
blell dicn hallg sO" d(i kl'l h( JP
giCi'a mach nh6' mach h-i h(l"p.
1\1;.leh nh6 dung ue luu IrD' Ir',lIlg thai ebn mach tci hop dl!!lg ue tillh Ciic tf'.lllg
I1lnh 3.2 So cui ()](llllal
hitu 111<1cl1 (0 llil'p_
th,li mO'i de lin hiu ra mll'i \'ito lin (till
\':lO, de tri.lIlg thai eel. Vi til,!, llH)\ I1li.leh II) hup b,l\
e6 the du\x hicu dicil btlIlg Im}l lll(lInal ell h,li tr,.mg
th<-ii 'I' vii '0': tIn hicu d:iu ra (hrCiC x(tC dinh Ihell
In.lIlg Ih<-ii eua l'llomal: hilln chu)'c'n trang th,i! \;Hl
tn.ll1g Ih[li 'I' ehinh l1il111 logie hicLl dicll eht.'"rL' n;-Ing
ITI'-,Ieh: tu Ih<-ii '1' h Ih6ng ehuycll tr'.lllg Ih,il
'0' bhng tIn xiic dPlh bhng ham (t;\o CLld hhm
clll1'c nang.
39
www.Beenvn.com - download sach mien phi
J.2. Cae phan hi logic co' ban
Trong qUii trlnh thiet k6 cae m'.leh tfch hqp co m0t s6 phall tli ]{)gie co
b<.ln dlll:e Slr ph6 bien. thl!e cac phfin tll" l6gic plW thw)c
c6ng ngh S,111 XUftt linh kin din tLl" nhu' c6ng ngh transistor CMOS.
c(lIlg ngh transistor truong:. TrL. TILS .... v.v. Cic phfin IU logic C0 bitn
g6111 phfill tll AND. OR. NOT. XOR. NOR. NAND. ngoai ra trong
tnl?mg h(.J"p phAn tlr dong ngat cung duqc coi hI phan tv co ban. Trcn hlnh 3.1
dU'a I"a ky' hieu cae phAn tlr C{j b,'lll \'6i hai dfiL! \'tto.
:-. & \'


----c8 z -- ,\ OI",J
-' -[>--'--c--'-
IIlnh .'.3 Cit phJn Itr
16g1C CO' h,in.
x. y: de d[lulin lJieu \'ilO, z: chill tin hieu fa, (i:
dlrCrllg: lin hicu dlClI klll':n. s.. c,ic lin hieu.
Tren quan didm ve kh'l nang x<1y dl!ng de logic b,ll kyo l11()t sO' phfil1
tll" co 1);'\11 hqp thanh h day duo Dieu do co nghlil \'o-i dc co' b,lll tham

)' Or
7=XorV
=
lIillh 3.-1 XiJy dlrng pldn tIl OR him!, cae ph[in tlr NOT \':1 AND.
gia c!:ly duo ta co the x<1y dl,t"ng 1TI9i hum I()gic. Ta co h de ph:iJ1 tlr
AND. OR. NOT (,.to thanh mt h day du VI ta co the \ft)-! dl.i'ng 1110i 11;\ln
40
www.Beenvn.com - download sach mien phi
logic thea cae d'-.tng ehUi.tn tile luyen hQi vo-i st.r tham gia cua de phan
Itl n{li tren. DLfoi d,-ly ta xet mOt dfiy dll de phfil1 tu logic co ban.
H pher tmln baa gam de phfin ltl" NOT AND.
Ta nh(lll thfty phep toan OR co Ihe duqc bicu dlen nhLf sau qua NOT-
AND:
::=XVY=XI\.V
( 3.1 )
Do do hLim gcll1l C,-lc phfin tu NOT V(I AND 1<'.10 m()t h day du.
phep tOi.\n hao gam de phrill tu t\OT va OR.
Ta e6 phep Im1.n AND co the duoe xfly II"I:n c("l ella phep to<in
l'\OT va OR theo h Ihu."c dU(1i d,ly. Do d6 ham bao g{llll ph:.i.n tll" NOT
va OR cling t'-.LO thanh day duo
Z=XI\)'=XV Y ( 3.2 )
NAND \'a NOR:
C'L.__ Z
'D
. x v y
=
Ilinh 03.5 Xity rhmg ph<"iultr NOR/NAND Iren co sa ph[in Ilr NAND/NOR.
Trang ky thU(lt thiet k de m<'.ICh so. de phan tli trong h NOT-AND
( NOT-OR) dWl"C kct hOp 1i..Li thanh phall lli NAND ( NOR ). Trcn hlnh 3.5
=
=
lIinh 03.6 Xfty dtfl1g phSn Ilr NOT lraug he NAND/NOR.
41
www.Beenvn.com - download sach mien phi
Iii co S0 X;}y dVng ;1hein tlr :--JOR (NAND) trong he rh:in Itf l\:\l\'D ( NOR ).
Ta nh;m Ih,-ly, lrong ph'ln IU NAND, cOng gi6ng nhu lrong he rhan tLl'
I\OR, rh::in tu NOT e6 au9'c m(1t c,-Ieh dun gl,in lir rh:in IU' NAI\D
NOR nhu trang hinh 3.6. Ok phan tlr AND V:l OR cling de nh<:l11
c!w/ ... - Ill' cae phun tu NAND Y:I NOR qua cae thue logic JO'II gian
Trong h phfin IU NAl\D.
Trollg h ph[in IU NOR.
xl\y=XI\V
X\ly=Xl\y
XI\}'=XVy
(0.3 )
( 3.4)
( 3.5 )
XV)!=xvy (3.6)
Nhu' vay phcp to(ll\ chi co m()t ph'ln ttr I\Af\;D m(lt p\1:in tLl' :-lOR El
mC)1 tt:i)' atl.

Phan ttr MlI1g n;;ftl.
Vc khia qlllh logic phan Ilr [lay la phein IU truyen tIn hiu. Phfin Itf dong
ngal thvc hin chu:c nang nhu 111(1t J"O'k. l\'eu
tren dUCrng dieu khi6n (J till gia tr"!
'I', khi d6 kho;."i dong \'a tin hiu 51 (hroe
IIlnh 3.7 PIl,1I111rdullg nj.!dl, tmytn t6i du'(mg S.,. l\C:u gi'-I tri lin hlCLl (;
b;\ng '0'. khoa l\1eJ Va tin kh6ng truycn qua dw\ng SIS,. Do ph,\n Itr
c6 chCfe n(lIlg nhu 111(11 mi..lch d6ng ngftl, nhu cia chi ra lrong Il1l.JC 2.! clla
ehu'tJ"ng 2, ta co Ihe: x,iy cae ham logic chi tu e,ic mi..tch lkmg ngfll.
Trong Iflf('mg hqp nay 101 cfin co duong tin hlu .\ eti d{)i \'6'i dui:l'ng lin
cua hicn x, do d6 nhung mi..teh logic c1U\l'C x<1y tll: nhung phfin ttf d6ng
ng,il tl1lfCrng dWJ"c gQi Iii m'.lCh I()gic hai duang. Trong nhiIng lll<,leh nilY cae
clLrO"ng tin (huang xutit hin theo x d .r. Trang eong ngh che' 1,.\0
nhung 1l1<,lch c6 elt) tich hQ"p sieu Ion VLSI. nhii'ng J1li.,lCh m(ll dU'ong Ihuo'llg
c!trl/c "u d\lI1g. Khi do gi,i Ir! x (tuqc 1,.10 ra hJng ph{ln Ilr NOT. Do d6 lil c()
Ihe n6i dng de phlln Ilt d6ng ngftt ya ph:in Ill' NOT t,.\o m6t h (by du
dc phcp tolln.
Ph[ln Itt XOR.
Ph,\n tu' XOR Ihvc phep tOllll Irll' logic. Phi.ln Ill' illlY dung rieng
hi1 kh6ng the! thanh thiy au clla cae phep IOlln 16gic. Trang ml)C 2.2
ella chuong 2 chung ta eta Ihtly Ill{)t ham logic c6 the duqc 1,.\0 IhaJlh Itl cae
42
www.Beenvn.com - download sach mien phi
phcp toan AND va XOR. Nhu ht; eac phcp tOil.n AND v):t XOR thanh
h day du cua cae phep to{m. Ph[in tLr NOT e6 the duqe t,.\O thanh til' ttl'
Hinh .lH X[ry dlrng phttn Itf XOR Itt elk phfin Itf NAJ'.iD.
XOR bang d.ch eho 1TI9t duong tin hiu luon gi,i tri '1'. Phal1 ILr XOR
xtly uVng nl111' sal! tren cae ph[ill IV
Ngoai cac phtln til' 16gic hai dUll vao nell tren, trong eang ngh0 con Slf
dl;lng nhung plu"in tir e6 nhicu h(m hai dtill etc ph:in Ill' e6 nhicu d.-Ill V:LO
c6 the (hl'qc bicll Ulen Ilhu ghcp noi nhieu lop cae phAII Ill' logic e6 s6 lwmg
chtu vaa [I han nhu m(lt ph an III duy nh[it. Cae phu'O"ng phap xtly dlmg
cae JlWeh d6 dU,\1e ItJa ehqll dV<.l vZIO cae lieu ehurin toi lIll ve di9t1 Itch lmh
the hall Mill eua nwch, nftng iUtyng ma 1TI'-.Ieh tieu thl;! va thi::ii gian tr-? Iruycn
eua till hi0u khi di qua 1TI'-.Ich.
3.3. Thift kf car t{) hqp
1. 'n;ng bqp m:;teh thco bien thlie 16gic
Th6ng thuong de logic duqc bicu tlicn bang nhung hicu th{rc 16gic
ch{l'a nhung phep toan AND, OR XOR. NOT. Nhung hieu th{re d6 Cl\ the
duqe tlllJc hin th<'ll1h thong qua nhung phiin ttl logic co sb.
Bieu th{fe c6 the duqe phan tach duai de ctly 1111h to{m wang: u:ng
vai eac dfiu ngo(tc vii mt."ic dQ I!ll tien ella eSc phcp toano Dielt nay Cll the d;.I'
, duqe neu ta ap dl;!ng phvc1ng phap pilall tich tilue loan hQe being deh
viet Balan Va b6 cae dau Sau d6 dl!a vao dch viet Rtlan cua bieu
thuc 101 x<ly dl;fng cay bi6u dien tlnh toan th{re. Dl!Ll vao cfl)! tl11h
4]
www.Beenvn.com - download sach mien phi
to<in ta Xi.ly cll;l'ng so do il1i..leh btlIlg each thay the' m61 bien lx\ng m(ll dui:1ng
Ifn luu, thay the moi phcp toan bimg mQt phfill It'r 16gie ca \1.I/l. Sau el6 la
X[IY dl!llg m'-.lch theo uk h d:iy dil dlI<Jc Irlnh IHlllg ph.-in Illr(le. 0,'lili
ph[in tlf ea h;IIl eluC/c thay the bang sa do lUollg duong lrong h (l{i:' dll duc:J"C
lL.ra clHJIl va gii.lll uoe mach hAng nhling phcp hic'll ch)i l()gie, \'1 nhu Iwi
pllfin tll' NOT !TItle noi tier e6 the: Im.li bO.
Ta hay xct m()t VI dl;] thic"t kc ml.tch Ihue hi0n bicu thlre
I()gie: f:::;: (x + y.z) EB 11'.
fiicu Ihll'e n:1Y oUCjc viel du'6i d'.lIlg deh vic"1 Balan nhu sau:
t = 11"X)'= . + EB . Cae bu6e phdn ti.l.eh clU\fC tlwe hin bn 1u-r.11 cho den
khi duqe Illt)t cfIy hOJJI ehinh, voi d.e nut trong cae phep loan va cae
nlil IS III d.e bic"n .
Trong VI dl;] : ta sc co cae hu6e Xi'ly dl!ng cay nhtl' <.;<.lU:


(x+ y. z)
--
+.\.. --.
l0
+.
mull 3.9 Xii}' dlrng <;[IY linil lo,ill bleu
\V \\
Illlk.f = (x + y.i) EB 11". I
C/+b
. I
7
Theo cay hi6u dien trcn ta c6 the xii)' dl!ng sa do bitu ditn m<'.lch theo
de phcp toan eei sO: XOR, AND, OR va NOT.
44
www.Beenvn.com - download sach mien phi
llinh J.IO .\:;ly dllng 'it) dci Ill<tell 111<:0 e<ly dil'n Ill' e,jc ph[lil
III XOR, MH.
Dc ;";'[1), dung so' ell) tren dle pli:in !If cO" sCi NAND vii NOT hO(lc :'-JOR
NOT Ihl la X{IY ctll' ph[ln tu A:'-JD. OR. XOR Ilr de phfin tlr ella h
(Hiv (h'l Iren:
-2=J"
=
,
.\-y
=
1I1nh J.lla Bic'u dien luang dUlTllg. ellJ eic ph;in I{r AND. OR.
XOR trang he d,iy till
,
=
,
I1)nh J.II h Uil'U dlcnllrOng dnOn.!! ella [lh.-in tlt XOR trong hc d:iy lIll NAND.
Sau kIll ap dung dc bieu dicn luang duong ella cal' p!ifin IU logic Il"Ollg
eic h d{iy dLI khi\c nhau. ta nh,)n dW:K sa d6 sau:
45
www.Beenvn.com - download sach mien phi
JIlnh 3.12 So do llldCh tlnre hl0n hiQu thlre f == lx + \'.::) ffi )1" trcn he NAND vZ. NOT.
:'-Jc!u phtin tll' XOR Iham gia trong thiel ke. ta Ll1 the dL.\ng e[le h
thCre tl((1ng du:ong tren hinh 3.11. Oie h Ihere n:ty dU'(/e ..,uy ra tnre IIC;p tll'
IlllJh 3. U (\ic so cia \UO'llj! dlrong ub phep hll Irlr kigie XOR
Dieu d6 co nghla la toan tu NOT trong bicu tlnk eva long XOR co the' ll{im
l1 mqi \'j Iri.
T(llll 1<.li phuong philp xtly tni,teh tn!e tier tlr de hi6u Illue 16gIC nlnr
dJ neu trcn \:J. phuong pluip don gi,il1. V(1i phuong ph,ip !lilY' la u\ the ,\:IY
dLl'llg 111Qi 11;:1111 logic v()i nhLing dQ phue 1'-.1]1 khac nlwu. PhU'(1ng pll,ip
nit,v c() mol nhuc)c 16n lien quan Wi thbi gian tri': ella tin dl qll,l
m',lch vZt d() d:lj cu,' tin Tlf vi dl.1 tn:n ta thti!" d6i v(}'i cic (tlu vi\() '\. y. L.
\Y. cae tin hiu tac d(lllg phai e6 dQ dai khac nhau. Diell d6 1:1 do e[lLl trCK
philn ting CUil nwch. Tin di fa uU\:iC (!iiu rat ph"li lii qu,1 b;'ry p\l['in
Ill'. trung klli do lin \j' chi can (II qua ba plliin tlL 7\hLl' 1;1 plHii 11nh
to(1I1 de) dZli de lin tae d<)llg V:l thoi diem I;ie ct(mg -;;JO eho nlIrlll duO'c
gia tr! dC\!lg (} d:iu raf
2. ThI!c eac hai hing
Ta e6 the !w':i !\)ug phl(,1ng ph;ip Ir\':n dlfa Ir\':n co' Sl'j CitC ph,ill ILl' e6
nhieu diiu la co the x<'1y dlfllg de s()' do du6'i chinh lile: A!\U-OR.
OR-AND. A;-..JD XOR. Trong cile phuong ph(lp thiel kc' e,le 111'.ICI1 kl! tang
trell cCi S(1 cae phfin tt! AND-OR va OR-AND. ben Ci.lllh bic'n x, ta dn thcm
46
www.Beenvn.com - download sach mien phi
de gl<i Irj u'la x, . KlIi (.I(J Ink mach trb thanh lXI t<lllg do Slf dL.lng 1Ia:"m
ph:in Ilf l\OT de t;.IO nell phill Ilr bll.
Phuung plI<ip X,'i)' 1ll<.ICh lle'p IiI cue Ch.lllg ehuCln (ill' (.hrqc rno li\
trang plI;ln trell thL.rc 16 kh"m,g. c6 hiu qua. Trong Ifw"ing hop di':Jl
h;tm IClgle du6i dang t6ng e.ic Ifeh 16g,ic, tfUll"l1g hqp nilfl! t<..1 c<'in (2" - 1 )
tich ey'c tJ(:;u V:l khl do dn ( 2" - i ) phi.ln tu AND Wyi /I d<.lU vi\() \'i:1 Ill(lt
tll' OR \'6i ( 2" - 1 ) cl[iu \uo. Trnng [ruling hqp tham gia x, vii .r, khi dll
din thC:m nillcu EI /I pll<i.n Ill' :--JOT Irong llUang: hqp xily dung:
dUll'J1g.
NC:\I tfeh CLTc Ill;U cLla /I l)JI.Yn Iln,le hicll nhu ('r pllan lnr6c, khi d(\ Cilll [{ill,!;
c0ng ( n - i ) ph;lll IL'r AI'<U v6i hai (UU van ho;K m()l phii); tu .'-\1\11) v(J"i II
d::iu vilo. TUong ]1l,?t ph:ill Ill' OR v6i m dau vi\O e6 thay b,'ri (Ill J)
ph:in tu OR \'(1i hai dihl V:'IO. Klli x;iy elL.rng m;.tch Cal' pldn Ill' 16g,ie C{) /I d[tu
\'ito cfln nhiC:u elien tieh trl'll tinh bun (WO !Jon kill x;ly trC:n !lllling
ph,il1 tlr ell hai (till \';\0. Do c1{) gia thiUlh clJi1 mi.\Ch cling phu thU(ll' \';10 srS
iU<Jng drill Y:IO ella de phtll1lL'r logic.
D()i YlJ'j \'le thll"t k6 c<.ie 1I1;.Ich h(rp SLr elyng SlJ' d6 hal (iing tilClIlg qua
de ph[in (If AI'<!) \';1 OR. dicll quan (I\lng la gi<illl dm/c sCi Illljng. de ph,ll1 tti
Ar"n) \';\ OR. DC:n cdnll I'ic gi<.'tm srS Iwmg C:lC pl1<ln liL 1l1()t diell quaIl trong
I;. gialll s6 Ill't.;l'Jlg, (hlu V:IO CLl"o..I de ttl do,
Ta (;6: t;)p hup eic kiJ6i e6 gd thilllb nllo nhilt chn ta so' do Ilai t:lllg C(l
g!it th:mll nhl) nhill tren eli SlY A.'JD-OIC
ClUing la h:t,Y xC! 1110t vi ell.!: la thiet ke m;.tch tlll-!c h;lJn
1= V (0.1.2.5.7.X.IU.15)
Sau klli t()1 thicu h6a. t<l c():
1= {xOxlJ. OxOI, Illx. Olxl J
SO' (ki thie! k0' me.teh hiGll h;)!l) dua ra IrC:n hlnh 3.12 tlwo hal
thiC't kl.Y: thic't k(" then eI;.mg chu;ln wycn tn,rc tiep viI (hiC'1 kC:' ..,au kill thi
thieu llO:\. Ta n1irtn thil)' thi":'! k6 lrl!e lic'p piluc t<,IP hon (hiet kc'sall khi d;lt(ii
11m! .:,i s61u0llg C:\C ket n<:li. d \'c s6Iu(f!1g diu cLla de philll It'!,
IClgic.
Khi la tLli thicu ho;i cic halll j()gic. tn:l!lg sCi cLla h\rp c<.ic kil6i dU'(,JC
x;ic djnh (h.ti luqllg (ttm diGu Wng then s6 V! trf bic'n trolll:', khiii ng();li
Ini \'! (ri ehL"ra x tLre Iii eile \'1 Iri cua kh6i m chi6u ( /I - fII ), vit so llfl.l'Ilg ele
kh6i tham gia vao ilQ'p. Tu I,)p hqp kh6i nay ta e{) tll.:! dU'a ra In.rc tiep
there logic duoi eli,mg elwin t,k tuyen. Mot kh6i tl1ung LIng \'6i !l10t tfch IClgic
47
www.Beenvn.com - download sach mien phi
vi:' eo Iht dW1C X[IY dl,fng tren ph.tn tll' AND c6 /I - J1/ dau vao. Rien x, Wong
ll'1lg v6i gIC't If! . I' trang kh6i siS n6i tn!c tiep "oi plJ<i.1l IU AND con hien x,
tlfong u'ng n5'i gii.l trj '0' -;c n6i v6'i phdn 'trl6gic AND qua philo tLf NOT. Khi
ta x[IY dl,l'ng bieu there l6gic duai ch,mg ehutin Itlc tuytn. n1<.leh chr0c thlc', ke
sc co d'-.tng 56 JU0ng diLl cua ph un tLf OR se btlllg sO' hrqng
de kh6i tham gia \(Ip hqp kh6i noi tren. Tu do suy i'<\ khi ta 'hie', ke
Ii.) h9P thr.:o de mach IlJ.i 'fing AND-OI( h)p lWp khoi e6 trqng so nho
nhat sc tHong ung vai 111<.,lCh co gia thi'mh tlwc nha nhfiL
48
:. ,
.',
.. '!: -
>.::;, !,:::
'. --i I.,
)
,
-I
al
IIInh J.14a So hal rhie'r kehiun!trcn lllach AND-OR_ Trollg hlilil ,\,
Ihiel kc' theo ch,lllg chudll rac,
I
IlInh So hal thie't kc"h:\ll1 {tf<.':ll mach AND-OR., Tl'Ollg hlllh b. mach
dlrac thie"l ke' \'01 f!,la thil11h nha nil!!\.
www.Beenvn.com - download sach mien phi
Khl ta bieu bicu thuc logic du6i d'.lI1g ChU{lll tflc h()i. su do s0 dU"q"e
tlwc c!mJ-i tL.mg e{lc ph:in tlr OR-AND. Ul[fa de so dil d'.lllg AND-OR
OR-AND C() Sl:! ti6p de d:'.lllg NAl\D viI NOR nhl1 lr011 hlnll
:1.15. DJ(::u d() dU\K ra true tic'p ILl" dinh Iy de Morgan: f[ + fc 0= f[ j",.
OR-AND
..
NAND
a)
IHnh ].15 a. SIr I.l.fang dLlling: giLr<lIl1<u.:h .\1"'1)-01< \i\
t>. Str lLIL1Ilg dtldllg: gill<! mach OR-!\ND \':t NOR.
Trong phan nay ta khong quan 1,1m loi ,'An de giOI hi.1ll diiu VilO
eua d.e ph,in Ill" co ban (gi6i IWIi thea d.e nh:lIlh di \'aO d.lu V;IO ) v21 gl6i h<.111
theo lai VitO (UU ra ( s6 ILrqng 1"0 nhanh d d;iu ra ) eUi! chung. Bin philp
lh6ng thu6'ng de 1m.li b6 d.e gi6i IU.lI1 1<\ Irmg sci lu'qng d.e ph[in tu logic
va tang s61u1ng de lap phill1 IU.
J. Tlwc I1Weh to IWp tren co' sa cae PLAiROl\'1
Ma logic Irlllh eac khai ph[ill IU: VI 1m) dLJt.:iC dl.lllg de thi0'1
k6 nhli'ng m:.\Ch LSI. VLsr theo du truc d.e nweh hai t:ing. Cac PLA hao
g611l ma tr(1I1 AND ma tr(1I1 OR dl1()'e mile noi tiep. Ma Ir:1I1 Ihu nh:it ma
tl"<)n At'D. ma {r(1Il thu hai ia ma OR. Tu un.lc tnJ'6e 1<'1 Ih.'I), dll1g cfiu trlle
hai t:ing AND - OR Wong dU'(llIg \'(')'i du true hai t[ing eua de ph[in Ilr
NAND. Thell nguycn I)' d6i IlgtlU, e[iu Irue do eung lu'(mg dLtang v(ji CiYU tnie
hai dng NOR - NOR. Do do trong ky thu(\1 Ihiet k6 de cau true hili Hing
NAN D-NA l'\D I'.'OR-NOR duqc Slr dl.mg n)ng rili.
49
www.Beenvn.com - download sach mien phi
z,
f----'
Ma Win Ma
f----'
AND tran
OR
Zill
r-----:
,
Xl
'"
Hinll 3.16 PLA theo C1i'U trtle AND-OR.
NhlJ ta Ihay cae PLA th1fc h in d.c h-drn logic. Ta co h thong cae
halll 16gic co the' c6 duvc bie:u dicn duai d'-.mg t(lp IWp de khai dllqc dauh
dau. Neu t(lp lH/P T cae khai dUCK thinh dffu Iii eho tru(1c thi \'ic Wp tdnh
eha PLA lr6 nen dan gi3.Il hall.
Tren hlnh :U5 giui thiu sa do thLfc IlIa AND. Ma AND
dm;'IC boi nhung luycn dct liu sap xcp thea nhling duong thing dung va
nhu'ng duang ngang. Cae tuycn du vao thitng dung tht hil1 de c1[iu
vao. Thong thucmg tmng thiet k6 hai throng. ta co /I dtiu vao cho .r, va /I
(tiu eho x, . Con trong lhie:l ke 111()1 dLfl'rng ta chi co n dLfong d[f vao eho
I
1-+-+-1
-+-+-2
--+--+-3
-tl Ul ,
x
1
x
2
x
2
xn xn
Hinh So do ma Iran AND ella PLA
X" cae gi<"i Irj d;.lo cua X, X, c1uQ'c I'-.W
ra hoi lap de ph:in IU NOT. C{IC dUll
ra cua ma (r(1ll AND sc cue drill
V8.0 eho ma tn)n OR va duqe stlP xc'P
nam ngang.
PLA duqc h)p trlllh bang deh dLfa ra
de diem noi giao die:m eLla Gie
duong. Moi dUll ra eua rna tr(ln AND
hifl mOt tfeh logic. Nhu sir
dun,r PLA ta e6 xtlV dUn
o
de
0 . . c
nweh logie lu cae di.mg ehurln li"ic
tuyen hoI).e hQi mOt deh dan gi:.in.
Dc giam dO phue ella thiet ke trong eong Ihuong sLf ci'-:lllg Ci\c
phuang phap loi thit:u ho3. cae hinn logic.
Trang "I d'-:l 6 h1nh ben, e4e wyen dil ra sc tuong ung \'oi cae bidu
thue hOi sau:
50
www.Beenvn.com - download sach mien phi
L: Xn
Ma tr(m OR dUQ'e I(lp trlnh wang II! nhu m., AND.
tfeh mol PLA ehiein trang nwch VLSI ty I v6i giii tr (211 + til ).1.
(rong d6 II: d,lu 1- so de tfeh logic trang u<;l.ng ehuJn t e tuyen. 11/ -
so cae c1[iu ra. Cae gi,i Iri 1/ va m Hung ehu h ham 16gie diJ cho.
con I xae dinh -;61uqng cae phtin tu trang hqp de J....h6i duqe danh d[lU SC
slr dy.ng.
ph'ln !u hay dul,l"C Slr dyng ella c[iu tnk VLSI cling eho 1;lp
tdnh nhu' PLA Ii:. ROM. ROM kh<k PLA 6 ehe) ROM Iii. ctlu truc eho
phep 1(lp trtnh d.c gia Iri 1 va 0 d6i v6i d.e !icll qrc (ie'u m()t each tllY 'Y'. eli /I
dau nweh ROM ve cO' ban khnng khae PLA v6"i 2" tuyen da liu eua cae
tfeh i()gie. Nhu\K diem chinh eua ROM so \'6i PLA la ROM e6 hi9u sua'lt suo
dy.ng tfeh linh Ihap. Diem eua ROM W. khit nilng Irlnh
IU"lmg l"rng vl)'i hl/P V1(J) hO;le bang chan IY. So v6i PLA. ROM en c1()
mem deo cau han tren 4uan diem thay doi dc ham logic lrang h hum. do
d6 ROM dUQ'e sir lh,mg trang ky tinh Imin I"Qng rai han PLA. Dl)i khi.
dt thay the ROM, nguai ta co the su dy.ng cae bi:) nha Iruy e(lp ngau nhien
(rung do co ghi s;ln de h,ing chan IY.
J.4. Nhung van de khi thiet ke m<.lch 16 hqp
I. Nhung giai do;:tn thief kc tt; hQ'p
Qu,i tl"lnh thiet ke nweh to hQ'p thuong duqc Ilwc hin thea nhang bu6e
sau:
Kh,io sat nhang di6m ve ehuc nang eua nwch t6 hqp. nh[i"ng lien
eua m",eh voi nhung m",eh khae thea dau vao/dAu ra, thict l(tp cae 4uan
wang ung v6i cae bien lOgic.
51
www.Beenvn.com - download sach mien phi
D{mh giii J...feh thu6e cllU hai to{lll quyc't Vetn de phan ehia Ill'.\Ch t6
h(JP thi:mh de phan Iheo mire <-1q dn Ihiet.
Giai doan lli:1Y gi,ii quyct v,ln de ticp c(tIl rn'.leh 16 hop c[\n Ihie! kc'theo
I(lng Ihe phfm chi'-I thanh d.e phfm h. V icc ph,ln chia I1wch
c(\c phflll h se bm gi<.lill do phuc ti.IP cua thiet kc' nC\1 -;6 luang ph<"in Ilt'
llwch qUit 16n. Vi dl! nhu khi thiet k cae kh6i ehUe nang Xlf 1)1 dc tll
[miy. ]m)t dch tLj nhien nguai ta phfm chi a mi.leh thi:ll1h nilLIng: pb<tll
lUong iin,g \'O'i tung hllllg hit eua tll may.
XCIY dlrng hiing tht cae chue ella mi..leh t6 Ill:p.
T6i thicu hoa maeh.
Oie lxing. hi6'u (U) chtl'c nang la de ngudn thong tin eho qUit Irinh toi
thiJu hoa. 6 Jay. chung ta pluli hieu qUit trlnh toi thidu hOii tl1L() nghla
n)ng. Dicu (ttl co nghla la chung la t6i ULl hoa kh6ng chi biJu dicn cae
hlllll 16gic ma con toi u'u ho,-I. khfm trong b9 qu,i trinh tlwe hin
Ihiet ke h:U11 theo nhLrng lieu churin xac c1\!lh.
LVi.l cl1911 dc phall tV Illgic va bieu dien !li\1l1 logie theo cae h co sa dJ
Iva Ch<,lll.
Trcn giai dO'-.111 nlt)'. nhung \(lgie da t6i tillCIi hOil se dLf()"C blJu dicn
dmji dang ellUan uie IH)1 holic chu[l'n lii.C tuyen. SilO d6 Ciic hi'll1l 16gic -;0
duqc bicu dien bang nhling ltU NAND l\'OR. Cac Ihie't J...C"
tuong Crng -;0 plwlhUt)c cae d;'lY dlJ dU'<;1c Iva C\H)Il.
2. Anh hm'mg eU;'l thi)"i gian tn,; tu'i hm.lt d(lng: ella cae Ill;)ch t() hqp
Anh hUl"ing CUi! thCii gian tre t6i ho,.It dqng eua mi..lCh c6 the thay doi
hoan toim chuc n:-mg l1H.lch. D6i voi cac ]1';.lch 16 hqp, thai gian Ire kh6ng chi
lilm giam loe Ot) hO'.lt o(mg eua mi.leh m:, con c(l the sinh fa nhi1ng gia Ir!
nhfit thi'l'j hi sai (1 dtiu ra elm nweh. Dieu d6 se lam hOi.!t cua
thong e6 tht hi Ihay doi. Theo thai gian nhetng gla Irj ni:ly s0 bien mfit vii
r<1 ella m'.lch sc _nh(LI1 duqc cae gia Ir\ ouqc t[nh then cae h;\111 logic ttl thiC't
ke. l\'hun" cae "iii !ri sOli na)1 r:it nouY ilicm lro11" nhu!lo trui:m" hOI) khi
, e e. e. e e e.
m'.lCh 16 hqp duqe noi vai cae Ill,.teh uh6 dung lUll tret de tn.ll1g th{\i ella h
thong. Khi 06 sc Wrll hin ute thai khong lily dO{l1l trU(jC v,\ hOil! th)ng
clla toan h thong co the bi sai NhCrng tnIang 119P nay gqi \i:t cac
rui ro trong 1T1'.ICil.
52
www.Beenvn.com - download sach mien phi
,
y
L
a)
Trong k5' Ihu(\1 thuang ph[m hell loai rui ro: WI ro lillll \'il rlli 1"0 .,.mg.
Rlli In linh xutn hien khi WIl1.<:! Ihai eLla lin iliCU ra cu6i Illav
. . '-' . '--
,,-,.[ z-'\&'.
, =E9 -
''',lll .
,
L
_J
L
umuLC:
t b)
1l1llh .-\.IX RUI J'O 1111h Imng lllach :-.lAND.
d6i nllll'ng ct'J Ihe xU:lI hien
nhLin" Ihav dC;i Iron" khOell1"
e. e e
tho-i giilll ngilil. CIJlin1; la x01
lTle.tCIl Ilwc hien ph.5p 100In
::: = xy. Do d(ii \'61 Ilmg (hri\ng
lin hiu, gi,.l Ihi:Yi giall Ire c6
Ihe kh<ic nhau. Khi lin cbll
vao chuyen Iran" thai Ill' '10'
. e
sang '01' tin d[iu ra ella
pb,'in lU t\AND ph,.ll kh6ng
Ihay Trong Inro-ng hop trcn
hlnh 3.18 a), khl till hieu i)' hai
Ct:ill .r \'il y cLmg bi Ire. tin d[iu ra :: vall khong Ihay doi gia Iq. Trong
khi d6 b hlnb b) 6 deiu ra eua nweh XU<lt gia Ifi tIn hiu I. = '0' dn
hin Ire tin trcn duo-ng.r va y. Gi<i tr! Hay khong dLf(;X: hilln
ch{l'e ml.ng eua lll<.\ch dlf doan vii chi xufil trong ITlQI khoilng Il1fJi
,
,
,
,
, ,
-
l\lach III l'Ilach
-------1Lr
i).IU
vao
h9P
I1h6
i)iIU
ra
, ,
, ,
<::----3"
( .. hla Ir'mll <lUll do
IIinh 3.11) Trual1g hqp xual
hien l"Lli 1'0 dong.
-
c
I-linh J.20 Loal bo rlli 1'0 billlp, de lllach I1h6.
gian ngan. D6 chillh Ja gia tr! rLli ro Gnh xu[\t hin lrong phal1 lu' NAl'\O.
Tnrang i10P th(r hai la truang lWp xual hin rLli dt)ng. Trong In.ri:mg
hqp nilY qUit Irlnh ehuyc:n lie'p U[lLl lien va euoi ellllg lu6n trilllg \'(Ji qua
Irl11h ehuyc:n liep thea toan eLla d(lIlg mach 16 hqp.
De IrLr klu.l nang loi xua't hin do nii roo lrong cae n;, ...:h to h(.'Ip nguoi
ta su dl;lJ1g dong bt) qua trlnh thong tin bJng cae mi..leh nila noi voi
delu ra cila m'.leh to il0p. Thong lin dU<;1C 111'-.\<:h nila IhOng qua
lin d6ng he) C. Tin nay dUQe tae d(lng vao 1l1'-.leh nh(r :-.au khi
53
www.Beenvn.com - download sach mien phi
cae qUi\' tdnh qUit 00 trong nweh t6 hqp ket thuc, Nhu vfty dc tin hiu sai
se khl'lng tae o(mg den phan tu nha va do 00 khong xuat hin trcn c1fiu ra
eua Im,lch,
3.5. Thict kc cae tUln tt!
Cac tnl;lch tu,l.n tt! trong ky thu0ng ouqe thiet KC then GlU trlic hao
gam cac l11<;lch t6 hqp lien ket vai cae nha, OtC Illi;lch t6 hqp se 1I1l,rc
eac Hnh toan theo cae ham logic, con cac m',lch nh6 dLlI1g de! luu trfi' d.c
ket qua trung gian. Do d6 ta e6 the bi6u dicn h00-t d(mg cua Im.tch Ihl.:o th0i
gian, Trong tnl,!c nay chung ta se kh,lO sat cae Illi;lch nila va cae phuong phap
licn kct chung vai cac tTI<;lch t6 hqp thanh cac tuan tt!.
1. Nguyen Iy eua cae Inl;lch nhu
Cac to hqp cho plH!p t1wc mOt so mach phuc ti;lP. vi dl,! nhu
mi.1ch nhan nhanh, nhung d6i voi mQt so thao tac xtr Iy du phue t<.lP han
yeu cfiu ghi nha cae ket qua tinh toan trung gian va tht!c nhO'ng than ti.lc
If1P wong ung vai trlnh t1,I tfnh toan. Trong linh VI,fC xu 19 so, cac du liu
dlH;lC bieu dicn dUai d,mg nhi phdn do d6 can thie"\ nhung nwch eho phep
nha li.1i hai thai '0' va 'J'.
Co hai lex.li so do nh6 kinh dicn d6 Iii. cac tn<).ch nha d(Jl1g va nwch nh6
. tlnh. Trong iTIl,!c nay ta xetn xet cac mi.1ch nh6 dlHJe xa)' d1,Il1g tren
nhll'ng phfin til logic don gian nhat - philn tt'r NOT. xli)' dt!ng de pilan
tu nh6 deu d1,Ia tren cae nguyen lS' chung. D6 hi. pilai Xty dlfng cae
vong phan hoi tin trong d6 tl! duy trl gia tri clla 6 nila: hO(lC
dung thiet bi plW trq dC duy trl gia tri 6 nh6.
Hai nguyen 19 tren duqc minh tren hlnh 3.21. Trong hlnh a,
nha duqc xay d1,Ing tLr hai phan til NOT mfic noi tiep va mt vong phiin hoi.
Khi 6 thai bn dinh dau ra clla hai philn tu NOT lan luot \a 'I', '0'
'0', 'I' . Nhfrng gia tri diu ra cua cac phatl tu NOT cung vai \'ong philn
54
www.Beenvn.com - download sach mien phi
htli c6 ti.ic dl;lJlg duy tr1 tr<.lI1g thai eLla phfin tu nha. Nhu v(ly mi..tell 1l;IY Ct") laC
dl;l.ilg lUll trO' cac gia uu lit;u '1' va '0'. M'.leh nha nay gQi IiI mach nha
flilh.
Yang rhiltl hrll
sw
a)
------t., -----[>- b)
IIinh 3.21 C<ic nang mach ah6: <I. nl<lch nhallni1: h. mach !lh6 (\('111).':.
Trcn ,,(1 do b) ph .. in IU khoa SW noi tier vai plutn lir NOT. Plutn lir nha
ni:lY ilru tru giu Irt dv liu eLla 6 nha bAng phan tu c1in dung k)' sinh IHi diiu
v;w ella phfin tu logic NOT. Khi tin <p = 1, khoa SW dong va
din dung ky sinh t<;ti dau VaG phan tu NOT dUQ'e tieh din. Khi <p = 0, khoa
SW m6 va din Ifeh a di.1u vao phun IU NOT bj co 1(lp voi m'.lCh ngoal. Do d6
phi.l.n tir NOT c[in phai co gia Irj tra kh,ing dau vao cao. d tnrcJ"ng hc;iP nay,
trang Si.lll xuat thuang xuy dL!ng nwch thea cQng ngh MOS. Thoi gian iLru
trG: dUQ'c xac djnh thea thai gian luu gifr tich eLla phan til dung dau
vao. Thong thuang thai gian nay phl,l thuge vao d() va e6 gia tr! trong
khoang tll 1 giay den giay. Do do muon lUll Inliflu dai gi,i tri clia 0 nha
can phai co nhling chu ky m.lp l<.ti tich Iren phan tit cam. Lm.li phfin
tu nha nay gQi la phan tu nha c19ng.
Trong n{ hai truang hQ'p, det iiu duQ'c nha nhu la din tfch trcn dau vao
ella phan ttl NOT. Nlllrng doi vai phan tu nha tinh din tieh luon dUQ'c n<;tp
do duang tin phan hoi. san xuat phun lU nh6 finh nguai la e6
sir dlJ.ng cong MOS ding nhu cang ngh nwch hai qrc va ct6i vai phrin
tiI nha tinh khong co gi6i hi..1l1 ve thai gian nha.
2. Cae sa do thanh ghi va trigH
Sa do nha d(lng cho phep lUll tret tn!c tiep m9t bit thong tin. De:
ghi nh6 dong thai dUQ'C /I bit thong tin nguoi la dung song song 1/ phan tir
nha d9ng. Thiel bj do duqc gQi la thanh ghi d9ng n bit (hlnh ":2).
Doi vai phan tu nha tinh vi trong d6 kh6ng c6 thu nh(tn dfr
trong ky thuang dung hai phU(111g philp xfty dL!l1g thanh ghi nhu sau.
PIII({!I1g r/u./p 1:
ss
www.Beenvn.com - download sach mien phi
x
I
,

2
Thanh

gill
dClllg
,
(p(
x,

"
CK
,
'2
Ilinh -'.22 So ,b cSu Ink Ih,lI1h gIll drill)!_
Trong dau vao \';\ mi,\Ch rhein h6i ta dung cae bl) kh6a SW (hlnh
3.23). Cae kllo,i nilY duve di6u khien sao rho chung [ulm 0 hai Ir,.mg lh.ii
IlgLrqc nhau. Dc c1'.Ii c1U\1C dieu do cae tin hiu dicu khien sc (P \';1 <p. Klli
tIn hiu (p = O. <f = 1 111'-.leh vao sc ng{ll vii
vong ph,in h6i dong h.11 nwch (1 lri;lng Ihili
nh6. KIll (P = 1, (P = 0 111i.\ch vao dong va
vong phiin hoi bi ngat, mi..teh (; In,mg thiii ghi.
M<:tch sc co hai dau ra: d.1u gia Ir! va
d:iu giii Ir! dao. dili'm cua 111<;lch la thoi
gian lUll In} khClIlg hi giui h . tn. Khi su dy.ng
song song /I 1TI'-.lch nher ta sc co thanh ghi
tinh II hil. Do trong mach Slr dl,lI1g cae khoa
ncn pillrong ph:lP nay khCmg thich hqp voi
c.ie mi..teh ban dan hai qi'e.
f-'III(O'JI t: (Jlllip '):

(P Xi

(P x"
Blnh J.B Th'1I1h ghi llllil
Thay VI dllllg cae phfin tit KOT lrung sa dri ella ph.:in tu 1I116, la dllng die
pkln ILr NAND NOR. Dtlla eae trigo' RS, D, T. JK. Trcn hlnh 3.24 ta
e6 SO' d6 du true vii hO:.11 d(mg clla RS trigo. Ta co the: x[IY dl,fng eae phtin IU
trig<1 khac dVa trell CO' sa triga RS. Trang hlnh 3.24 dua fa caeh XlIy dl!ng
Irigl1D tic triga RS.
Trong sO' do triga RS ta khi tin hiu CK = 'I' YU hai tin hiu R \'6i S
eung htlllg 'I'. (hiu fa Q vii Q cung 'I'. Khi CK sang gii.1 Iri
'0', trang thai tnT nell kh6ng 6n djnh \'a do tinh bal doi xung ella mi..lch
(Ihil'i giun Ire truyen tr0n dc phan tLr m'-.Ich khong nhu nhau ) va d.c
d()ng trong mach ma m<,)1 trong hai dU'(jng tin hiu sc e6 gia tr! '0'. QUi.1 tr[nh
56
www.Beenvn.com - download sach mien phi
ID QI
- c I
1 aL
__ .J
s-
CK
R
:s- 01
-1' I
0" IR oL,
l?
\,
Innll 3.24 Cinn',lch 11 igo RS
V;I trigo D.
Q
Q
CK =0 I
S=L R=U; ghl
S=(), R= I ; '\0.1
S=(), gll'r lh.il
dinh. tr,lI1g Ih,!l 1m.
CK = 0, 1ll.\Ch gilt tr.1I1f'.
lh,ii
chuyen tiep nilY dt-:ll ra trong_kho:lllg thai ),'J,1ll r,n ngtlll ",au khi CK
tn.l11g th<il. Nhl1 Q hO;lc Q .-;0 nhflll giu tri '0' ilH)t dch ng)u nhiC:n vii
kh6ng Ih6 dP1h tnfoc dm,J'c khoang thai gian chuycll tr'.lllg th,li, dieu n21Y chin
tlJ'j nhli'ng tlnh Imin sai \rong tl1C.lch viI tr'.lI1g thai II1U'CHlg \21 Ir'.ll1g thai
cam,
Cac ph;in Il't triga C() Ih0 dung oe hru IrQ cac bil thClLIg tin VlI n.:::'u n6i
song song 11 pklJl tv triga ta "t: nh(ll1 dU\K th'lIlh ghi 1/ hit. Thanh ghi nil)' 121
thanh ghi Gnh vii lumlg It,r nhu Ihanh ghi 1111h X,[IY cae
ph:in Ill' NOT
Till dieu killen dc u:iu clla ]11".leh nhlS IllLrCin
c
cung c;fp b('l"i dc
1\1;.lch eking bel. D:iu \'lIO lin hiu (\(10g tHong (rng ella !11ach gc:i \;1 de d;lu
o
T
Inuh 3.25 Till hi':l! dong b(l.
van d6ng h(l. Tin d6ng h(l (hrQ"c ",ie L1mh
boi d(l dlli khoitng thb"i gian I mll 1 in hiu ()'
thai' I' vi\ clm k5' 1'. C{\C m;.lch nh6 ,",u'
dUn" tin hieu d6ng h6 trOIH! cae mLlc trlIoc
. 0 . _. L
thL!e d,c than t.le dl)c vii &bi dfr lieu khi
(ttu vao dong hI) gi,1 Iq 1. Die ll1i.leb \eli .. i
ni:ty gl)i III cae lI1 .. lCh vie Il'ong che: uQ
dong be) th\:'o mltc. Khi Iln.:::'t kc cite nWeh \'ice theo d(l u(lng be), de)
d,li cua lin hiu d6ng bQ dong m(lt vai Ir(l qual) trqng trong \10,.11 dOng ella
111'-.ICh. Ne'u dc trigo' 0 d(ll1b! b() tLLO mlre dWl'C ghcp n()i n6i ticp n6i
chung dc tfn hiu d6ng b() la s2 co Ihanh ghi 121111 vic Ihl.:o eh': (kl nhu
sau. i'\CLJ tlll hi0u c16ng b() uS d() du \611 thL "au m()t kho;'mg thoi gian t[11
57
www.Beenvn.com - download sach mien phi
c,i de 11"Igo -;l: gill eung 111QI gL<.i Ir!. Trang lrm'mg hQ'p ngu'9c li.lL. khL <I(? dii.i ,
ella lin hlU d6ng ht) thm! man Ihue:
( 3.7 )
trang do T,n." Iii. gLa II'! evc trong d.e d dai el!e lieu eua de xung
hao vie ghi dO- hu; d
Jl
""
DEiu vao
Ck
lIinh ].26 Thanh glll dJCh dUllg eking bo her.
thiYi gLao tn!: qre ILC:U khi tin
hiu Ian Iruycn trong lll<;teh
nha Itt duu van tai cHl.u ra.
Khi do thanh ghi Ihtfe hin
vie dich chI liu sang plu\i
m(11 kip m6i Uin
tin hiu dong b(). Che dQ
d6ng bt? thOiI man bfit dAng Ihue 3.7 gqi It! d6ng ht) h9[).
u'u diem eua d() dt>ng hQ ht;p la dan gi,ill, ue thue hln va de xuy
dtfng m ... tch phal xung dong bQ kh6ng dn nhieu thiet bi. Nhllng noi chung
ehe d() dong bt) nay it dmJc su oyng Irong cae VLSI boi \'1 Ifen tfil d
eae ph:in eua llH,leh ta kilo e6 Ihe ch.lt dUl)'e bfil d{tng lillie 3.7. Trong nllieu
Inro'ng hqp ctti co the kiem ';l)<it dllqe hanh vi eua e,.\c meWh nguoi la Sll' dyng
mot bicn Ihe ella ehc d() d6ng bQ d6 It! ehe d() lam vie Iheo sllan.
Dong bo theo suall doc la ehC' dQ iilm vie CU,l cae 111:.lell vO'i tin
d()ng ht) e6 d() r6ng ella xung ICrn va tic dQng hin thea :-,m'fn ho:}e
suo-n xu6n
u
eua xunQ. 6 ehe do nilv e:le tcic don" etta lill hieu d6n!! btJ doi
o . _ .0 . L.
voi m'.lCh xuy ['a eung luO'ng tl! nhu lrong ehe dc) dong h(? h9P. T6e cll) hm.lt
dQng eua m,!-eh lrang tru'()'Hg hqp nil)' dUl)'e xae dinh b(-ii 16e ell) hO<'ll d(llIg cLla
ehinh cae phfltl tu m,:!eh do d6 dieu kin v6 biil cH'tng IIlue dong b() duqc
thoa man.
t\huqe diem ella pluto'ng phap d6ng bl? Iheo sU{Jn d6e va dClng he) ht;p la
mien d\eh pha eho phep u'w :"lung c1(ing b(L :l)l) ItfOllg d{ii CI'!a de xung
hin tuqng xuftl hin ttl) dieh pha ella cae xung tren dfiu \'ilo Ming bo eua
cae phun tu nha lrung lll<,leh VLSI. Dt) djeh pha eua de xung dong bQ xual
ehu y6u do kel qu:.i. eua sl! phtll1 bo dc thil'i gian Ire trong de nwch
phim ph6i tin hiu ct6ng bcl.
58
www.Beenvn.com - download sach mien phi
Hinh .1,.27 l'dinh hoa
do dich pha ella tin
hiu dong bo.
T
-
DQ
CK
in hitt! clong ho

l'II",ch t6 hap
--
-
-
-
--
-
-
---
r--
DQ
r--
Td
I
CK
,
Trcn hlnh 3.27 minh ve d(> djch pha CLla cae xung dOng b(). Then
m,.tch phan til Iriga D thCt hai tin hir;u dong bO CK Ire so voi trigo
thu: nh,t! la dO" liu dU'0c truyen til dau ra Q cua trigo thCt nh:it den dau V{lO
D eua triga Ihu hai thong qua m(>t m<;ll'h 16 hqp c6 thai gian Ire Td SI! khac
bit trong thai gian xu ly gan voi Ihl!c boit dAng thtrl'.
T,,<T, (3.8)
_ Btit dAng thuc nay x;.tc c1jnh wong quan giO"a thai gian tre theo l11<,leh
truycn da liu gifr..: cae nWeh nha ( Td ) va th) djch then tho'i gian eua xung
dong h<) ( 'I, ). DQ djeh ella xung dong h6 t[mg thea kieh tlurol' eLla llwch
VLSI, do do Irong cong thuang xuy dl!ng nhfrng nwch slr dl.mg
thong dong hQ voi \,lll1g hOi.lt dOng r(mg.
Trong cac Imwh hO;.I\ dl)ng then cae ehe d() dong Ix) theo !l1tfC. dong b(l
h9P nguoi ta chi dUilg m(l\ tin
tlu.rc hin chlfe mlllg ddng hl). Dang
dong hQ d6 gqi Iii ehe dQ d6ng h(l
m(?t pha. Trong nhiel! tlUting h0P, de
tang d(> tin ella 1ll<.ICh, ngm\i la '>u
dl.lng nhicu tin dong he) Jeh pha
IIinh 3.2M Tin hieu clong bl) hai [lha. de (lieu kilien mach. NhO"ng ch0" ell)
him vie nhu gQi la ehe dQ dong bQ nhieu pha.
Tren hlnh 3.28 tninh hqa d.c tin dong bl) ella 11 thong dong h() IMi
pha. Tai day ta SLr ul,lng hai tin hir;u dong b(> khong phu nhau ti.li tr'.ll1g thai
"\" Iii. fJ'1 va fJ'2. Trong thic't ke' ella thanh ghi dieh. hai tin hit;u dong h() n;\y
dUQ'c t,ic dc)ng Jan IUqI. D<) fng CLla xung va ehu k5' ella die tin hiu
lfil va rp} co the: dUQ'C x,ic dinh SilO eho tr,.mg thai' J' ella chung kh6ng phil
nhau, do cl6 se djeh til m(Jt 16p triga sang \ap !rigo sau chinh Xi\c theo
tung tin hiu dong bl). Nhung trong trubng hqp trong hai m'.lch ni10 c6
the luu giil 11l<)1 bit eliJ va so vai I' tch dong b<) mOt pha thl dll lm)t <;0
IU0ng cae dng nha nhieu han hai IAn.
59
www.Beenvn.com - download sach mien phi
1Iinh 3.29 Thanh gIll clich blll 111m
che (16 clong b6 hai pl1a.
tXlllb! bl? hai pha
duoe IhI0'( kc sao eho
kh(lllg C() su ebrlng
nh,1ll ella e:lc xung
d(lJlg b(l. NhU'ng Irong
nhicu Irm\ng hl.1P e6 th2'
eho phep SLf chong
nh<.lLl ella cae xung l11eo
111(\i glan nho hon thl\i
gian Ii" ..", tLl driLl
(kn d:ill ra eua m(Jt
mach nht'5. Th6ng tl1LL"O'ng gia trj Ihl}i gian tI",.n !(in hO'n thl)) gi'lI1 tre tren
ll1t phan Itl logic, do do (p.lc6 the nlU:m d110C tU {(J/ qua m6t NOT S<.IO ebo
<.tn'.HI gi:l trj phlllcnlf!/ nhG hon dIll,,," Noi khac, d6i Vll'j nhung p11[111 kh6ng
16n eua llWeh ta co the su dl.l11g tin hi<;u ((J/ tlMY cho (P_"
4. O'ic trigli Slf d1;lng chc Mmg hi) va MlOg hi) hai pha
TlUng kS' thll(11. nhieu lll\lCh nh6 slr dl:mg eae trigci !In'.lt d()ng theo eac
ehe d9 dong bQ theo sval1 len liun theo che d(l dong b(l hal pha.
r- .- -, I' -- - I Cae che' li(, d(lng h nllY eho ph0p giiii
. s- Or i-I . 'lD 6
1
T" quyet v[in d.? tranll gllr<l eae phin
--b I I T-t i
c IRil,-I
J

tu logic trong hOHt d(mg ella de trigo.
Dieu liun cho de lI\'.leh nhd hm.lt
dllg tin hon VZI 1;l1n gi:ul1 tinh bSt
dinh eua m'lch. Dc minh hqa v[in
nilY ta bay so d6 T-Irigo.
Ve diu true trigo T e6 dWK X[IY dl.i"ng tll" trigo gs
btll1g each noi vong dfiu VaD R \'Cii d,\u ra Q \,"t diiu vao S \'oi driu m Q.
Trigo T eling co tlHo; d110e Xily dlJng tv trigo D btlllg each neii VO\1g driu ra Q
\'6i d[iu vao D. CUll true d6 kh(mg chim b,lo ehe li9 hO'.lt dQng ()n lijnh. Trong
ml.\Ch nay p!d.n Itl" Illla trong thZtnh p!dn eua trigo T dllng Val Iro hai m(lt. Ye
ho,.t1 d(mg ta nhflll th[iy r:lllg ph:i.n tu' nh6 dl'lllg hai vai Irb: VLfa 1:\ nguon
Ih(mg tin d \'ua Ii h() ph,)n Ihllllg till. TIll.re hin hai cllll"c n,-Illg d6 dong
thljj iZt kh6ng the dlJ0C \'J J...hi ll1<.\ch th(mg lill ll1l1i 1111 d6ng Ihai xoa b6
th6ng tin eG. I\'6u (',ic pl1:111 tU'16gie e6 telc d() t{le dl.mg ly' ttJ()ng thl s0 del tren
kh(llIg 1(l1n vic. Sl,l" t6n tl.li eua th(\i gian trong nl'.lch eho phcp tlwc hi1l
dC'111 trong m()( .,,6 dieu nilll"l1g do thai gian tre trung lll'.leh iiI ct ..li
IU9'ng ng,\u nhicn d<) tin dy cua n1<,lch th[ip.
60
www.Beenvn.com - download sach mien phi
De I[lllg 00 \111 CLIa mach ta sif hal pbin tif nh6 trong (kl llH)t
pbf;n tu thllllg lin vao tronl! khi tr'.ll1g Ih<.ii cO \',111 gili" nguyen 0 Ulng ra.
Khi d.c gl:' Ir! 1l16i c1H<.1C blnh 0 Idp vii thllllg tm cO khlHlg ciin
thict. dO' licu sc dWJ"e tillyen Ilt t[ing v;\o 16'i ICing ra. elC Irigo lo,\i nay
s__ " J
C
R
s
Ck
R
lIillh I tngo RS I:llll
vi':c tl1co dIe' (\0 dOng: b() hai pha.
D
"
......... '-
\
Ck
>
K
S 0
R Q
Ck
Blnh Xii)" dlfllg: \j IgO
.IK Ilf trigo' RS.
Q
Q
IIinh J.JJ trigo D lam vice
theo eile do (\(illg b6 hai pin
- -------
-"--
<:---
j
lIillli J.J-I \!,lCh trtgO RS 1:1l11
VilT liJt!O kll.
Q
Q
Ck
D
-"-
--;;----------
lIlnh J.J5 l\Ltch tngo D 1:1l11
\'Ice Iheo kll.
Q
Q
61
www.Beenvn.com - download sach mien phi
\'iec trang I11l)t chu trlnh. "Keu quan sat kY. t:1 se IhAy nwch trigalo'.Ii nay lam
vie theo che d(l dong bl) hai pha.
Cac triga con c(l duq'c thiet kc de: hm.lt d(lng thea SHan eLla tin
cUing b9. Tr2n hlnh 3.34. 3.35 tdnh bay thiet ke ella Iriga RS va trigo D lam
\'ic Iheo SHan len ella lIn hiu d6ng bQ. Trong dc triga nity ta co Ihe thay
ddi de till hiu \'lla thea d.c mtl'c b,lt k)1 cila tfn dong b<). Tin hiu dUllg
he) chi duae kich hoat trang: khmtng thl1i gian nhil hltl e(ll1 SHan len hO(IC "uan
xullng.
5. k(; cae tmln h.' In'ing cac 6t6mat huu IH.m
Trang m'.leh td hqp. d.e gia Ir\ (tiu fa duqe IO;1l1 X,IC dinh Ih<.:o cac
tin dfiu \'(10 thai hin t'.li. Nhung d.c m'.lCh lu[ill It.1' c6 eac gia Irl
chl.u ra dU<;ie X,.lC d!nh Iheo day d.e giil. tr! dau \'lID tac d(ll1g vao m:'leh t,-.Ii
nhfrng thCii diem tlUoe tilCii diem hin t',li. Trong ky \'ie thie! h)p ll1(lt
day d.e gia Irj dtiu \'0 lu.U} la kh6ng Ihl!c duqe \'oi l11()t s(j IllJ"ll han
c;ic ph;ln tLr logic nen cae mi.leh tuAn t\-l" Ihuang dUQ'e bieu dicn thong qua m()t
sll hUll IWn C.lc tn,lllg th,ii.
Olc Ill<.\ch \'lJ'i so In.ll1g thai huu hi.1l1 eo the duqc dien th<.:o nhL'i"ng
b:ll1g ho[1C do ehuyen tr<;tng th,ii.Trong e,ie m'-.Ieh turin tu. trang Ih'll (\ 11l()t
th("ii dic:m phl,l IhuQe vao tr<.mg thai Ii nllLrng Ihl"ii dic'1l1 Inrae (t6 cLla 111;,\Ch.
hay nui each khil.e m,.teh tuall II! la ITI'-.Ich co nh6. Th6ng thU'(1Ug s6 IW"ing etc
tn.lllg thai ella nwch tuan tV la hUll 1wn. Do do mi..teh day co the du(?"c bicu
dien bZing nhung otoma! co tl"<.ll1g thai huu h<.ll1.
Trong de 11l<..ICh co tn.ll1g thai hfiu h'-trl, tr;;tng thai ben lrong plw Ihu(le
"ito day de tin d:iu \'ilo t,-lc c1()ng vao he thong. 0.1 the ia gii.l tr\ \'i\O
\'i:t In,lllg Ih,ii ;..ac djnh gi,i trj dUll ra \'i\ Irang Ihai tier theo. Do so iuqng de
tn.lllg Ih,ii hen t["(lug IiI hu'U Iwn nen de day tin hiu diiu \'aa c6 the duQ'C
ph:1l1 chia th;'mh dlC 16p tu(rng duong. I\oi deh kh,ic nhung tin hiu dfiLl
\'i\() 1,.\0 ra ,'oLr tn.\I1g Ihai eua m'-.Ich v':: CLll1g H1Qt th,ii Ihl nam
trong m()t nh6111. NIH! V(I)' nhli'ng mi.teh eo s6 Iri.mg thai hUll \'6 clul't
khong ph,ii mo hlnh dfiy du eua nwch tUi.11l II.!. Trong nhilng m:.Ich ILl:in Il,r
till hiLl ra duqe xlle d\nh hoiUl loim theo day cae till hiLl d:lll vi\O. N6i chung
de illi,tch co ,.,6 tr<.ll1g thai hU'll co the dung de mu hlnh hOii eae
tuan tlJ vit 1110 hlnh dng ehinh xae nell ta tflng s6 iuqng de tn.lllg thai ben
lrong. M6 hinh nily dUQ'e ling dl,lllg l"ljng rai trang qua tdnll thiet ke m'-.Ich.
Trong Iy thuyet t[nh taan hai rn6 hlnh otoma! huu hay dU(,l'e Slr dl,lng
1111[lt ia otomal Moore vit 6t6mat Mealy.
62
www.Beenvn.com - download sach mien phi
Gtomat Moon: lit ITI91 6tomat hCru bao p,clm Wp h(."5p c,-ic tin !lieu vito
la { xl'x., .... x
ll
hqp ole tin ra Iii { yl.Ye ..... y",l: t(lp hcm dc tri..Il1p.
thili I a
l
.a
2
.:\ \. Trong 6tomat Moore. Slf chuytin In.l1lg Ihili plll.l thU(K vito
tfi.lI1g Ih{ti do tin hiu V:IO 0- IhO'i ditm truc)C do. Quail d6 c6
<luqc hieu elien htmg h thuc sau.
Z(I+ I) = (I) [Z(Il, X(I)]; ( V) )
Tin hi:u di.lu ra ella otCl!l1at Moore chi plW Ihuqc lrc.l1lg th,ii:
Y(II = 'l'lZ(I)]; ( 3.10 I
D6i otomat slJ ehuyc'n tr'.lHg thai thll\)C V<'IO trang Iheti
tnrae do vA lin Iw;u V:IO 6" II1O'i di6m tnl6"c d6.
Z(I+ II = (I> [Z(I). X(t)]; ( 3.11 )
Tin hi:u dau ra eua otomal Mealey pl1l,l IhLH.)C vao In.lI1g tbiii va tin d,lu
vao:
Y(I) = 'I' (Z(l). X(l); ( 3.12)
trong do: CJ) (L.X) : ham ehuy6n 1r<.ll1g Ihi.ii:
'1:1 (7), lI) (z.x) : xac djnh gii.i trj d{i.u fa clla olomal.
D6u vao D6ura
---3>
Tin hi$u
dong bQ
Hlnh CSu tnk m'.lCh tuan Itt dong bo
hinl! thanh ctau nl khong d6ng bo.
Cae 6t6111at 1 (hrqc
bieu dien trvc q uan b,\ng cac
sd do trang thiii hO(IC
htmg de ma tr(lll chuyc'n
trQ.ng thai. Cae sd d6 tU'ong
ung voi olomat t-.'loore se e6
dc dinh Ia de 61[1 tn.Lng
thili / tin hiu ra \':1 eac cung
th0 hin qua trlnh chuyen
thai \"a dL.tqc <.hinh dSu
tin hi:u Ilwng ung.
Cae sd do ehuven tran" Ihal
. ."
dw otomal Mealey Cll dc
dinh la d.c tl1(li con
d.c cung the: hin qua trlllh
chuye'll trang Ih<.ti va dU'(fC
danh dtlu hAng c,)p gia tf! lin "ao / tin hiu ra.
Cae m,wh tuall tv co the: dl1qc Xrty cil!ng qua cac phrin tlr nh6 va uk m'-.Ich
to hqp then cae sO" do dong b(l horK kh6ng dong h9. Trang trlrong h0P Ihiet
ke 111i..ICh tufin tl! theo che de) kh6ng dong bQ, de lin hi:u (hr0c hlnh
63
www.Beenvn.com - download sach mien phi
Iren dilu ra eLla mach t6 hCJP e6 Iht Ihay doi \'a lac d<,)ng vito nU.lch nht'5 de:
Ihay clui tn.my th.:ii ella Nhu e6 mOt kho,'lllg Ihdi gian chuye:n tiep
eLla cae gi<.i. 11") In':n dfiu ra eLla 11l<.lch luan tl,l' kh6ng d1l<.io<in (hI0C. ClU Ink
kh(mg dong b() cLla mach tll<hl It! dLIQ"C hieu dicn hlnh 3.36.
Dc khtlc phuc 11nh trang hfil c1inh lJ d:iu I"a ella m .. !ch t".li nhu11g th(1i diem
chuyt:n tn.l!1g th,\1, nglf('5i ta them \,,10 llli..Kh mol h m<'lch nh6 n6i Ink tier
nh6
-,
, I
I I
I I
I
---'
TIn h1211
I c10ng
tHI\ fa
nl1(j (\[iu
1"<1
Tin lllCU
dOllg 00
IJinh 3.:\7 C;llIlnk mach tuill II! clOII)!: b()
hinl1 th:tllh (bll ra (king bo.
\'O'i dil.u ra clla Illi.\ch
lurin ILJ. ll 111:.ICh
nh6 n:lY Ct'l tk
lUll giu gl,i Iri d:lU ra
n'1<l m;'.leh lU:in IU"
khi 111;lCh dang. 11'
doan hlnh 111211111
Iraug. Ih{li m{l"i. Khi
m'.lch d,1 ('y Ir".lng. thiii
d(nh ll11"l"i. e.ll gi,i
Ir) d.-iu ra mtl'i dul.lc
tinh 10{1I1. Sal! \....hl
hI) qU{1 Irlnh
chuyen Ir ... mg. Ih,ii \,,\
hiJlh Ihilnh gi;i Ir) ra
m6i kct lillie. dur'l"i
t,ic dl.lng eLla till hiu dong bl) llwcll nha sc ghi gia If! m6i \':1 dna gi,i tr! (\('1
t6i (t.tu f.:l. Khi tin hiu dung bl) IfIt, m<'leh nha 6 Ir'.lJlg th(li lUll Iru def lieu \,;1
mach ';an sang \!llye 11ln die than lac mtl'i.
6. Tui thic'u hmi Cal' h<ing chu)'cn tr<:lng th.ii ella C.lc uUlInat
Trong qua tfLnh x:ly dl,rng de Jl1<.lch Wiin ILJ. s(j luqng de tfang Ihai clla
c;.le ol(lll1U1 ;illh hUl5ng l6n 16i ell') phu:e 1".lp cua ll1i.lch. Trnng. nhieu Inl"(\ng
lwr cae ot(lll1at cll(l"a nhO"ng tn.ll1)}, th{li dlf thil'a, do d6 m(n Irnng nhiJng blJ"O"C
It"\mg trong khi thi6t ke cae ()tom{1t lui Ihic'lI hO{l so luqng de 11 .... lng
Ihal ella olomal. Qua Irinh lui thieu hoa In.lI1g Ih,ii t!ll.rc btlllg. deh
n116111 hai 1I0(lC nhicu tr'.l11g thai IUOl1g (hrong eLla aloma\. De Illite hit;ll dicu
nay ehuno ta Slf dun" ban" ehu)''':n Iran" thaI - m()t each bicu dicll \U'O'11
0
co .0 0 .0. C"
duung \'6i sU do ehuyen Ir:'.l11g thai eua 61l'lmal. Mbi dong cLla h,'lng ChUYCll
1n.1l1g Ihai IlIong ung \'oi Im)1 tn.tIlg thai, moi Cl)1 eLla bang se xac u\llh gia If!
64
www.Beenvn.com - download sach mien phi
Trang th;ii D<:1.U vao
0
51, O/S,
SI
S,
I/SII
S, O/S,
S,
O/S"
S,
O/S,
S6
I/S"
S, O/S,
S, 1/Sp
I
O/S,
O/S,
2/S"
O/S,
I/S"
O/S"
2/S"

2/Sp
dfiu ra vit tn.mg thai tiep theo eLla
otCllllat Wong vng voi gia trj drill \":to
dang xcI.
Oic tr<.:ng th'li tuong duang cLla
(l\()1nal e(l the dU9C d!llh nghiil nill!"
sau. llai Ir'.lI1g thai S, va 51 dw.x coi
Iii tuang d ,rang neu voi 1l19t chuG I tin
hiu vao brl"t kS' 1{1e d(lllg vao (Jt{Hl1al
kili 6t()Il1,lt dang (] tn.lI1g thai 5, 110.)c
SJ chung Ii.l ciltlg nh,)n (lLrqe Im)l
ehuoi tin hl2u ra nhu nhau.
Djnh nghia ell m()t )' I1ghl:t
tlWe t6 :\,le djnil boi VI n0"u ta quail
s,it 616111((1 tll: ben ngoai ta chi tim
nhflll dU0c c,le tin ra. Dieu dll clla tHong dU'ong cae tn.lI1g thai
dW,K biet den nhtr ColdWell. Tuong (rrlg v6i dieu kicn nay. lwi
dong hAl k5' "dfiu rLi / tn.m!,!: Ih,li tie"p ';<.IU" glong nhau dUQ"c eoi n tU(.1ilg
dll"Ong. Trong hlnh 3.39. cac tn.mg thiil S2' SI,' thoa man ki0n
ColdWell do d6 dUQ"e eoi
la tuong duong. Nhung th(\1n
chi c<.t khi nhu"tlg. tn.lI1g Ihai
tic"p sau phaJ1 Hllung I\li
la wong duong thl hai dong
cung duo'c COl IiI wong
duong. r-..:lnr \'(1)' t.1 phai Ihlfe
hien nhling buoe kiel1l Iw
tlnl1 tU'(Jllg dm1i1g clla d.e
tr'.lIlg thai trang bang thl.:o
nhi1"ng buoe t(lp. va slr
tlnl1 h()i tL.I ella thll tlle
Ira.
lIlllh 3 .. 39 So do chuytn lrang lluii (Lla 6t6mallll'lJllg
lIng v6i b,lng chuy!'!!) Ir.m)!: Ill;il hlnh 3.3X.
Phuong pilar ph:in nhom
de 1rang Ih,ii.
Ck tieu churin ColdWcH co the du'<.;iC chi a tam Iwi c1i6u kin.
Di6u kin tuong thleh c1fiu w. Dieu ki1l nay HI lien quyel.
Dieu trlll1g h0P eLLa nhung tn.mg thai liep Sall.
65
www.Beenvn.com - download sach mien phi
QUo! trlllh nhom cae d.e dong trong b.ing ehuyclI thai dLfqc
tlure hin nhU' sau:
66
l'\h6m de dong trong bang chuyen tr<-.lI1g thi\i theo dc tfn ra de
nh;)n dll'Q"e cae phfm nhom. Vi dl;!. trong hlnh 3.1R. cae ph.ln nhom thea
tin hicu ra se
G, = I (S", S" S,' S,), (S,. S,,' S,), (S" S,)}.
Ta thfiy e'l the nht'ill1 eae tn.mg thai t<;iO ra nhung gia trj tin ra
nhu nhau vdi duy tin e6
de) (Eli mOt. Cae tl"i..mg th.ii S4
5, 115m trong cling IT1Qt nb6m hai
\'1 vui dfiu V;:IO '0'. S4 chuyen vc
Sf, ctll1 S7 ehuytn vc nlllt'Ilg SI>
va li..li n;\m trang eung lTI9t
nhom tU'O"ng duang: veli (hiu vaa
, 1 '. S4 vii S) cling ehuycn tn.mg
th,ii So.
Kigm tra sLf trung hqp ella nillIng
thai r!i thl)'i diem tiep doi
n)'j eile trail" thill ()- tron" tll'll(1
. e e e--
nhom. Cae tn.lllg th,ll tie'p th-:o
phai nAm trotH! eung m6t
nh6m. :"Jc'u de dieu n;:.)'
khClllg tho:. mdn thl nh6m Sl;
dUCJe ph:m chia nllc). Trong "i
dy. twue. nh6m thu- nhrit ella G
I
tu (S". SI' Sl' S,J ehu'a cae tl"i..lI1g
thai SI va S,. Cae tn,mg thai nuy
chuyen \'(' nhung nh6m giong
nhau: klli tin vao t3 '0'. h
thong se chuycn ve cac
Tl"i..lI1g thJi
t),lu vao
()
1
So O/S.
o/s"
SI' O/S" o/s" .
S.
o/s"
0/S')7
1/
S
I1
2/S
11
S')7
OIS'('K 1/
S
n
Hinh 3.40 Ballg chuyell Irang th,ii sau khi
elil t6i hmi ella (WlI1JallrCIl hillh .L19.
I-linh 3.41 Sa ela ot()mallrCIl hl1111
3.39 khi dJ 16i lhlfu hu;i.
thai {rang nh6m {hd ha. S, va S,: khi {in hieu van b '1', he {h6ng se
ehu),cn \'c tl"i..l1lg thai trong nh6m thu hai: Sl va Sf,' C[IC thai kh,!c
chuyen vao nhO"ng nh6m khae nhau. Do d6 nllom ( SII' \. S;, S, ) se dwl'C
pi1O:1n chia thanh cae nh6m ( SI> ), ( SI' S, ), ( S1 ), Ok nh6m con 1<,li clla (i I
khong phan t,ich dLfCJC. Cuoi eung chung ta nh(1Il duqe phan nhom tlftl'ng
duang nhu sau:
www.Beenvn.com - download sach mien phi
(i, \ ( S,' S, ), (S, ), ( S" S,,' s, ), ( s,' S, ) I,
- -
Phtm nllom nay kicm tra v6i c{tC chuoi driu VilO c6 dl) hai.
Thll vira trlnh bi:'y d tren se dU'qc h,ll cho 16i klli d'.lt duQ'c sV hQi
Chung ta kh6ng the tiep phan uhom O
2
, do d6 kc"\ qua nhan duqc co Ih0
coi !u Ill'j gi;.i.i hai loan t6i thi6u h<xl.
3.6. Nhllng ,,"an de khi thi(;t kc eric tuan tJ!
1. Hin tranh trong de mach tufin tt!
Kh<.lc \'6i de mach \t; hop, tn.l1lg lha! eua de m,.teh lU,111 h.r duqc xac djnh
kh6ng chi tv cae IIIl hiu ma (,')\1
phl;! thw.)c vao de IhJi trude do
cua m<.\Ch. Vic luu ira cae thai
trude do eua l11<.\ch dlfO'C (111)'c trcn
cae phfin III nh6 nhu thanh gilL trigo, ."
Nhu v(\y mi..lell luan tl! c6 the bieu dicn
bang nhung 6t6mat co so th,h
hl1u Iwo. V phan 1fch va t6ng h<;1p
cae dt6mat \.1 m()t pban quan tn;mg
s Q
Q
r
llinll JA2 !\l;\Ch !riga RS.
trong I)' thuyet 6t6mat. Trong I1we nay
r
-
Q
lnnh 3.43 Anh huong eua c.mil
trallh trong phein tLr trigu RS.
chung ta chi de tai m91 so vi.l'n khi thiet ke eil.c 61omat.
Trang cae 6t6nlilt, do ilnh huang cl.\a thai gian tre tin hiu tren cae phfil1
tu mi.lCb, nguai ta quan sat thuy nhung tr<.tng thai trung gian chuytn tiep gina
d.e trt.l11g thai trong Ihiet ke. Nhilng t<).ng th,ii chuytn tiep ni\y c6 i.lnh hu6"ng
Ion den hm.lt dOng eua mach. SLf qnh 1r<111h trong que\ trlnh tfn hiu
67
www.Beenvn.com - download sach mien phi
gifra d.c ph:'ln Ill' lE'.ICh e6 dan (iCn thiet nhiIng tri..ll1g Ih,\i sai clla
d.c philu III uh6. do 06 co the d:ln 1.16'n hO'.11 uqng sai eua 111i..ICh. Khi kc
Ci\c ot6mat canh Iranh lrong cac ph,in tll: Im.lch phi.li dut)'c lo'.li IrlL
Chung la khtlo S,tl i.lnh hui1ng cua sL! qllh tranh gii1a cae phuH Ill' llli..leh
lrung \"i dl,l don gi,in hO'.11 d(Jng cua triga RS. Ta 11Mn IhAy, neu
S = R = I, Iri"o "iG: nouyen trang ihai va oia tri tren hai l1uonu tin ra
ee e, e.
Q vii Q ugu'{,l'c nhau. Vi dl,l, khi Q = 1 Ihl hai dfiu vao cLla phi.ln ILl' NA:-..JD?
se nh(1l1 gi'l. Ir! '1', khi u6 gia Irl (!:iu ra Q btmg '0'. (iia Ir\ '0' ,,,C duy
Iri giii tn '1' li.li d[iu ra Q. Kh<.i. nilng nhCj clla ph,in tll uUge duy 11'1 bl1i \"()ng
ph,'\ll h6i hal phiin III NA;-..JD.
- -
:-J6u cllC dell! \"(10 S = '0' . R = '1', Gi<i dc Jeiu ra s0 1a Q = '1',
- -
Q = '0'. Cic gd Irj nay dut)c duy lri ngay Ci.l,..,au khi S = '1'.
DietL clIng xiiy ra wang tl! khi R = '0', S = 'I', de dl10'llg tin hiu

- -
Trang th<.i1 khi S = '0' . R = '0' li1. trang thai cAm. Tn.mg Ih[li n;\y kh(lllg
lilt slr dung duge \'1 khi cae gi,i 11'! hai dau vao S , IZ chuyen sang nh(\rl
- -
cac gii.l. Irj S = '\' , R = '1', kc'\ qu,'t siS phu thu(lC VlIO SU' C<,l11h Iranh giiJa
- -
hai ph,\n Iv: I'\Al'.'D] \'(1 NA0JD,. Ta nhfl1l lha'y khi S = '0' . R::::: '0'. hai dAu
ra Q \'it Q cling nhtuJ gi{l Irj Q = 'I' , Q = '1'. thai iiI Ir'.lllg Ihai
x;ic dinh mac dll n6 pha vo quan he = Q:-nr-]l:' Nhung khi triga
ra khai Ir,.mg Ihai do btlllg de gia Irl S.=' I' . R = 'I', lJU[l Irlnh
ChU),Cll Irang Ill,ii sC ra !lIm Irl'l1 hillh Sau khi gialri '1' xu{\t
hi1l Iren hai ctiiu \'au cllil ph,in Ill' trigo, hai dfill clIa hai ph,i.n Ill'
va NAt\D:-. siS dong thoi hai gia Iri 'I'. Nhl! hai (\flU ra sc
ph'li cLing nh(1l1 gi,i Irj '0', Nhung oitu do kh6ng Ihe xay ra. hdi VI xuftt
gia Irj '0' 6 1TI91 (Hiu ra se lam eho dau ra con h.li nh(l.l1 gi,i Irj 'I' vii
tn.ll1g thai nay sc dL1gc duy Ir!. Nhu v(\y trong hai phiin IU NAND sC
th.:lng 1iung CWlc dua va tn.mg thai sc x,le djnh Iheo phall IU NAND do. Vi
du, neu tl1(\i gian ella phiin tu NANDI lOll han Ihai gian clIa pll<ln ILl'
Idl > Idc' lin hiu '0' se xual Ct dau ra Cllil ph..tn tll' NAt\D, tnftl'C
V'1. Ir".ll1g thai sC: dl1(/c Ihi0't se Iii Q = 'I' , Q = '0'. Trang lrU'ong hVp, neu
lei I < t,12' Ihl cile gia tf! ra se btmg Q = '0' , Q = '1'. khae gia II'! Ihlii gian
la ITIQt di.li lU'9ng ng,lu I1hien do do co ket r<'tng trong truO'ng hqp
gia tri dClu ra kh6ng xae djnh. Dieu nay lam eho e(lp gia S = '0'.
-
R = '0' lit cae gia trj cam.
6X
www.Beenvn.com - download sach mien phi
Trong nhicu tnrong IWp eo ehe c,-,mh tranh trong de lu[in IV x:IY ra
nlHI' sal!. Ok tn,mg th,-Ii ella 6Wmat, do cae tin thiet thay L1Cii h,li phl}
thU(K vito tri,mg Ih{li cua cic phAn tli nh(1. Do hin tutjug lin hiu trong
die ph[in tli logic. sl! thle! d.e gla tri ella 6 nh6 kh6ng xilY ra (1t)ng thlii.
Uieu L10 co <'mh huang t6i tin hiu thiet ella cae ph[il1 ILl' k\J,\c Vi) do dlS
co the cHin dcn nhQng tn,lI1g Ihai khong luong truoe.
Phu'(lIlg phap quan trQng de" ng,-ln eMn hin tUQ'ng canh lr"nil gii:i'a e{lc
ph[in tLI' trang m',teh la dl,l11g eiic ehe" d<) d6ng h(l. Trong dH.: chc de)
\'ie nh(\l1 cae gia tI") tin hiu vao cae 6 nha viI dua cae gia Ir! d6 l(ii cHiu ra
eluQe tlH,rc hi1l do nhilng thCii di6m xac dinh sau khi cae qua trlnh qua do
Irong nweh ket thue.
Trcn quail diem v6 to ehue cae qua trlnh thai gian d.C I1Weh SIS co the'
chia thanh de mi..lell dong hO va cae m'.Ieh khong ddng hi).
Trong nhung thiel hi kh6ng dong hi), qua trinh chuycn !)1i.lch CUiI cae
ph{in tu xay ra kh6ng theo Sl! khi6n IiI' ben ngoili. Toe d<) IhU'e ella
cae phep toan trang d,e thiet hi d6 thuQe thl':ii gian tre ella cae ph[in
tu v,\ IiI d\\i Iw:mg ngau nhicn. 00 thoi gian truyen tin hiu trong cae
khc'lng dUQe biet tru6e. dieu d6 nun eho van de gi,-li quyc't C<.l11h
tranh giGa dte phan tu trd nen kha phtic t'-.Ip. Nhung phu'O"ng phap giai
quyet e',lI1h tranh hi11 e6 kha phu:e t'.IP va kh6ng dUQC lhlg rOng rai
trang ky Tr6n thlJc t2' ehi eo m()t s6 phan nlll) ella dlrqe lillIe
theo ehe: d khong dtll1g hr>.
Trang nhlIng thict hj dong h<), qua trlnh xU: I)' thong tin duqe StlP xcp
then thl1i gian be':ii nhling til1 hiu dong h6 do nhl1'ng thiet bi phat xung clong
116 1'-.10 nh(U1 dcr vao cae phlin tV nh6 dunc tlWe hien \'.Ii nhl1'ng
thai dic:m thai gian xac dinh, sau khi cae lin hiGu (bu vao cac phan tV
nh6 dLIq"e gi{l 11"[ xae NhiIng gii.i tri xac n;)y do eo ehe hG<;lt
eua nweh xae d[nh. Trong nhlrng m':,lch dong h. tin hiu dong h6 du'qe
ra sau nhung kboAng thai gian eo dinh voi d,? dai du 16'n M eho eae qua tdnh
qua d Irong d,e dm,lI1 ket tluk ngay d trong trlIo'ng hQ'p thai gian
tin hiu clle ct.li. Tren thl!e Ie cae qua tflnh qua dQ trong pb'ln JOn d,c pb,in lU
m'-.leh ket thlie s6'm hon dl.t tlnh, do d6 trang toan bi) et(> dai nia tin dong
ht). cae phan ttl nweh 0- thai "nghi". nay lit ke"1 qUi'l clla qua trlnh
eha dqi kho;ing thoi gian dong hO ket thuc tinh eho truong hqp X;1U nh[it khi
Ih,1i giaJ1 tre trong el,fe ..1\li. MCTe eI kh6ng sU: dyng het t6e dt) ella phan
Ill' 1l1'.lcb thU(K ,\,'ao sll pbaJ1 Lin tham so thoi gian tre tren cae rhein Ill' va
69
www.Beenvn.com - download sach mien phi
dLH;C xac dinh bai I)' so giua gia Ir! Ihoi gian elfe d",i va gia tr! trung blnh
eLla chung.
2. Thief kc nhu:ng ITI<;ll:h luan tl! dong bl)
_tl_"'-l--"''U="'--j
I Gia Irj r--"O_-t---c--
dUll \ao 1

Gla tfj 0
dfill fa I
-- --
2 II

Cae
thai __ S:,-"_-+_-,O",O,,I_--j
S, 010
Illnh 3.44 r--.-li'i hoa nhi phan cae giu
tri vilo. gia Ir! ra va cae Irang thai.
Dc c6 Ihe xuy dlfng cac
oloma! Ill" dc so d6 chuyen
t!"';lng th,\i hi.lng chuytn
tri;lng thai, ta can plUll mil hoa
nhj phun eho cae gia tri dfiu
vao, (Uiu fa va cae II"i.lllg thai.
M91 so nhj phi.1.11 11 hit c6 the
bieu dien 2" gia trj dau d<.l.u
ra va Ir<;lng thai. Doi voi 6t()mat
toi thicu hoi.l. trong lllJ,lc
truck (hlnh 3.40, 3.41) eac gli.l
trj eHi.u vao, d,lu ra va trt.lllg thai
se dlIQC mil hoa nhu sau.
d dfty chung ta chu )' rang,
khi ma hoa eac gia Irj dfiu fa, dau vao va bi1 la cac lri..Hlg 11uii, la cfin
ehQn nhiing t6 hQp ma sao ellO khoang each ma gilJ"a hai trt.mg IMi ehuyen
tier Ia il nha!. N6i each khi.ic, ta dn phAi ma hoa de tl"i..lI1g thai S, V;I SJ sao
eho khi ehuycn Ilr tn.H1g tiuii S, vao tf<;lng thai SI thea sa do ehuycn Ii"i..mg Ihai,
so hfQ"ng bit can phai ehuyen Ihai la il nhf{t.
Chung ta eoi nlng slf ehuyen thai xae djnh voi 11l9i dau vao.
Tren thlle Ie, trang cae m<;leh tUitl1 II!, e6 the xiiy 1"<.1 eac truong hQ"p khi
gi,l eua dau ra va tn.H1g tluii tiep thea kh.6ng x,ic d!nh voi m9i gii.l trj
driu vao. NhlI trang l11,!-ch sc xu,]"1 hin tlnh Ir<;lng kh6ng xac djnh.
Trang nhung truong hqp nay, ding giong nhu dc m<.lch 10 hQP, chung ta
c6 the dan gi<ll1 hoa ehue nang hO':1l d9ng eua tn<;leh, nhung khi de la
kh6ng the Slr dlJng phuong phi.lp lai thieu ho,\. thai thea cal: lieu
chuill ColdWell nhu lrang myc *3.5.6. Nguoi ta Ihuang Slr dJ,lng de
phuong phap dlfa tren kinh nghim.
70
www.Beenvn.com - download sach mien phi
Dou vao Khi ta ma hoa d.c trang ,ilal,
vai eac che de) d6ng b() thich
hQ'p, chung ta si3 IIh,)11 dUl)'e
W[lrl tl! wjj sci d6 It.lllg ch{nh uk
nhu Ir0n hinh 3.45.
MQCh t6
hc;lP tQo gi6
trl dau to va
-)0 trong tho I
r mot
I I Sa d6
nho

Tin hicli dong bo, diell
kllll:'n nha lrang thai
IIlnh 3.45 elll trllc mach luiil1 tLr hll1h
Cae mi..tch nha co the dU'Q"C
thl!c Ju6i d'.lllg ciie :-'0 do
d'.lIlg thanh ghi slI: cll;l.11g nhling
thiet kc m'.lch khac nhau v6i
nhilng che d0 dong b6 Ihfch ht;ip,
h1nh vc 3.45, cae mi..lch nha
co durc n[mg lUll tnl cae
thai eua m".lch tuan tL!. \.1;,lch 16
thlmh dill! ra khong cUing b,
hQ'p tlwc chlfe nang dc halll
logic thict h)p giii tr! tn.mg thai mai va de giil. trj di.lu fa thl.!O gia tri cac dall
I
Giil. trj dilll vao
,
Tn.lIlg thai Gia tri dilu ra tn.m, thai tiep ,
1--
I



0 000 00 OOx

I 000 00
OxO "
a 010 00 Oxx
I 010 00 ,00
0 001 00 OxO
I 001 00 Oxx
0 100 Ox 000
,
I 100 xx 000
-
0 011 00 xOO
... -
I all Ox OIlO
--'
-
I1inh 3.46 H:J.!ll logic nhiel! Gall r<l Itwng (rng vai hiing chllytn lr<J.Jlg thai
trell hlnh 3.40 va bang mil hmi tr<J.llg tlial tren hll1h 3.45.
vao va de tn.mg Ihai thai ghi trong nh6 cua 6t0I11'1!. M<;lch to hQP
nay c6 xay dl!ng tren cac phan ti.'r logic co ball hO(lC tren nhO'ng
PLA, ROM va de dang duqc xiiy dl!ng tir nhung bang tr<;lng th,ij va
dc so d6 rna hoi tr<:Lng thai va tin vao I ra, Vi dy, bang gi<.i trj tren hlnh
71
www.Beenvn.com - download sach mien phi
3.46 Ihe ham logic e6 nhicu cHiu ra wang LIng vai tang ehuyen
thiii 3.40 va himg ma hoa tren hlnh 3.44, Ring nay uuqe bang e:lch
ehuyi'!n <;:1ng b bicu chen nhj phan cAe tri.,mg thai. dau va:> va dau ra. Trong
b,ing nay, ky 1U'00ng (rng \'oi gia trj dun vj.
Khi Ilnyc hin mach tufin tI! du'6i dmlg mi.leh d6ng bQ theo sO' de) tren hlnh
3.45, chung la dn phai quan tfun bit de'n quan h tbai gi<'1ll gina dc tIn
hiGu d6ng hq va de tin hiu (trill Quan h nilY du(yc m6 Iii Ir':n hlnh
3.--1-7. Vai Iro ella tin hiu d6ng bl), khl'mg phl,! Ihuqe \ ao di.ll1g c16ng b(), 1;1
Iln1"C ch(rc nflllg ghi nh6' de giii tl'! tn.mg th{ti \'il dong bQ 110:\ \'ie Ihi':t
l(tp de gia Irj der lieu ra dIp \,(\0 tri.mg thai dU(jc nh6. Cic dD' dua \'an b()
nlla can phi'li chl'(ye thie't truCK Ihi)'i diem Ihuc hlen lUll Ir[i. Then so' de}
(;hi tr,!ng thai 'fhic't lAp
(\;lu ra
Ghi trang th,tl Thid liip
1"bn:ll,-IP
(hi.1! vito
Thi':"tltlp
(hill
Tin hICU_'_';\_O _________ ______ {L-__ _
,
, T '
:< lJ:>:
, ,
IIlnh .1.47 Quan he lhoi .elan gllra tin hicLl dong bo vii lin iueu (Lill vito.
thlel ke d<.mg (hlu ra kh6ng dong bq (hlnh 3.45), cae gia Irj tin
lTIi.leh nh6 ht kel cllU cae phep tinh toan trong m;;teh 10 hqp doi v6i dc
gia Ir\ dall ra eua h nha (ehinh la cae Ihai) Va d.e gia tri dfiu \'aO
he Ih6ng. Tu do suy ra de gia trj driu vao can phai dl1Q'c thiet 1(lp Iruac thoi
c1u'(yc uua 1TIi..leh nha de luu Irl1' 11191 khoang Ihoi gian l6'n han
bAng 1"n - gj,i Ir( tho'j giall tre cua lTI<.Wh to hqp. Do do chu k)! eua lin
d{mg hI) 16n han bang 1"1)' Them do, trong sa u(} m,lch
lu[in Il.; \'6i dd.u fa kh6ng dong hq, 16n thai gian giiia tl1l1i dit"m Ihie't
d.c gia tr! dfill vao va cae gi,i Irj dau ra cua mi.leh to Do MJ khi
Ihie'l ke" cac dO<;ln milc noi liep nhl1 tren binh 3.45 (dau fa eua mQI
nweh ghep noi noi tiep v6i dau vao cua dOi).n lTI<;\ch tiep theo), thai gian Ihiet
gia Ir\ Mu vao se cang tre khi tin hiu tiep til' lop llwch nay sang
lop m:.tch lie'p theo.
72
www.Beenvn.com - download sach mien phi
Trong !l1l,1e tru6e chung ta oa thSy n'mg giil'a d.e phfin tLr lrong m<;leh co
hin tuqng eh'.ly dua trong qua tdnh truycn tin hiu. Nguyen nhfll1 ella
luang nay thum tho-i gian tre tin hiu tren cae phJn Ilr ella 111'.lch la
nhung d'.I] IW:lIIg ngau nhien. tU'l:mg nay ilnh hU'l'mg rill 16n den ho'.lt
eLLa mi..leh. Do hin tuong oua nay trong m,-.teh co xu;:ft hln
nhung trang thai kh6ng duoe tillh tu tnr6e dieu d6 co Ihe: d,ln t6i
nhO'ng hanh vi kh6ng luang tnIae duq'e ella nweh.
f )illl vilO I:),j
--J,:'
.. -----3 MQch t6 nh()" (hiU_
1
hQp I90
...
fa ...
gio Ir! dau
----'0
f--
ra va trQng
fhOimOi
.


1'I!'lchnh
Ir.lI1g (hili
T l_:in d61,lg b?, (hie-t
Lip Ih,IIIl101
Il1l1h JAH Cil! Ink mach tWill nr ct6ng b6
1111111 Ihimh diiu ra dlillg b().
Tin hleu
b(l (hic"l J
If! fa mo
1I fa
d()Ilg
rip gl,i
De 10'.li trl!'
nhfrng thUl)e tinh
ngflll nhicil lrong
h;lIlh vi ella 1l1,-.teh,
nhi1'ng 6tamat hu'u
h<'.111 thLfl\ng dU\1C
thiet ke Iheo ehe (h)
d6nn bo vice thic"t
c . .
l(lp giii tri c1;:iu ra.
Trcll d[iu ra ella
mach tmug hlnh
chung ta Ihem
vao m(lt h Illi..teh
nh6. H lll'-.leh nh6
nay e6 1,1e dL.lllg luu tril giii II"! ella till hiu (tlu ra. Khi trong mi..tell (el hq"p va
h mi..\Ch nh6 (n.m); Ihai dang ra qUii Irlnh thiet irlp tr'.ll1g tllai m6i Ihl qLli.i
trlllh ehuyen IIC'p n;IY kh61lg ,[nh hUt1ng th'i driu ra. Kili qUii trlnh Ihi(t I<:lp
tn.lIlg Ih:li kct Ihue, h Il\i.leh 10 hqp sc X,-Ie djnh gui tf! lUU ra rn6i. Khi
d.e qUi-I. trinh hlnh Ihanh gia tr! nay ket time, (in dong b(l ella h nhtl'
gia Irj (Hiu ra sc di6u vie nhflp gi:l tri diiu ra moi \,;\0 h 111'.lel1 nhC)".
I\hu vic hinh thilllh gii.l trj d..tu ra se Ch(IITI hUn vic hJllh In.mg
thai m()t chu k)1 dong be), nhung khi do quan h thai gianlhco nlm hinh 3.47
co tile dUq"e Iho.[ man khong plW Ihw)c vao -;0 luqng dc t[lng Irong dO'-.111
mi.leh noi ti6p. Ta nh(\I1 Ih[\y rtlllg sa do o\()mal n!l1r hinh 3Ag c6 the dwl"C eoi
sCI do olomal \10orc do thong lin ehCra- trong h 111<,1ch nh6 gia Irj- (tIu ra e6
the eoi nhu chi plW Ihu(K toan thai.
M{)t van quan khi thi6-( ke cac ot(lmal du6i di..H\g nhilng m<;leh
dong b(l iit quan h giiia tilt)"i oiem lac d<)ng ella lin hiu cUu ella (lh'lmat
V;I thl)"i dic'm hie d(>ng ella tin d6ng hi). Dtli v6i 6tomat bicll h,\ng
73
www.Beenvn.com - download sach mien phi
so del ehuyen tn.mg thai trong hlnh 3.39, mt dch dan gl(in, chling ta e6 the
10 ehue qua trinh dong h() sao eho mt)i khi XUflt hin tin hiu diiu v;\o, ta tlu.rc
chuyen tn.mg thai sang tf<.mg thai m6i. Do d6 llC'U tlwe hill llWeh
Iheo che u kh6ng u(ing b duu ra (hinh 3.45), khi xUilt tin hicu dflu
diiu eua ot6mat. chung !a phi.li phat ra mt?t tin hiu d()ng I)(). Neu
khoiing thoi glan gi[i"a nhCing Ian xuat hin tin hiu vao kh(mg nhu' nhau, khi
ctt) chu kS'" c1(ing bQ se kh6ng Trong nhieu Inlong: hqp dieu nilY se lam
\'ic thic"t ke che dQ dong bQ phue ti..tP len nhieu. C(te so cki dong Ix? theo
d'.lllg gl)i ta cae so cki dong h(? xung. Trong nli[fllg IIH.ICh d(lng b{) xung,
ngw\i ta Slr dl,lllg nhO'ng xung dong b() c6 ellU k)' xae dinh, neu lin cMu
\,;\0 XUi.I! lrong nhung
kho,ing thdi g.wn bicn
thi0n, khi do chung ta se
dua \"i:10 nhilng gia tri tin
hiu m6i. Nhil'ng gia
tr\ tin nay gQi lu "gia
11'\ khong c6 tin hiu" \"a
k)' hiu la giil trj '*'. I\eu
tinh den nhilng truang
h(/p liLly, at6mal tren hinh
3.41 sc thanh
6t6mal In:n hinh 3.49.
Nc'u dAu \"ao cua atom at
nh(lIl giii trj '*'. khi do t<.ii
tflt d d.c tr'-.lIlg tlHl_i cua
mach them chu tlnh chao
ehu trinh nay giu' nguyen
IIinh J.49 So do otOIl1<lllren hlnh
sau khi (iJ Moe clong b ho,\ viI
th0m gia tri \'ilo kh6ng x<ic dmh ""'.
tr'.lllg th<.ii eua m'-.Ieh thoi diem thoi. Dieu nay khong lam so cac
tn.mg thili ella oW mat, nhung soluqng dau vao tang ICn m()t dul:mg. Nhu
dc gti.i tl"! tin hiu vao tuong t"rng voi vi dl;l hinh 3.41 se dl1(.K ma hoa kmg
il<.li bit.
eic so do ma hOi.i tl"i..mg thai Clla (Jtomat bung de hieu dien nhj ph an co
the ,'tnh hl10ng ttTi gl<i thlll1h phfin m:.leh t() hqp. Yic Iva chl)n
nhung ht? ma t6i Ull cho ta gia t6i thieu, nhling de loan ma boa
t6i uu phl;l thuc vao tirng truang hqp CL.t tht \'a hiIl nay chua c6 nhll"ng
!Oi.ln tim ma t6i uu mot cach tl;l" dng. Tuy neu chu y t{1i qua tdnh toi
74
www.Beenvn.com - download sach mien phi
thi6u hoa de ham logic, ta siS th5y rang. nhung tri..mg thai gi.l.n nhau
trong so do ehuyen Ir,!-ng thai (cae tl''!-ng thili liell kel \'tTi mQt trang nhCTllg
tri;mg tluii truck va m9t Irong nhiIng tr<;tng th .. 1.i tiep sau) nell dUQ'e ma hoa
btmg nhLIng to hqp mil nhj phfUl ganllhau. Ticu ehutin g[iJl nhau 6 dfly co thi?
dL.fa Iren khai lli9m khoiing each Hamming.
Khu Vi)y trang chuang nay chung ta 05, nghicn C{TU de phu'(}ng phap thlel
kc" so bao gam cae \'f\n de licn quail tai thiet kc" dc l1li.\Ch Ie) h(TP \'a
thiet kc cae mi..tch tuan It!. Do Slf kh,ie thu \'c ehu'c nang \"it ho".lt
09ng ncn dc m".leh to hQ'p va cae tni.lCh day OU'\TC Xfly dt!ng \'\)i nhCrng
khi.ic NhCCng vi.\"n d6 quan trQllg khi Ihlet kc" cac nweh s6 Iii h!a
e]1<:)11 cae che dq dong b9 xu l)i eac ci)nh trauh lrong mi..Kh.
75
www.Beenvn.com - download sach mien phi
Bai t(lp cho chuang 3
1. Hity thiet ke thiet bi dieu eho mi.teh cong hai so nhj phfm d[lU ph[lY
t1nh 8 bit.
2. Hay thic't kc'thic', bi xu ly dO' cha m<;lch cQng hili sonhi phan dAu
ph"i)' !inh 8 hit.
3. HflY thiet kc llwch tlll.fc hin phcp c()ng hai so nhi philn dfiu ph[iy t1nh 8
bit.
4. I Jay thie! kc' thiet hj <lieu khien cho c9ng hai so trong ma RCD vo-i
4 hang chlr so phan.
). Hay thiet k6 'hiet h! xU' ly dfr liu cho iTIi..lch c(mg hai .'16 trong mfl RCD
\'(l"i 4 hang ehu .'16 phfm.
6. Hay thic'! kc mi..teh tlwc phep e(mg hai s6 trong ma BCD \'61 4
chO' .'16 ,Iu)p ph{lIl.
76
www.Beenvn.com - download sach mien phi
CHUONG IV. NHiJNG KHAI CHUNG VE MO HiNH HoA
PHAN CONG
4.1. M6 hlnh ho{\ pluln <:ling
M6 hlnh phfill cung ia nhCrng hiC:u dicn phiin cung lren cae mUG d() tr(l'u
tu<)'llg khil.c nhau. M6 hlnh cho ta IllSy nhLrng phan tlT lien qUem ma kh6ng
chi 1'6 nhung chi tict ella chung. Trong qua trlnh thie! ke. ma hlnh dWl'C sit'
dl,lIlg de: d(l( lrllng eho 1TI<..tch. tht de t[nh chAt cua mi.lCh va phuong
tin de trao doi thong tin ye thie! ke giiJa nhiJng nguoi thift kC:' ho(tC giiJa
nguai thie! k6 \"U Imi.y t[nh. Cae d(IC t<.1 llwch cae mD hlnh 1110 [,I chi lil:'!
111'.ICh se dut;1c xdy cit,rng. Ciic d(\c hi nil)' [uon tti doi \'o'j de rimg bU(lC \'C Ill,)!
thiet ke nhu n{mg, lich.
Oic m(l hlllh mach bao gio cung ttll'Qe djnh nghi<.L btmg ule qui ItK eli
philp, nglt nghi<.l va duuc m0 tel trang nhung ngfr dnh ",it dl.lt1g kh,\c nhau.
CGng nhu de hieu Ihlfe Imin l1<)e. ell pluip eua mach hao g6m de m,1 t,i
nhiJng phei.n co ,,6 clJ(1 nweh V(I mo 1,\ m6i licn ket giu'a Gie Ih:lIlh ph:ill
do trung nweh, :--Jgfr nghla eua tn',teh Iii cae mo ta ve hO(lt d(lng ella l1Weh.
hanh vi, ehue nimg ella nli,leh, Ngu ellllh eua llWeh la /TIO til \'C hO"lt d(mg eLla
m,.teh khi ghcp n6i v6i nhung mach khac, nhilng nguon lin 11lcLl lac
ct()ng lif bC'l1 ngo(ii kh,le nhau. Cae 1110 t,l eto eung etip m9t deh loan dill
Ih(mg tin nweh dtlo;,li trir mqi twang hQ'p mo' hOlmng Ihi6t ke,
M'.Kh co th6 dUQ'e m6 hlnh h6a theo nhung C<lch khae nhau tHong lflll,(
\'(':;i de mlfC dl) trim tL1qng (mue ki6n tnk, mue logic, 1ll1l'C 111nh hqe), then
cae g6c dO quan S<lt (g6c dQ hanh vi, gae d(l C<lU true \'a g6e dl) \'(11 Iy') \'(1
tU'O'Hg lrng \'eii cae phuong phap mo hinh hOll cttrQ'c Slr clung trong qll:t trlnh
Ihie't ke: (c;te ngon ngL'r !TIO 1,\ Ihie! ke. dc so do m',lch ho:)c cae mo hll1h
loun hqc),
I-lien nay trung qu:t trlnh thiet ke' nweh, dien dc mo hlnh mach,
ngui\i la thLf(\ng su' dl,lllg r(lIlg rai cae ngon ngu m6 hlllh hm\. ph<i.ll ell'og
( HDL). Vic mo t<l Ill<,leh btmg cae ng6n ngu m6 hinh hoa phun c('fng cling
gl6ng nhl1 vic viC"t chuong trinh bang d_e ngon ngiJ: \(Ip Irlnh phtin mem,
Tinh sue lieh va hicu ella e;ie ngon ngil HDL giup cho vic 1116 m',lch
bang ute ngon ngiJ do dut;1e l1a ehu9ng he1l1 vie bieu dien bang e.ie do,
77
www.Beenvn.com - download sach mien phi
c16 tn.mg thai, sa do logic, du trong nhieu tru'l:tng hqp Int sO del
eho phcp ta Ihfiy each tnlc QU<li1 de ehtie nang eU<l m'.leh din.
Trang: chuang nay chung t6i se de cflp lai e(le mo blnh trULl cua
1ll(.ICh. Cae mo hlnh siS ulrqc Hlghicn ellll 0 mu:e kicn Irue d mlrc ll'lgic.
Ole 1110 hlnh IrUll tuqng la de mo h'nh tm1n hqe In::11 cO Sl1 do hlnh Vi)
d<.li so' Bool.
6 mlre kicn true, vi ella se dut;le trll"U tuqng 116<l thong
qU<l \,)p lWp de phcp to(m va m6i quan plW thUl)e gifra ehling. Cae phcp
loan co thc' lil. de phcp loan .... 611ge, u\li so, logic. H;l11h \'i ct'la de l1l<.\eh luan
co du(?"e mo t,t th()ng qua de olomal co In.\11g thai hilu h'.\11, d.c
h;lm ll)gie trang truang hqp cae m'.leh to hqp. (Joe d cifu Inie ClLa mo hinh
dlrge dien thong qua cae k6t giiJa eae kh6i \i\gic. d.e tni..leh dong
ngtll (neu xet tren mere 16gie) hO(le de tili nguyen (1": .. ,\ \ct Ircn I1llre kic'n
tr(ie l.
Cie mo hlnh tI"U'U tU\1ng du co nam duqe de d(le diem ell<1
m'.leh th6ng qua de ngon ngu mo hinh hoa HDL va sa do. D()ng de ml)
hinh c16 cling kha don gii.in de' co tht kieln chCrng de hm.tt elh 111dCh.
S .... 2. Oic ngon ngu mo hinh hoa plutn cu:ng
Trong lInh Yl!e thiet ke mi.,leh,
die ng6n ngil' 1110 hinh hoa ph[in
eung (I-IDL) xuAt hin do nhu call
phai c6 eong el:\ mo ta ehinh xae
m'.lch \'6 e[lu truc cling nhu vi.
tvl()1 s6 nglln nglI 11111 la pllAn cung
dlf<;1c thiet ke' voi nhiJng diem
nhCfllg nwc dieh khae nhall,
chi so ngon ngu mo t<i.
ph<i.n Cl'rng dU'9c plutt trien lU cae
ngon ngiJ [(Ip trinh, nhung giila cae
ngon ngCf 1(lp trlnh va ngon ngu 1110
hlnh haii phall Cling co nhling di6m
kh,lc bit quan tn;mg. NhiJng k.hae
nhau nay la do sl! khae giila
nhling d6i IUCfng rna d.c ngon nglf
HDL va ngon ngu trinh mo tao
78
o
E
M6 hinh ng6n
ngLJ
M6 hinh tn:ru
tlfcmg
Cae plwp phll
tI,U';C: riC!
II,; .. Sl1 rio tll.11l'\U)
T0l19 hup ",E" I,,,,
,'J'Dllfllh"
Hinh 4.1 OIC mfl hlnh mach. qua
trlnh tbng hop "il 1eli lru 1ll',lch.
www.Beenvn.com - download sach mien phi
Sau dtl)' chung la Xl:m xct nhO'ng di2'm d6.
C{tc mo..teh cung co Ilwc nhL"mg phcp IO(Ul ctJ mere eft)
song song (d6ng Ih0i) Ian chn dc phtin thl nguoc lal. trcn
nhCrng may don xlr Iy chi tlWc hin chrQ"e nhCmg phep lo.in adn V(:
llilY. dc ng(lO ngL"r m(l Iii rhtll1 cLrng se g<.tn gi6ng V{l'j de ng611
ngCr I:)p Irlnh e1lO cAe may 1111h xLr Iy song song.
Ole m{l hl11h ph .. ln cung luon ph<.ii chua nhiJ:ng th6ng till \'C c{1"U IrLI("'
Vi <;1/ lic"p xuc eLla mi.teh v{,i e<.ic m';leh khile Jilin n .. ly :-.inh ycu c{iu
phi"ti mo t,i dc cring vao ra eLla mo..teh va khuOI1 (i<.mg dO' liu dU'oe
trao drii qua nhung e6ng d6. Do d6 UtC ng{lIl ngO' 1116 hlnh hOii phrill
cu:ng crin phiii h6 Irq' vic mo 1<"1 thiel k ci In.'n gac d \"1 cAll
trllc c6 the' bieu dicn elc Inmg elli.l m';lch mQt dch c6 hicu
qua.
Vic x<.\c djnh gian Iho-i diem thvc hln eLla c,le phcp Imin hlYt
sLre quan In:l1lg trong phrin ciing do c(le lUang tac glO'a dc Ihill1h phrin
pilan clmg voi nhau. Trong khi d6 v[in de Illung t(IC Ihco Ihb"l I:!ian it
anh huo'ng 16i \'ic Ilwc dc phcp tmin trong dc Chll"O"llg trlnh
pit{\n memo Iru Illt)t so IrWl"ng: hqp trung nhu'ng (rng clI,Ing Ih6i gi'lI1
tlll,K.
M'.lch din co th6 dU\l'C m6 I:i du6i nhfrng goc do (juan scit kh(IC nhau, do
d6 d.c ng()n ngO' HOL \"O"i nhung trung luang ung eung duqc pho.iltricn.
Tren tlwc khi nghicn CUll d.c m() hlllh iJ mu'c ki2'n truc va logic cua qUit
Idnh m() hlllh hoa. nglrCii 1<1 sir dyng de m() ta m:.lch Ihl:o dc goc dc) h:'1111l \"i
Vll goc d(l crlu tnk duqc sir d\.!ng. l\1<)1 so ng()n ngO' con giup ta co nH)1 deh
nl11n Il;ng h0P, nay cho phep nha thie't ki::" co tIle' d{IC t<.l de chi li61 ella
dc phrin nweh cling nhu tung bu6c x&y dl!ng cac m(J hlnh e:lu true ILl" e<.le
1110 hlnh hilllh vi. Ck ng()n ngG: rnO hlnh hoa phSn cung con p1wc ello
myc dfeh lrllyclI liii va tn.lO d61 dc klluon d'.l11g ella Ihic"t ke giG';.' thic't kc"
\'a cong CI.1.
Cae ng(lIl ngCr HDL khbng clli duqc phat tr"ltn do yeu du clla loo.in
m6 hlnh hOii ph<.ln cung. De c6 the phc chutirl dU'qe thiet kc', dc m6 hlllh
p!dn cLl"J1g ph:ii duq'r: kiem nghiJll qua m(l ph()llg hm)c b:ing dc plHL"ong
philp tra. Cilc phuong philp tong hqp nwch SLr ch.lI1g de me) hlnh trcn
de ng6n ngu' HDL lam XUi.lt phat. Cae m6 hinh nay ph,ii d.ip Lmg duqe
lung 1l1L.lC dieh cy the eLla quci Irlnh thic'l kc" m,.\Ch. Vi nhu Ilg(Jn ngfr ml'l
hl11h hoa phfin cung VHOL duqc pho.il Irien \"lJ"i myc dich de me) I.i nhiJ"ng
79
www.Beenvn.com - download sach mien phi
nwch c6 do tfeh hqp sicu 16n, ng()J1 ngu Veri log du\J'c IhicL ke de nllng cao
hiu qu,i cila qua trlnh mo ph6ng mo.leh.
Mlp ung n1L.'c tieu nlnI cua d.c ng6n n,gi1 HOL khong
dat (hn)e neu ta chi sU'a doi c,ic ngon ngiJ I(\p Iflnh phfil1 mem Lh(Xl man
de nhu Cl.U ella qu<.i Irlnh mo til phan cung. Cic ngon ngi1 trinh eo the
clling 1116 ph6ng hO<'.IL d(lng cua 1119L so Ihiel bj linh Loan, nhung kh6ng Ihe
dllllg Irong qu,t Lrinh xfly dl,mg Ihid kC".
1. Nhung di)C diem khac cua cae ngon llgu mb ta phun eu:ng
C{I( ng6n ngi1 duqe dZle trung nh0 cae quy lil.c ell phap. ngiJ" nghT<I d.llwc
I": slr dl.mg. CLI philp lien quan t6i utc e(tu true cua ng6!l ."11" \.(\ duuc
t110" qua eac :'luy tAe ngu' phap. Ngii' nghi<l chi fa)' llt_llla clla e,ic thill1h
phfln ng6n ngu'. Cae quy tftc ngii' nghia Hic dQng luang ung t6'i nlllIng 11121nh
phfin ngon ngiJ thoa man cae guy tae cu phap. Thllc 16 sU' clyng ng6n nglr Ill'l1
quan t6i nhling khia c',lllh kluic ella ngtm ngu, bao gam d \'irn d0 SlT dUll!' \"il
tllL,rc hin ng6n ngfr.
V6 d'.li the co the chi a ngon ngU hai 10'.li: ng(lll ng,lf lilll lue
(procedural) \"il ng6n ngCr 1116 til kilai bilo (declarative).
Trong ek ng6n ngu tilu lI,1c, cac ciul'cmg trlnh the de Ue c!()ng
mong l11u611 btmg deh mo ta di1y de bu'O"c e<ln thie'l de tllllC hin c,le
tac d(mg do.
D6i \'O"i ng{)n ngi1 khai bao, cac InO hinh the: hin cae \ :in de s0 duq'c
giai quyct b2mg t(lp hqp cac d(IC t,\. khai hao mil kh(lllg dua chi 110"1
de phuong phap giai quyc"t Do do trinh IIi' m(l t<.\ de kh6i co :-.,'l"
kh6ng quan \rqng trang llh(tng ng6n lIgl-r khal h<.lo.
C:ic ng611 ng[r m(l hlnh llO{1 phrin cung dU\K phi\!) IlMi dll',\ II':n cu goc
d<l quan sat dc doi tuq'ng duqc mo t.i. Vi nhlIng ng(ln I,i Ilu0"L kL:'
,'1 I1lLTC \'(1\ 15' sc dWK h6 Ir(l nhii' cac (1;)c la nhvng d6i luqng hinh hoc nguycn
dlC thao tac tren de doi llr<,mg d6. Chung La chi bp Irung \"<'10 nghll'll
CUll bitiu (hen ill() hlnh m':.lch lUang uHg v(1i cae goc d() qllall s,it \'i vii
du IfLIC. Thc:o khia qmh d6 la Cllllg nghien cu'll nh[ing ng(Jn ngQ: thieh
tU(l'llg Ll'1lg. Ph:in Ian de ng(m ngiI llDL dell h6 tn1 cd. hai mo Iil. 1m)
hlnh theo dlc gac dt) hanh \'i \'ll cau true.
Clc ng61l nglr HDL thuang dU'<;1e phat lric'n kcm theo de bQ 1116 ph()ng.
T6e dl) tlWe hin Ja n1(Jt trong nhu11g yeu call doi voi b<l mo ph6ng. Cae
80
www.Beenvn.com - download sach mien phi
Ihu(lt lo.in m6 phung Iheo sL! kin ouqc Slr dl,lI1g 1"91lg rai b0i VI ehung eho
phcp to mCl phllllg giiim lhicu ;;61U<;l'llg de t[nh toan vi:t do d6 gi,'un thl1i
gian tlll.fC hin m6 phllllg.
Qu:i trlnh mo phung bao gom vic tinh de gia ella lin hiu trong !ll61
kho,ing thai gian xac Khmlng thai gian n(IY ctmje chia thi:mh cae khung
Ihi)i gian. Trong moi khung thCii giun, chu tl"lnh ll1{l phlmg bao g{)111 cae bl.J'(k
,>au.
T(n hiu duqc Ian tru yen trollg m.w]) va dU0C dip nh':I1.
Tal d d.c qua trinh nhi.IY C,lll1 Vt'fi cae SLI" duqe llllh tmin cho 1(li
khi ehClllg duqe dllng It.li.
Kill 1[1\ d e.ie qU<l trlnh I(nh loan oJu dung L ..lj, thi1i gJan Imng b(l m6
phong du'qe ehuyen sang khung tiep Iheo vi:t hinh lh:lllh ehu lr1nh tnl)
phlmg m6"i.
Phucing phCtp mo hillh hoa m<.lch n6i tren elll tong quat oe eho phcp mo 1,1
ciie l11'.leli d6ng b() Vi:I kh6ng dong b. Trong de nwch dong b() t[il Ut de
quCt Irlnh ell the duqe kfeh ho'.11 tai ll10i chu k)' dong ho. Neu thai gian 11"6-
ella e,ie Ihi\ilh ph[lll m\lch c1ii chung e6 Ihe oLrqc d(le t,i nhu' 11191 thu(Je
linh ella tin hiu \'(\ h9 1110 phlHlg e6 Ihe Illl) til chinh xac hanh vi thea thai
gian ella Trong tnrlmg lWp de thai gian tre la chua biet, nguai thie!
horle ph,'ti tim nhCrng thong tin \'c thoi gLan tre tit d.c mo nhlnh hlUlh vi,
ho,)c dua 1'<\ dc gi,\ Ihi61 \'ll hu()C vc Ihhi gian tre de tlwc hin de phcp
loan Irollg kllung thoi gian xac d\tlh.
2. C:ic ng(m ngfJ nH) t.i diu tnk ph{in clrng
M6 hlllh dW1C me) 1,1 b,\ng Ciie ngon ngt1' Gl'u true the' hin de noi
git1'a de ph:in llf. Do d{) dc ngon I1gu: c6 sue lll<.\nh b:cu cum tuung tl;I'
nhu cae so d6 mach m(lc dlL nhlt'tlg d(le dic'm eua ngon ngu eho phep cung
dip nhlIng me) 1 .. 1 kh<ii qU:lt hall. eie thong thu b(tc trong ngol1 nglf eho
phcp 1.-.10 de \l1l'l hinh e6 I(nh mddlln hmi va ng<il1 gqn. Oic Ihilnh phan cO" sci

ngtJ' khai bao ( declarative ). du tn9t so ngdn ngi1' mo la GIU true c6 chua
nhlfng thill1h phfin thu, tl,lC. etC bien trang ngon ngi1' luong ung voi cae celng
ella de ph:in ILf.
81
www.Beenvn.com - download sach mien phi
a
b
Gl 0,'
y
" "x "'"
G2 0,
y /'
ca rry
su m
Arl'hitcchturc STRljCTURE of Half Adder is
Component AND2
Port ( x. y: in bit: 0: out ):
End compom.'nt
Component EXOR2
Port ( .\., y: in hit: 0: out ):
End ctJl1lpollt'nt
(J 1: A"lD2
Port map ( a. h. carry):
(;2: EXDle
l'or1 lIlap ( <l. h. ):
End STRUCllIIU',
--------- --
T],Ollg lll(l hlllh nil)' chlra hai khai
h,lo eLla m6 hlllh kh;ic Al\02
EXOIC V;I hal kh(ri 1,.10 m(l hinh 1;\
(j I \';t c/1. Th6ng tin Cl.llhc \'c dc
m() hlnh A:-..JD2 EXOR2 dwyc
khai buo 0' 111()1 \'j trf kh{IC. YI dL.l
nhu trong c;le thu vit'll churin.
. BUS - INV
."putl'): --- ---------
l)',mg: khac eua hic'n lit de sieu hi6'n.
Cic hiC,'n nity dlti)'C dllng de Ji\m 1116
hlnh [11'.lell g()11 hlfll. Vi (,ie
'"puI(2) ,. ----
,,,putln)
Ol.tpu!( 1)
outpUl(2)------ --
output(n)
IIlnh ..Ll Celli Ink Cll;l
m;\I1f' dc b6 d.\o lill llll:lI
www.Beenvn.com - download sach mien phi
hi6n loai n2ry C() Ihe III eac chi sf) ella m,ing. Oil' IO'-.l1 hien n,IY khCmg hiC:u
dicn trlfc tic'p uie Il\anh ph:il1 ella ph[in elm,g \'21 duoe loai Il"ll" kill')] Ill() hlnh
"all bu6e dicit (tiu ticn.
Vi til.! \"e CiIC SiCll bic"n: Ta xfly dl.rng m6 hlnh ella m,'l1lg :'1 bl) d,\o lIn
hieu n6i giu'a hai luycn tin hiu h,'mg ngl'ln I]g(r YHDL. Tlr kh6a gCIIC/"IifC
cha ta phicn b,in clla hi.Jn n)lIg !{Ip I:
Architecture of nUS_INY b
(:ompOlll'nt
Port ( i 1 : in bit ; 01 : out hit ):
End component
Bt'gin
Ci: for i in I to 32
I:"JY: Il'\YERTER port map l inputs( I ). output( I :
End generate:
End STI{CCrCI{E:
Cile 111'.ICh 16 hq'p eo ducK 1l1l) t:1 bang hq'p de phfin tLi logic \'d t(tp
!WP eae phuong trinh. Ciic cau true l1lty k0"t cae bil:"n thiinh cae thtre
\()gie. Phuong thll"C khai h.la Lrng tot nhaL eho lfUong hqp ll1() ta de
mach t(l h0P - nbung dW)'c mo ta kh6ng can b9 nha. \ty. de
t{; iH:J"p co Ihe eoi la eac ghcp n6i (\'e du true) ella e,IC [0,111 tll".
lrong do m6i tmlll tLi xae d!nh m6t 16gie. OIC llX) hlnh kh,te \"6i etie
m6 hlnh trllc 6" eh6 kh6ng eo tLfl1ng quan "Im)t IIlt)I" giGa de bi(:u lillie
\,:1 cae cl'lng \6gic. hoi \'i d6i \'6i 1l1()1 :-:6 bic'u thdc. kh6ng t6n lai ph,'in Il]"
logIc thlfC hin bicu thl]"e do.
eie ngl'ln nglJ" lilLi C() su de m6 I,'] de llMeh lel Ph:lI1 J(m
l'ilC ilglr "([iu tnk eho ph':p I!we hien ph':p gan nhi6u l,'\n \'6'i tn()1 hie:"ll.
Dc lranh SL.f m(ljl mi) gi:t Irj bi6n, lrong de ng()ll ngiJ mo [,'I ehCfC ni"lng U')
cae en ehe gi,ii quy..:t ll1(tp mo. \'1 nhU nhLing ph<.':p loan Still "c x.lxi hl) l,lC
d()ng ella phcp lo;:'tn trucK.
www.Beenvn.com - download sach mien phi
Ta xcI \'l dl.lnhu sau: nll) t'-I b() nua 16ng tren VIIDL dung ma hinh hanh
\'l:
Architcdure BEHA VIOl{ of HALf-_ADDER is
Process
Begin
Carry <= ( a and b );
SUlll <= ( a xor b );
End process;
End BEllA VIOR:
Trong "I nZlY, ben trong kh6i gi6i IWn hoi tit khoa Process va End
Process de hidu Ihtl'c ouqc 11191 d.eh tuln tl!, Hai phtp g,il1
luang Crng \'ll'i hai diu truc trong 1116 hlnh b() l1lIa tong.
J'rong Inri:l'ng hq'p m6 hlnh hoa de
111'.Icll dong he), phong each ma hltlh
hoa ch!u ,inh hu'ung boi ca
c11(:' (heu hoil thi)"i gian clla ng6!l ngD:.
NhCl'ng phuong phar 1116 hillh hoa d.e
m,.teh I{) h0P e6 the' du'qc m(l n)ng clIo
de turin Cic mach tu,ln tl!
co dU(IC me) I'i. dva vao hor
dc phcp g<ln ella dc hieu Ihuc. eic
doi so Irong dc bicu Ihuc 1:1 dc hien Hinh 4.4 "1aeh loe tmy hoi.
Clll1g "oi t!lui gian In? dong b(l. M6
hinh nay thui:1ng dU0c Slr dl,lng cho cac b(l xu Iy tin so cac duung
Iruycn dO' dong hQ.
84
Ta xcI vi d\l ve m'.lch 10e s6 truy hoi. Cae bien ch{t'a gia Irl thai gian
du'qc ky hoi @ va di thea sau la giu trj thai gian tre. Khi do m'.lch
lc.lC co the bicu dien nlm sau:
Function IIR (a I. a2, bI, b2, x : num)
/* Gia tr! tra h.li ",. /
Begin
y: num:::
y = mid + a2* mid@l +b2 ':'mid(w2;
mid::: x + ul*midqill +bl *mid@2:
enJ.
www.Beenvn.com - download sach mien phi
Mi..\Ch lufin Ilf cling tlHrong OU\)'( !TIa hlnh hoa btmg cac ng{)n IlgCf lilu tl,lC.
Cic alomat co In.mg thai hiIu hi.m bicll dicn hm.11 d(lllg eua mach co the dW,Je
mo til bang nhGng 1110 hloh thu II,lC Irang do de lu'U giiI de lhClI1g tin
tn.li1g thai, Khi d6 de Ihan t;.ic cua otomat huu h<;ln ouve me) ta b.\ng nhCmg
buoe dong b(J thea xung nhjp dong h6, \'I1'i nhung phfll1 llil.inil
Irang thai lUang ling yoi Ir<;lng thai hin thai.
Day la vf dy mn ta olomat hOll h,-,111 Ihlfc vic nh:111 bJd c,\c bIt '\'
lien l1 dong dO' vao. \10 1;i dWl'C \'iet Irell ngon ngu' Vl-lDL
architecture BEHAVIOR or RcC is
type STATE_TYPE is (STATE_ZERO. STATCO"E):
signal STATE: STATEjERO:
process
hegin
11
wait until clock 'event' and clol'k = '\ ';
i[(in
. case STATE is
Hinh 4.5 D6 hlnh lrang th,li ella
(itlJmat hliu hannhan da)' de hit' I'
lien licp,
else
end if
end process
end BEHAVIOR.
when =0 STATE ZERO
STATE = STATE O"E:
out ::: '0';
when =0 STATE_OKE
STATE <= STATE
out ::: '! ';
end case
STATE <= STATE_ZERO,
out ::: '0';
Ta xet tnJ'ong hqp mo hlnh hoa hllllh vi 6 muc kien true hang nhUng
ngon ngu thu U,Le, Phuung thtIC chung la bieu dicn cae phep gan luan tt! cho
d,e bien bang nhDng cau true khien lu6ng thong lin nhu re nhi-inh, \'ong
85
www.Beenvn.com - download sach mien phi
1'.lp. g9i de m6 hlnh ( trong ngiJ u."luh ella de ng(m ngiJ HDL, cae m6 blnh
IU"O"llg dU'l1ng voi nlllTng chuang trlnh COil trong de ngan ngiJ trlnh phfln
). Dietl !lay e!lO 101 th:iy cae vi eLla mi..teh co duoc mo hlnh hoa
!lllu' Et (flp h(.1"p de phep toc.in va quan h. Ok phep lo{m se wong lmg v6i
phep g,in, nhom dc gi.l.ll de phcp gQi ma hlnh. SLr pill.! thuQe clla c .. te
Mlllg (hT iiu vi:' dong dicu khien se .xae nhfrng rimg bu\)e vc trtnh tL.f eLla
de phcp loall va l11ue d() Mmg thai tlwe hin ehlmg trung hicll dien 1116 hlnh.
Vi du nhu klli ta m6 1.1. lap hqp de m{lY ngon ngiJ VHDL, ta co
the dune elm.1ll cllLwng trlnh sau.
Ir <= [deh l pc ):
case ir is
when AND
ace <= rcgu and rcgh:
when OR
aec <= rcga and n:gh:
Whl'lI
ace <= rega and rcgh:
when ADD
end case:
pe<=pc+l:
ace <= I"l'ga and rcgh:
Do,.tll ehu(1ng trlnh nay ma la ba buoe tlll!e
G(Ji hiunjdc/i. nay td h.li gia trj eua Ihanh ghi iI",
Cic il!<I cl10n gia Iri ello (ICC tuang ung \'O"i e,i.e phcp to{m duoe
ghi trong thanh ghi Inh il" \"oi de 10i.1.11 iWng ntllH trong thanh ghi
reg(f va rcgh.
Tang h9 chuang trinh pc len 1.
Ph;}n tlch de qua trlnh tlll.fe ta thay dong phep loan cu6i
cung e6 tlll.rc hirl song song vO"i hai phcp trcn.
Ok mo hlnh banh eha phep bieu dicn de khung thCii gian thl!e hin
to,.in \"oi nl<)t nlue dQ tl! do nhi.lt djnh. kiem soat tr1nh II! thl!e hin
va hanh vi ella m',lch theo thai gian Cling e6 the dUQ'e ma blnh hanh vi eung
K6
www.Beenvn.com - download sach mien phi
c\p. Ta xcI m() hlllh (Jt(m1i11 htiu h'.l11 dil ncu ('j \'1 du tJ'U'lk IrUt')',,', VI':,,' ki':ll1
,,0(11 \'C: Ihi\i gian duo'c IIH,rc hicn dU'a cue loan IU dClllg bt.) dU't'ii d'.ll1g
tlllrc elm clIU wail. Do 1116 hlllh chi co llH:I\ to(m ttl' \\'elll 1\<:11 ut c.i uie
phep to(\l1 se Ilulc trong m(lt YDng Ihl)"i gian, Vi2c dlCU khll'll \'C: Illi)'j
gian cho pilep til kiem .,>oM trlnh Ilmc hien cue plll:p to(m Cli,1 lll(l llinh.
Trong "\ Inro-e, hai phcp loun cHili ph;,'li lhl\'e hin lU:in tl.r. Cl)1l I'!kp t()(111
thu' ha co lh6 :-.ong song YlTi ml)t lrong hai phep toun lren. Do lit') 1,1 ,-.':lll thcnl
ei\c diu \\'1.111 kie'm soat lrinh \It 111lye hin cining:
wait until dock' event and clock = 'J ':
ir <= fdeh (pc):
wait until clock' evcnt and dock = 'J'
Case ir is
when ADD
ace <= rcga + regh:
when:::=> AND
ace <== rcga & rcgb:
end case
pc <== pc + l:
Trong trUt>"ng hqp mel hinh tae v;\o b(l mCi ph(SJl!;; \'il b(l mel
phung lam tre qui\. tdnh thu hai vi\ thl\' ha.
t3, Inti hinh triIU tuVng
Trong 1ll\IC eht"lllg ta mo tit d.c m() hlnh trU'l1 IU\illg dlll1g dii
eliell nweh tren cae mLi'c ell) ph[lll tich va theo cae goe d(l quail khac nhau
tren !TIue logic vii lllLfc kicn truc. Cae 1110 h1nh lIay thU(Jllg trcn uieh bieu
dien hang e,ic do hinh.
1. Cac call trUe
C[ill true Cll<.l m<'.lch c6 the; m6 hlnh hoa \,iiO de c,fu tnk lien Oic
dIU true lien ke't hao g6m t(lp hgp de m6c\un, t.)p h0P d.c t1l<lng n6i yZI
K7
www.Beenvn.com - download sach mien phi
quail Iicn giUa ute m6dun va m<.mg ket noi. M6 hinh diu tnk e6 the
dU\1C bieu dien btmg nhieu d.eh.
Co the' dien m6 hinh c;lU true mQt deh don gi[lll bAng de
S[eU d6 th!. trong do moi dinh cua de) tili wong U'ng \'6i cae
m6dun eung wang (fng \'oj mang licn keL Quan licn ket
gua de m()(lun va m,.mg dut;1c m6 tii bang de 111a lien kct.
Ta e6 "jeu ck,) th\ tuong duong \'6i !ll0t do thi hai phan eo t(lp hqp
dinh ehia lam hai phfln, trong do m0t ph{in llfcmg duong \'61 de
rnodull, ph[in ell]) h.li wong duong Vl1i de !lli}ng,
deh bicu elien kl1k ella e\u true hicu dH:n nH)[ m6dun
hAng de diem dAu euoi hay la eang \,,\ m6 til Sl/ kc't noi giil'a de
Ill'-,lilg \'O'i uic e6ng el\a mod un.
Th6ng Ihu011g de ma lien kct rt\1 t,.1n m',m. khi do slr dl.ll1g (\allh sach
eo qu,i han de m6 la e[lll true. Trong danh sJch m'.l11g ta d(ll1h sei
tftt d cae IlWl1g n6i voi tll'ilg modun ( danh saeh Im.li nilY g9i Iii danh <leh
1l1<,lng huang mbdun ) 11O[le (i<illil sCi t[lt ca de m6dull kct neii v6i m9t m'.lllg
( danh s{\eh m',mg hlt'O'ng ). Vi dy, tren hlnh 4.6 ta bieu dicn cau tnk
eua m()t doi tlfl,1ng gam ba khoi ea so. Ciie e,1'u true kc't noi dL(qe bieu dien
btlllg ma tr(m lien ket vii do th!:
._-',
88
--1
-p,!i
L
m .
. "----
Ma lien ket &
danh siteh lien kct:
III I
1112
Ill.,
1
0
0
I1
1
n
2
il
3
lIinh 4.6 dien m() hlntl c.Yu tnk,
Cae cau true lien ke't eo the thinh
ll! nhu sau: nhung mod un Bam ti,li cae nut
la Ii de doi tuqng co sa eling hqp de
eang, Cae mod un kh6ng nam nut hi
t(\P hQP d.e mbdun con, T(Lp IWp cae mi.mg
va cae cau true lien kct sc gttn ket cae
www.Beenvn.com - download sach mien phi
m,.mg yoi dc cring clla dc mouun v6i dc cong eua dc m{xlull
con. Trong Vt dlJ tr116c. cae modun c6 co dura ute 1l10UUIl con nhu'
kh(}i me' Kh(}i m.: chLra cac kh6i m:
1
va 01
22
va cac cong Pli' Pn. Pl;'
P2"
2. logic
M,.mg 16gic \('lng quat Iil. ITIi)t cau true lrong d6 nhu-ng modun t'-.II hi Wong
ling \'oi d.c logic tuan II! h04C 16 ht;lp. Kh,ii tliIn Bay Ia kha tong qu,it
va m,.mh. Ta gi6i han hai llUong htJp doi vai nwng cau truc: m'-.l1lg logic to
h0P va ITI".lOg logic dong hQ.
M<'lI1g logic t6 hQ'p hay can gt:;ti ia Baal la mQl c,lu truc phan cAp.
trong do:
m6dun I,.ti la tliang ling vai InQI ham logic co nhieu diiu
m()t dilu ra. Ham nay gqi \;J. el:lc bO.
Ole cang vilo ra dLrtJc chi a lam hai nhom: dc c6ng dc
cong ra. Ck c6ng kh6ng thuQc dc mMun can cling dl1qc chi a
lam hai nhom: dc dau vito va doc dd.u ra so dip.
\tlc')i c6 IlH?t c6ng tach gqi iil. cring nguon co djnh
lmong tlr cong nguon t6i dc cong khac. o.IC c6ng nguon ella
Ill ... mg c6 the ho(\c la ctiu d.o so cfip hO(IC la daLl ra so (fip dla
IlH",dun thuQC IllUC thrip hon. Tren tlwc Ie'. cong ngu6n con co the
EI dc Mill ra ella nhu-ng ham Cl:lC ht).
Quan he giu-<\ dc nwng trong m6dun la quan h dU(K sAp 11191
phan.
a :
b--=1
p= ab i-
1
x
q = p+c .--:- y
M()t m'.lI1g logic co the dU0C bic"u
dien king cac eto th!. Dc" dOll gi,-'tn. lit
xcm xGI tru'ang h0P rnallg kh6ng phfll1
cAp. 86 thi m:.lI1g l6gic G,,(V,E) la del
th! co hu6ng Irong et6 t(lp htJp C,-lC dinh
V chua d.c phan tLr IiI wang u'ng "m9t-
,
,
c-:---
Hlnh 4.8 Sa do Illang logic.
mOl" v6i d.c diiLl sa cap. cac ham
Cl:lC b<) ( Iii. dc modun ) va cae dd.u ra so cAp. IH;tp d.c cLIng co huong E
bicu dicn sY' phflll tach m,.mg nhicu qrc thanh dc m'-.lIlg hai clJc. Then ctjnh
nghTa. do Ihi nh(lll duvc se kh6ng chua vong hai VI nwng hI dl1t;1c sflp
89
www.Beenvn.com - download sach mien phi
/ -"
, Va.:
,
.. Vp ::
'-- --'",
IIlnh t9 So do Illang logIc-
m()l phfin d6i \'O'i de m6dull. Hlnh ,-1..9
the hin do th! mang ltigle ella du tnk
6 h1nh ,--UL trong do:
v ",Vh'\', n ha dlllh \';\0:
v"v): hal dl11h ra:
VI"\"I: hai dillh trung glan tHong {fng
\'('1i cae I()gic.
Mi,mg logic lit hicli dicil h(m hop
theu eau true hanh vi, VI cae eo ehe 1ii.?11 k2"t cung cap cac cau true. con
cie hilln logic chra ra hanh vi !,.ti cae eang ella dc modun !lam lili nul I,i.
Trong m(lt so Inrlmg IWp, de logic kh6ng Ihe' tillfc dUq"c nhu ViJy
VI d.e gi6i h,-,111 ky thu(11. Vi dl.l ham AND 64 (hiu vau e6 Ihoi bicll chen himg
cae hilln qle b(l ella m,.mg logic. Nlllrng trong k5' h;lIn AND tl6 co Ihd
dm,1C phan tach thanh t(lp hqp de phAn ILl' Ar--.;D hai eong vii UO L16 co
Irue khac v6i han dilU.
Trang nhCIng tll1lmg hqp ma logie dung de m6 ta de lien ke't giiIa
dc cong 16gic. ta eo bicu dien cau true t!IlUhl tuY'. PIHln h'm, lll'-,Illg logic SU
doi bieu dien cie ham logic e6 nhieu dUll ra himg phuong phiip
cAu true. Th{lt v,-)y. cae I1li,1ng logic CIS luang lluan "m(ll - m(lC \'6i
vilo / ra logic to hqp, Chung 1<-1 c6 dLf<jc nay bang dlCh kc'[ h<.ip
de hiun n,le b \'di nhau de: xac dillh dc (ttu ra Sl1 Ctp de dill! \',\0 "Ci
e:'ln. Thono thuQ'llo de hilln \'J.o/ra kh6ng the de (btu! bie:u die!! du6i (I<In"
I' D LO L" /;)
ehurin dc, VI (iL,1 nhu ch.lIlg ehurin tac tuycn ht)i, hO(lc duoi u;mg cic S(1
do djllh nhi phJn do k[Ch Ihu'de v21 d(l phuc l<.lp ella hilill. D6 lit lllt)t
trong cIc nguyell nhfm su- dl,lllg m6 hinh llli,Il1g kigie. Mei hlnh n,\y cung
kh6ng ph",i ia biell (lien duy nlH'lt ella cae nwch 10 bqp.
Cic nwng logic co dong bt) ia tru'ong IWp t6ng l\U[lt h6a eLla dc 1ll<.lIlg
logic [6 hqp. M'.lIlg logic \m.li nay dung dC' IllO I,,'t <.:ac lll<,leh lUan Il,r iillll
Iheo ehl>' tI(1 dong bl\ Trang m6 hlnh nily cac moctlln li.li la c{l the: dung
m6 l,i de h:lln ltigie to hqp c{l nhifu dau vao \'O'i Jlll?t ("-'iu ra huilc de ph an
ILl' Ire d6ng b(1. M . lng khong nhSt Ihiet phi"ti clLrQ'c S{lp ti:mg ph[in tll'lmg ung
v6i ("IC modun. Tuy nhiC'Jl. 11101 In<.mg con eLla m,.tng dang xct, trong d6 dc
ph{in IU ngu6n kh6ng ph"li phtin tu tre dong b(l, c6 dU0c S{lp Hrng ph:in
de m6 hlnh ho,i nhCIng tnJ'('mg hqp NhCIng t!"lIong hqp
diu !rong nhfrng: dong b9 kh6ng chua nhCIng v(mg ph,,'\l1 hl)i qua'
dc nli,leh to hQp.
90
www.Beenvn.com - download sach mien phi
3. C{IC oUnnat su do tr:;mg tluH
Tren phuong dill hill1h vi, 6' lllue logie, cae nwch day co duqe
<lien bAng d.c 616mal huu IWll. Oie 616111al huu IWn dU0e dinh nghi<l I;) m(ll
bO n[lIn A == < X, y, S, 8, 'A. >, Ir(Jng do:
X h(/p de k)' tl! vao eua 616111<1t.
Y Ii 1(lp hqp cac ky II! ra ella alamat.
S I;) IWp CiIC tr<.ll1g thai ella diamat.
- T(lp IH.1p de hi:l!11 chuyen tn.lIlg Ihai : 8 : X x S ----) S
T(lp hqp de HI: 'A.: X x S ----) Y : 6t(Jmat Mealy
'A. : S ----) Y : OlOmal Moore.
Vii S,) la tl"i.ll1g Ih;.ii kh6i dOng ella 6t6mal.
Ikmg ehuyen If'-.ll1g tluii ella 6t6mat ehua d.c tfi..lI1g thili clwyen licp va
nhiJng hilm ra. T1J'ung lrng voi eae b;'mg chuyen tn.lI1g th{li, khi di&n
atomal btUlg do th! ta eo sO do ehuyen tr<.lI1g thili.
So do ehuyc'n 1r<.lI1g thai Ill. mQt do thi co hlf{Jng (;,(V,E), trong do:
- hop de dinh ella do thi V tuang ung "11191 - ITIQI" v6i t(lp hqp cae
trang thai Sella 6tomat.
- h<.1p de eung co huong E tHong It'ng "11191 -- mQI" voi st.J' ehuyen
tiep tr'.l11g Iluli. NhCing W ehuy{n tic'p Irang thai nay du'q'c Inmg
b6i hiun ehuyen li6p t.. hil, cung ( V" \'1 ) 16n t'-.li n2:\1 t611 1'.11 ]..;.)1
11,1' vao XE X S,-\O cho
o(x, s,l s" 'd i,j 1,2, .. " 1 S I.
Trong m() hinh ot6mat Mealy moi eung dU'<;1c g{m nhlin b<1i e(lp k)' tl!
XIA(X, s,). Gm trang mo hinh 6t6mat Moore moi cling dHq'c gtlll nhan b<'ii or.
va moi dinh I', E S duq'c dan nhun IU<1ng ung voi hilIl1 ra 'A.(s;).
Ta hay xci vi dl.l ot6mal Mealy Ilwc hi1l dong b9 giiJa hai lin
Cic d'-Iu vao so cap la a va h, lIn khiJ"i 1,.10 f. Otomal co mQt duu nl S<1
dp o. Dtiu ra 0 nh(1ll gia tri 'I' n6u hai tin hiu a vi:t b el:mg dong Ihoi
gi<i trj fl"l/C lrong tlUang hop mttfn hiu gia tf! fl"ll(, con tin hieu
kia nh(1l1 gia Irj Trill! trong thai diem tnr6e d6. Otomal eo bon tn __ th{ti
Tn.lllg th{li khi1i d<)ng sll'
- Tn.ll1g thai nh6 Sl khi a ia lrue "a b lalalse,
91
www.Beenvn.com - download sach mien phi
Trang Ihi.ii nll6' Sc khi b IiI true vii a lajitlsc:
Tr'.lIIg Ih:1l nila s, khi a h eung Iml'.
Trong Illt)1 so twang hqp, de" Iqi khi hieu dien de so lM 6Ulmat huu
Iwn ngtrai fa ph<1n tach
otomal Ihi:mh cae sa d6 a 6" + rIO
con. M6i so d6 call.
ngm.\i lflf sa de) gae. co
de tf<!-ng Ihai V;:I.O va
Ifi..ll1g thai fa. etC
Ihai nay Wong ung vui
mQt hO(lc dinh ella
qJ do a nhung mLre eao
hel'il. C:le c1inh 6 mLre
cao he10 nay gqi Iii dinh
tham chieu. M6i su
ehuye"n trilng thai t6'i
dinh tham ehieu ILfO'ng
Lrng v6'i sl! chuyen tn.mg
th{li toi tri.mg thai v;:1.0
( abri1
bi11
s,
r'/1
HJnh 4.10 So c16 ehuycn trang thill ella ot611lal
Mealey tlwe hien vice clong b6 giCi"a hai tinlHcLi.
eLla so do 610mal huu h'.u1 tucmg u'ng qi [lllre thap han. Sl! chuyen lrang Ih[ti
loi de tn.LIlg lhi.ti ra tLro'ng LIng voi vie quay tn.lng thai Iham ehicu.
C{le so <10 ph an cap thuang duqe dung Imng qua ldnh I()og hQp Ihiel ke
etC ghcp noi cae olomat huu twn thea con dUOng mod un ho{t. Cac plurang
ph,ip IlilY thuang dllJlg dC lllC> la nhung miJ..ch co kieh tllln.',-c Ian.
92
Trang kg mo hinh hoa, nguai ta tillro-ng sLr dyng eic pl1Lfong phap
y
A,
y,
IIlnh tll Ghcp n6i song hal {ltamal.
Ghep n6i song song cae olomal.
xiIy dl!ng cae (Hama1 ph(lc
Ii.lp tir nhCrng olomal don
gi:lIl. Ciic plurong phar nay
dL!a lren vie ghcp nui cae
0101l1q,1 theo phuong philp
ghep noi n6i tiep. ghep noi
song song hon hqp ella
ca hai each ghep noi 1ren,
Hai olomat AI va A2 duqe gQi la ghcp noi song song Ihanh m(11 otomat
A neu hai chiu vao clla hai 6tomat AI va A2 dU9"c noi chung voi d<lu vao
www.Beenvn.com - download sach mien phi
ella otomal A. kin fa a dELl' la hai t<).p hqp tin hiu dLtu V\o ella
hai otomat Al va Al phili gi6ng nhau. Cic d[lU ra eua Al va
duqc n6i b,? long h9'P tin B9 t6ng hqp nay tlll,l"e (r len
hai d,tu V,IO ella hai atomal han dAu va hll1l1 thanh cHiu ra ella o\(l\l1al A.
So do noi song song clLrqe dua ra !ren hlnh 4.11. Cic thong ella
alomat A duqe xae dinh theo cae thong so ella de dtamat Al \',1 Ac nhu'
sau:
Al = < X, Y
I
, SI ,8
1
, AI>
A2 = < X, Y
2
, S2' 8
2
, A2 >
A = < X, Y, S, 8, A >
trong do
5 = SI X Sl = I (s" S2 )1 s, E SI ,so E S, I:
X = {x,. xc' ... , Xnl:

o( X, s ) = ( 0,( X, SI ), 02( X, S2 ) );
A( x, s) = <pC A
I
( X, SI ), A
1
( X, S2))'
Ghep noi n6i tiep hai ()lomaL
.. I'____A_'__'
Y
,
A, I Y,
Hai olomal Al Ai dlrqc gqi !h
ghep noi noi tiep neu cae tin

cliiu ra eua atom at Al cae tfn

hiu CHill vilo eua ot0111at 6
IIlnh .. U2 1l6J noi hal atom . .\!.
dflY ehlmg ta phi'ti gia Ihiet dng
khi ghCp n6i n6i lie"p hai ()tomat, cae tlnh chat han dau ella d.e ot6mal khong
b\ thay dol. Hai olomat dU'qe ghep noi noi tiep tuung duong \'6i nH)t
()tbmat A = < X, Y, S, 8, A >, trong d6.
S = 51 X S, = Is = ( s" s, )1 Sl E 51 ' E S2 1
X == XI.
Y Y,.
o( x, s ) = ( 0l( X, 51 ), 02( A
1
( X, SI ), ) );
A( X, 5) == A2 (A
1
( X, 5
1
),5
2
),
Tom h.t!. trong chuong nay ehung ta nghicn ct1u m(jt so khiii nim cO lx'\t1
trang tmin 1l1() hlnh ho{\ mi..lch. Trang eong thiet ke cae \'i nwch,
Ill,.teh diGl1 (hrQ"c thiet kc tren dc mb hinh phfin eling va do duqc ql the
93
www.Beenvn.com - download sach mien phi
hoa h{mg nhi:1'ng ngon ngCt mo ta phfin Clftlg. Cic ngl'm ngil" n:ty co d ~ l e di6m
kh,\c "oi dc ngon nglf \(lp trinh truycn th6ng cJ d.e killa G ..mh 1110 t,\ cau true
d. h(llih vi J1l,.\Ch thea thoi gian. Trang chu'Ong ticp .'i:.lU, ehling ta .'i2 ng\1H::n
ellll c<.\c v[in de lien quan toi b ~ l i toan mc hinh hoa logic.
94
www.Beenvn.com - download sach mien phi
CHUONG V. CAC PHUONG PHAP MO HINH HOA LOGIC
1\16 hl1111 hmi klgic Iii hlnll there Ira Ihi6t C!i,lllg de m() hlnh
ella dJ. duqc Ihi0'\ kc'. Quil trinh m6 hlnh hoa logic yJ. lll(l phc'mg 1hi(;'\
kc' co the dUl/e diclI thea so do tren hll1h 5.1. Chuong trlnh mo rh('mg '>c
---
,
.-'
Ck Sl:i \1 I (tin
Chmrng lrlnh
\':." \:, ,'j, dlc'u
V
mCI phill1t'
V
K(lqU:,
1.111':11

\1,', h"lIlh m.lch
IIlnh 5.1 So d6 blC'U (hell qua lrlllil ll1l) hinh hmi logic yillllt) phong.
clien cae 1111 hiu vao tin dieu khi6n, [lllal Iricn qu;.) trlnh tfnll
to;in lrell de tin hiu theo thai gian \':1 hll1h de gi,i trj d,IU ra dl.l'il lr(;:1
ll]() hinh _'lla llle,teh.
VJce ki(1I1 chllng thie" !.;cy logic 1.1 qu,i trinh kiem Ira kC: I11Hch trl'n
phuong (iJn hm,lt dOng vc chLec n[lllg \'It theo thai gian. Qua trlnh dLTne
t111.fC hin dl.ra Ircn su so :-'{lI1h de kel qu,'t chr9"e qua qua lr1nh m() phlmg
\'6i nhO:ng gi,\ tr! dU9"e Ifnh loan Ilf Iniac dL!a vao cllll"c n[ll1g. Them (t6,
JIll) hlnh ho,i !6gic Cllll Cl) the sir dL.lIIg dJ him chl"fng de tlnh chfll sau eua
ho1 (\()ng ella m<'leh chrqc Il1ic"1 ke:
ch)e !;)p ella de tr,.mg Ihi.li ban dfiu;
SIr nlWY dill ella de bien (Iill hieu) Iham s6 thai gian Ire ella de
phtin tLr:
Trong hoal d(mg clJ(l m'.lell kh6ng I()n 1'.li S\f c\WY dua gifra Gie rhein
tif, s\r dao dQllg, cae dieu ki2n driu khCJng thich h(.,.p ho;)c de
In.ll1g thSi treo.
95
www.Beenvn.com - download sach mien phi
Thollg thuang nha thiet ke xfty dl!llg nhung pillen hi.lll m{1U eua m',lch
theo Ihie, kc'va kiem Ira hO<;lt d9ng eua m,lu. Vic kic'm tra mI.)' ehn phcp
11m ra nhiJng loi Item rin trong thiet ke. Uu diem eLla vle 1,.10 mau chung
cho phcp Ihiel k6 kic'm nghllll thiet kc theo t6e d tinh to:.in tlurc te.
l\hung vie {'.IO m(lu co I1H)t nhU\K la gia thanh xJy dl;Ing phien b,ln
mAu Ihl! nghim ton thai gian va e6 gia thimh cao. Mo hlnh hm\. logic va mo
ph6ng thay the vie x[IY dl!ng mau thLr hAng cae phun mcm. Dicu nay cho
phcp nha thiet kc phan ttch. kiem nghim va chinh mo hlnh mt)t cach
& dimg. So v6i qu,i trlnh kiem nghim trcn llltlll, kiclll nghirn thie'l ke
htll1g mo hlnh e6 nh(Ing uu diem
Cho phcp kitm tra cae dieu kin sinh fa ItJi (vi dll nIH! c.ic mau thutm
tl"'::l1 duong tin hiu);
Cho phcp thay deli tham so thoi gian clla de phrin tLf troog m()
hlllh de kiem tra nhung truang hQ'p xau nhtit dieu ph6i Ih()'i gum
trong nwch;
Kic'm tra nhung gia do nha thie' hi xac tmng qua Irlnh lllO
phClllg;
Cho phep n1<,lch duC)'c mo phung bilt'dtiu ho'.lt dqng 1',li bAI k)' tr<,lI1g
mQt tluli
Cho phep kiem soSt mt)t dch chinh x,i.e vic dicu piloi thai gian d6i
vai nhung SLJ' kin khong dong b;
Co kh:1 nflllg It! dqng kiem tra hm,lt dt)ng eua mi.,leh dl.t'q'c thie't k6
lrong moi tnt'ang licn kct \'Cii nhCt'ng Ill<.\eh kh(IC,
elll cac ma hlnh mo ph6ng cJWy heill dc m{1l1 phrin clrng nhung
\'ic kicm nghim dung mo phong cho phC-p nila thie't kc dLrn,g qua trlnh m6
phong t'.li nhung thll'i diem xac djnh V;I hien thi cae gi;.I tr! tin 11I1l kc d t<,li
nhli'ng (iLrong lin hiu khong the quan sal lier trong phfin cLrng. Do do
sit' dvng (iic IllO hlnh mo philJlg trong l}lui trlnh Ihi':l kc' 1l1<,lch du\l'C tlll,rC
hin n)ng rai. Trong chuang nay chung ta sc nghicn eCru m91 so phuong plulp
m6 hlnh hoS logic va xfl)' dtfng m6 hlnh mo ph6ng lrang cong ngh thiG'1 kc
mi.,lch "ai d(l tich hq'p cao, CSc phuong phSp nay ti.)O co sa cho vic xily dl;rng
hm)t d9ng cua dc ngon ngiJ mo hlnh hoS phun cling HDL.
96
www.Beenvn.com - download sach mien phi
S.l. Co SO' mo hinh hoa logic
1. Cae phap m(l hinh h6a \/3 cae he mu ph()ng
Trong kS' thiet ke' cae logic. ngudi ta phJn ra hai plltrang
pbar chinh J6 !TIa hlnh hoa m'.lCh. Cae phuong ph,ip nay dm)'c xIiy dl,l'ng dlia
Iren co sO' cae ma Glnh l1qi Wi ma rna phong sc xu \y_ eic chu(Jng lrlnh
!TIn ph6ng Ihl,fc hi911 d.c m6 hlnh logic uU0c djch tlf d.c ngon ngu m6 \111111
hoa philn clrng va gQi Iii. m6 ph6ng btmg bien djch. eic ma bien dich
dUQ'c ra IU nhfrng m6 hlnb Irel1 InlrC thanh ghi. Ill' cae m6 hinh chtrc n[\I1g
m6 hlnh cau true. Cae m6 ph6ng bic'u dien cae 1116 hinh dy'a tren d.c
du true dCt liu chrqc gQi iu cae rna ph6ng biing d.eh bang. Cic call
true dil 1iu dLfQ'C xu)' dl,fng lli cae rna hlnh tren mue thanh ghi Iluycn di,lt
Ill' cae \DO hloh cau Ir(\c. Vic the hiGI1 hO<;1t d9ng cu.a 1116 hinh d110C
kiem soat bhng each nhling Htc dng vao m,wh va gQi nhilng ehllO'ng
trLnh can hin nhung ehue nang cua de toan til co sa ( uoi v6i mo hinh
tren mue thanh ghi di.lt ) chuc nang eua Cite phun tli cO sa ( d6i
\'6i 1110 hloh eau true ).
GiA Slr eht:ing ta khao sat ffi<;lch uin khi nwch u(mg vii quan sat
nhi1"ng tin hiu thay d6i gii.i. tf! ti.ti nhung thai diem thai gian bSt kyo Ok tin
hiu nity dUge g<)i Iii nhung tin kich Ty gifi"a so de tin
hiu kich h)ng so ci.lc tin hiu lrong gQi Iii. tinh eua nwch.
Trung binh hC)(.11 tinh eua m,.\Ch thuong nam trong khming til 1% de"n 5%.
n:ly la co s6 eua phuong phap mo ph6ng theo hO<'.lt tlnh cua nwch. l11t:o
phuang phap nay, h thong chi !TIn ph6ng nhung phfill hO<.lt d(mg clm nwch.
Trong m;;tch sl! thay d6i gia tri cua tin trcn mt duong truyen
tin hiu dU9C gQi la mt sl! Nhu moi khi co m(;lt sl! kin xuat hiGo
tren dvong tin hiu i, chung ta n6i rtlllg phan tu lTIi;leh d1l0ng tin ,.
lam dau vao d1l0c kich hOi;lt. Qua trlnh ttnh toan dc gia tr\ uau fa clla ph::tl1
tu d1l0c gQi la qua trinh x,i.c dinh gia. tri tin hiu. Phll(mg ph<lp !TIn phong
thea hO<.lt tinh eua l11<;lch chi xac dinh gi,i. tri tin doi v6i nbCing phfin tli
dU0e kleh hO'.\1. Nhfmg phan til dUge kich ho<).t sc thay d6i cae gia trj tin hi';:u
tren dAu ra cua chung va t<;10 ra cae sl! kin m6i. Nhu hO<.lt tinh cua mi..teh
dllQ'C xac b6i cac SI! ki';:n tren cae duong tin do do phu0ng phap
97
www.Beenvn.com - download sach mien phi
mo phoog theo hm.tt tfoh con duqc g9i la phuong phar mo phung hurmg slf
De c6 the truyen cae sl,I' kin thco cac duong lien kct trang giG:a
cae phfin !U, thong l11a phong huang Sl! kin cfin phai biet ma hi11h call
true clla Do d6 mo hinh hoa logic va 1110 phong huang sl! kin thuang
ill!a treo dch bang.
Phuong phap mo hinh hoa logic va rna ph6ng bang bien d!ch phun 16n
chi quan tam toi vic kiern chung chuc nang dQng ella rn<;lch ma khong
quan tlm toi vic dieu khien va dieu phoi d.c qua trlnh tlnh to;in thea thai
gian clla m<;lch. Do d6, phumlg phap rno hinh hoa logic va 1110 phong thich
hqp voi nhGng In,!-eh dong b9, (rung do, vic dieu phoi cac tic"n trlnh tlnh
loan thea thai gian c6 the duqc kicm tra Ik11 rai voi vic kiem tra chuc nang
cua Illi..lch. NgulJc l<;1i, phuang phap rno hioh hoa logic va 1110 ph6ng hu6ng sl!
kin trung cluJ. yeu vao cac rna hlnh dieu khien tien trinll tinh to;ill thco
tho'i gian va c6 the lam vic voi nhfrng mo hinh thai gian chinh xac. Nhtf
v'ly. phtfdng phap mo hinh hoa logic va 1110 ph6ng huang SI! kin c6 tfnh
t6ng quat cao han va c6 the ap d\;mg cho ca nhfrng rn';lch khong dong b9.
Tru6e day, phuC1ng phap bien dich dtflJc SU d\;lI1g kha pho bien lrung ky
thu(lt nhtfng voi nhung nhtfqe diem trang xU Iy thai gian nen phuC1ng
phap nay to ra khong lhlch hqp voi phan tfeh hhnh vi cua JIl';lch the'o thai
gian. Do do ti;li thai diem 1<'.li, phumlg phap IUt) hinh hoa logic va mo
phl'mg bien djeh tra ncn It c1tfqe su dl!ng 11191 each d9C mil. thuang
duqc su dl!ng k6t hQ"p voi nhihlg phuC1ng phap khac. Noi chung, phtfong phiip
bien dich cling to ra kh,-l. thu(1I1 Iqi trung vic rIll) hlnh hOii cac m';lch to hqp
va trong mqt so truang hqp trang ca vic xay dt!ng mo hlnh cho cae nwch
!u,-in-tt! dong h9.
PhuC1ng phap rno hll1h hoa logic va mo ph6ng hU'ong S\ kin co the thao
ti\c voi nhung dau vao thai gian thlfC. Dieu d6 co nghla Ia nhung dau co
so \[i.n thay d6i Ir<;\ng tMi dQc J(lp voi ho'.'t ttnh cua mi.lch dU\1e mo phong.
Vitn de nay dong vai tro quan tr9ng trang vic kiern chung thiet kc b6i VI
phUC1l1g phap huang sl,l' cho phcp 1110 phong rn9t C<I.ch ehinh xac nhling
fit! kil1 kh6ng dong b9 nhu cae sl! kiGn ngiil hOi}C cac qI.nh tranh trong y0u
du su dlJ.ng tuy(n du PhuC1ng phip rn6 hinh hoa logic va 1110 ph6ng
bang bicn d!ch chi cho phcp cae dfiu vao thay d6i gia tri khi tr';lng til,ii mi,lch
6n dinh. Dieu nay thfch hqp khi cae tae dQng dau vao la cac vectC1 dtfqe
vao thea nhung toe d9 co dinh, Ta chu y rang, nhung dau vao thai gian
thvc bao gam d nhihlg \'ectC1 dau vao voi toc d9 co djnh.
9R
www.Beenvn.com - download sach mien phi
Trang ky 1hu\l.t hai phuang pl1<ip !TIO hinh hml va !TIO phong noi tren
{huang dUQ'c su UL.ltlg m1 nleh kct IWp, trung do ohung thu tl}e IUIo-ng SL.'
kin se d.e Sif kin Iren eac dUl1i1g lin hiu qua de phfin ttl 1l1<.lch ci.m
nhung ph'ln tlr duqe K1ch ho<'.Lt tlll!e cae Ihao tac ICn tin hiu btmg e(te
1116 hioh X:1y dLfng III nhung 111a bien djeh.
Die !Due m6 hiBh hoa logie 016 tuang ung v6"i cae roue
dicn thong. Chiing 1a eo the eo cae roue 1110 phong sau:
Mo hinh hOiI t[en mue thanh ghi: thong sc duqe 1110 til. hoan
1rel1 lmk thanb ghi di.lt hO[lc nhu lien ket giua nhling th;lllh
phun eua m6 h1nh Iren thanh ghi:
Mo hinh ho,\ tl-en mue ehCfe nilng: h thong duge m6 ttl bling de
thanh phfin ea ban "a lien ket giua cae Ihanh phun do:
M6 hinh hoa tren mue de ph,tn tu-logic;
M() hinh hoa tren mue cae transistor;
Mo hinh hoa han hqp.
2. Cae gia tri h)gic khong xac dinh
Trong qua trlnh 010 hinh hoa le)gie. de co the 010 tei ehue nang va ho<).t
d9ng clla thea thai gian, ta phiii mo Iii. duqe m91 deh ehinh xae cae
qua trl11h dien ra trong m<.\Ch. Dc' d<;lt duqe do, chung 1a phai mo t,i dUQ'e
sv bien Ihien gia tr! tin hiu tren cae duang tin trong ro'.leh. M9t trang
nhling van de phue 1<.\p la mo ta duqe cae qua trinh qu,i d(> dien ra trang
m<).ch mOi khi xuat hin cae SLf kin tr6n nhfrng dU0ng truyen lin hiu. Do
110<;1t dng ella cae ro<;1ch so dl!a tren cO" sa eua logic chuyen mi}eh. nhung "oi
hai gia trj I O. 1 ) ta khang ma 1a duqc sLf ehuye:n tiep gia tri lin hiu lu
m(H roue sang 1TI1 mue khac. Dicu do dAn toi vie ta phili mCr r9ng mien x;ic
djnh ella cae phep loan logie truycn thong vt! ma r(lng cae phcp loun nay trcn
mien x<ic djnh moi.
Trang qu<i trinh ma hlnh hoa. de rno tii cae gia trj tin hiu tren cae duang
till hiu trang cUe tdnh tinh loan. nguai ta thuang Slr dl}ng hui d<;1ng gia
trj tin hiu sau:
Cue gia trj tin t1wc de doi tuqng duqe mo hinh h6a trLfe tiep
va wang ling voi cae gia tri tIn hiu trang so do thL!e.
99
www.Beenvn.com - download sach mien phi
Ciie gia tr! ,to cae gia tr! chi ton t<).i trong ma hlnh m,.teh khi thl!e
qua tf'tuh ma ph6ng.
Trong logic nhj phan. de gia tri tin t1we khong chi la '0' va 'I'.
Trong nhieu truang hqp nha thie't
ke dn thiet phili chi ra cae tr<,tng
thai bien doi gia trj lu '0' ---7 'I'
- k)' la 'j' va tu ']'---7 '0' -ky
hiu Ia ,t' ella tin hiu. Cae tr<;lng
thai Bay the d.e qua trlnh qua
de) lrang Irel1 cae dU0ng
tin hiu. !\go:1i ra d6i voi
Hlnh 5.2 Cae giillri lin hicli O. L i. t.
nhu"llg plHi.n tll' ell ba Ir<,tng thai ta con phili 'a1 dl,lng them gia tr! 'z' de chi
tr'.lJlg thai 1n'1 khang cao. Nhu mien xae djnh ella cae phep 1001.n logic
clu"q'c m6" r(mg ttr t.;tp hqp I 0, I ) sang hqp 10, I, j. t. ZJ.
Cae gia Irj ,to duqe sir dl,lng troug nhung truang hqp trang qua trlnh !TIO
hlnh hoa khi la kh6ng Ihe thiet gi'l. trj tin hiu nhu ta ket qUii ella cae
phep toan tren nhli"ng gia trj t1we khi la ph'li m6 hlnh hoa cae phiin It!
ella lll<;leh lrung dieu gi,i Irj thai gian tn! clla cac ph,in til kh6ng xac
djnh. Vi dl.l, khi 1110 ph('lIIg dQng clla phaJl tlr !\AND co hai d{iu vao
btmg cae gia trj tin thl!c I 0, l, 1'. t. Z I, chung ta kh6ng the thi2't
gia trj dau ra btmg cae gia trj tin hiu thl!c nell tren khi gia Irj d,iu van la 'j'
va 'J..'. Trong nhD"ng Inrang hqp nhu v,)y chung ta phili <;u them gia trj
tin hiu kh6ng xac dinh - k5' hiula 'u',
Noi chung. d<-lp ung cua cae tuan II! do; veri dc tac dQ!lg phl.1 IhuQe
vao eac gi,i trj kh6'i 1'.lD han dau, do do 6' giai driu ella qua trlnh mc)
ph6ng, chung ta can xac djnh nhung gia Irj tin hiu wang ling voi In.mg thai
han dau eua m,!-ch. Tuy nhien khi m<:tch hUt diiu duqe cung dip nang luqng,
do gia tr! thai gian tre ella c,k phan tu m'Jch lit cae d;:.li luqng ngau nhien ncn
tr<;lng th;:ii cua cae phan It! triga. thanh ghi, 6 !lha kh6ng :<;:l.c <ljnh. Do lit
nguyen nhrm VI sao trudc khi bat (hiu Ihl!c hin cac chlie nang Hnh
toan thong thuang, chung ta thuang dua ve tnJng th,ij ban d.lu b;:\ng
mQt chuoi cae thao tac kh6'i t'Jo 'reset'. Nhu trung qua trlnh !fl6 ph()ng,
khi cung cap nang luqng eho m<;lch. 6 Ihoi cfie'm ban dau tin thuang
duqe gan gi;:i tr! 'X'. Ne'u gia tr, eua mQt tin lit 'X' mQt thai diem
X[lC dinh, dieu do co nghia lit tin co tht gi[t tri '0' '1' ,<.\i
lho'i diem cfo.
100
www.Beenvn.com - download sach mien phi
ThI,l'e eh.ll uk gia tr! 'U' va 'X' co y nghia khac nhau LlLI ehling
eung la nhung gia tft kh6ng xae djnh. Gia 'X' ehi W bat c1jnh \'6 trang
thcii eua mi..teh 1<.li thai diem ban d.-iu. Do co sL! eh<;ty c1ua gi[i"a nhung phiin tlf
Il)gie Ihanh ph.-in va sL! bien thien ngau nhien eua tham so Ihoi gian Ire cua
chung nen 0 thai diem ban duu, de phun tlr nha co the cae gii.l tr! '0'
hO(lc '1' mOt ei.teh ngau nhien. Khi do ta bieu thi tri..lI1g tluli eua mach Iii 'X'.
Gia tr! 'U' xuat khi cluing la lam cae phcp toan tn:n cae tin Vi dI,l,
Iho'i dicm I, gia. trj tin hiu SI ia day "II", gia Ir! tin S2 Et ,to". Cae
day lin hiu nay bieu th.i de qua trinh qua dQ xi)' ra tren duang tin hiu SI
va 52 I<).i IhO'i dicm t kill gia. tr! tin hiu ehuyen tll '0' sang' l' va tu" l' ve '0'.
Neu cae duong tin Sl va S2 lii cae dau vao eua pilan tu A;..JD, klli c10 phan
tu- AND se thL!e phcp toan and( 'I', 't' ). Kct qua ella pilcp tmin nay ia
kh6ng xae Tr6n dau ra eua ph an tu- AND tin hiu sc kh6ng gi,l. tr!
'0' cUng nhu '1'. Trong trLIong hQ'p nay chung ta bieu thi gii.i tfj tin hiu
btmg ky hiu 'U'.
AKD '0' '1 ' 'X' OR
'0'
, l'
'X'

'0' '0' '0' '0' '0' '0'
, 1 '
'X' '0' '1 '
'1 ' '0' 'l' 'X'
'X' '0' 'X' 'X'
'1 ' 'l' '1 '
, l'
'X' 'X'
, 1 '
'X'

, 1 '
:J
'X' 'X'
,
Irinh 5.3 t'io fi)ng dic phe[l (mill logiC sang he bi6u dl,!!l 3-gid frI
Neu so IUQ'ng cae gia trj t1we va ao eua tin hiu trong qua tdnh mo hlnh
hoa ll)gie vii m6 ph6ng 11 thi ta dl1Cje m6 hinh InO ph6ng !1-gid 'rj
va cae phcp loan logic Il1cmg LIng ph.J.j dUQ'e rna r(lng thanh h logic n-gia
'ri. Vi
Neu n = 3, hQ'p cae gia tri rna tin hiu co the dUQ'e trang mn
hlnh m6 phong eua mi,leh se lil ! 0, 1, X );
Neu n = 5, hQ'p cae gia. ma tin co tht nh(\l1 c1l1lje trong mo
hinh mb ph6ng ella rn<:tch se lit { 0, 1, I, t, U };
Neu n = 7, hqp cae gia tri ma tin e6 the dl1Q'e trung me
hlnh rn6 phong eua m<.leh se la { 0, I. i, -.1, U, X, Z };
Oie phcp lOan trong truong hqp logic 3-/tia tri { 0, 1, X I dl1r;ie rna I"ng
nhu tren hlnh 5.3.
101
www.Beenvn.com - download sach mien phi
I NAND --CO'T'!;-' t'-C, Tc-, t"',-c, u"", "N'ONR""'"'OC;-'''-;, I"" """te;', '-;-, U",Cl
'0' '1" l' '1' '1' 'I'
i -'1-' '1' '0' ,""" 'I' 'U' '0' '0' '0' '0'
-I, .. ',:' 1'1' 't' 't' 'lj' 'u' 't' 't' '0' 't' 'U' 'u'
'I' 't' 'U' 't' 'U' U'- . 't' '0' '\j' 't' 'u'
I 'U' 'U-J' _'_U_', _'_U-J'
mnll 5.4 Cae phcp roan NAND va NOR (rang he logIC 5-gill trio
Neu ta Slr dl.l11g k}gic 5-gl(1 ,,.{ \ O. I, t, t, U ), d.e phep 10;.I.n NA ND va
NOR se dUQ'c !TIn I"og nhu lren hinh 5.4.
Trang kg thU\lt thief k6 lll':lch v6i 0(1 Heh Iwp 16'n. rat it khi qU<-l trlnh !TIO
hinh hoa logic va m6 phung duqc th\Ic hiIl chi vuj hai gia tin th\Ic lit
{ '0', '1' }. Thong thuang chung ta phi.li Slr dl;mg it nhat ba giu tr! tin
Chung ta xct mot truong hqp dan gi<111 khi Slr dl;log logic 3-gi(j trl d6ng thai
kh,lO sat nhung ,,[in de nay sinh khi 1116 n)ng h logic aoll 11lf6ng eLla
chung len qua trloh mn hlnh hoa logic va mo ph6ng.
NIH! ta da de e(lp tai 0 khi bit diiu eung cap ngu6n eho tni..leh, trong
mi..lch xuat hin '>l! dua giua cae phan tu- mi..leh. Dicu do dan tai vie
trang thai ella nwch kh6ng xae dinh Ii..li thai diem han U,\u. Tr<'lI1g thai eua
nweh se m()1 dch r:tg5u nhien mt trang hai gia '0' '1' IU)'
vao gia tr! tham so tn': trong tn,:\ch. thai ella mi..leh 1".li thai oieln
nay kh6ng the dlf dOi.ln Inidc va uUQ'C k)' hiu iA 'X'. Gii.l. Irt 'X' wang
Ling voi '0' hO(le '1' va e6 the duqe tham gia qua tdoh tlWe cae
phcp loan logic eung vaj de gia tri logic nh! phi.1n khi.lc trong qua Il"inh m6
hillh haa 16gie va m6 phong. Sau khi them gii.l. Irj 'X', h cae phcp toan
16f!ie 2-giti 'r.i duge rna r9ng Ihanh h cae phcp loan logic 3-gid ,ri.
Gia trj 'X' hieu thj trong hal gia trj ella t(tp hqp {O, 11. TU"C1ng tlf la
e6 the eoi gia tri '0' lUang ung vai hqp IO!; gia trj '1' wang Ung vai
hqp { 11. toan 16gie B giUa hai gia p va q trang do
p.q c{ 0, I,X I
duqc xae dinh th6ng qua phcp tmin giUa cae hqp dien gia Irj ella p
va (I. Ket quit eua phcp toan B duqe xac djnh bang hqp eua hqp ket qua
eua IlH?i khit nang tlwe hin phcp tml.n B y6i cae thanh phan eua hai ti.}p h<;1p
tu(1ng ung voi hai taan h,:\ng.
102
www.Beenvn.com - download sach mien phi
Vi til,!,
And( 0, X ) = And( I ), I 0, I } ) =
= I And( 0, ), And( 0, I ) } = I 0, } = 0:
Or( 0, X ) = Orr I () }, I 0, I } ) =
= I Orr 0, () ), Or( (), 1 ) } = I 0, 1 } = X
NOT( X ) = NOT( I (), 1 } ) =
= I NOT( 0), NOT( 1 ) } = I 1, o} = I (), 1 } = X
Tfit d. cae k2't qua ell:t ba phep tOUI1 AND, OR, NOT trong 16gie
3-gi(1 tri dlIa ra tren hlnh 5.3. xae djnh giu trj clla Il1QI ham 16 h9r
bat kY.!l XI' -'"2' ... , x,,) doi voj n19t hQP cae d,tu vao ( \'1' 1'2' ... , I'" )
gia trj trong t(tp h9"P I O. 1, X \ dlIQ'C thve nhu sau:
Xay dlfng kh6i Fx ( VI' \'2' .. , v
JJ
/ x);
SU dl,!ng phcp tmin giao md
n
n)ng tim giao ella khoi Fx

voi khoi eC1 sCi clla f.
I
Neu tim thay hQ"P giao,
x
gia trj ella ham f se bang ghl.
X


0

0
I .1 X
0

0
1 I
0
1 x X
0
X X
Irj nam q.i \'j trt ben phiii
nh5t; trang trlIdng hqp
lI'inh 5.5 Bien tile ella phcp tmin glao Irong
trHang hop 16gic 3-g111 In".
nglfge gia tfj ham f se
bang 'X'.
De hie:u so do tfnh loan nay, vai ky hi<;u x lrang m91 kh6i co sb chung ta
hicu rang ta kh6ng quan tam toi gia II'! clla bien. Gi,i tri 'X' siS wong ung voi
dinh x trong mt)t kh6i co so. Tuy nhitn, gia trj dfiu vilO nbj phfm xac djnh
lrong kh6i ea sa Iii can thiei, do do gia trj 'X' Ci dflu vao kh6ng sinh ra
giu trj dau ra tlfong (mg. Vi dl,!, doi vai phan IU AND c6 hai dau vao, kh6i
( XOlx ) luang thich voi mQI khoi co sO', trang khi do khoi ( X!lx ) kh6ng
tuang thich.
,
Khi su dl,!ng 16gie 3-gici trj chung ta se bj mal th6ng lin trong bitu dicn
chilc nang va har,h vi cua nwch. 0 x
Dicu do e6 the khi ta khao Sat x -.---1
trong bang chan ly ella phcp toan
NOT. Khi gia tft dau vao eua
pldn til NOT Ja 'X', ta b! mat quan
nghjch tHo giila gii tin
vao va tin hiu ra clla phao til -
1 ---L--->"
Hinh 5.6 K6i qua cll<l. qUi! ldnh me)
phong trong logic 3gili Iri.
x
0---
103
www.Beenvn.com - download sach mien phi
:['.;OT( X ) = x. Dieu do cung xAy ra khi ta xci gi,i Ir! duu dilo Q ella phAn Ilf
Iriga c6 tf<.mg Ihili 1a 'X'. Van de nay dan lai nhctng kel qua sai trong qua
Irlnh 1110 hinh hoa va mo phong. VI Irong rn'.lCh tren hinh 5.6, nt'll I{nh
Imln Iheo logic 3-g/(1 frio gia Ir! lin hiu tren Miu fa ella ph[lll It'r NAND
Ii\. 'X'. Tren tlll,TC Ie Ihl dvi1ng lill hiu nhi}n gui Ir! '1' do tin hiu tren hai
du'cmg (/ va h iu(m ngU\K nhau. Gia tf! '1' lrang trvi1ng hC!P nay \a Itil dinh
khong phI,! IhuQc vao gia Ir! trcn dvong lin hiu vilO 'X'. De giai quyet vSn
dJ d6 chung la dLla vilo di kem vai gia Irj 'X' 1a gia Irj X IhOil mail de h
thCre:
-
X. X =0
-
X+ X= 1
Vic dLla them giil Ir! X van 16gic i-ghl Iri cung chi giai quye'l ovqe 11191
phtin v[l:11 de neu !ren khi trong nweh ta chi co 11191 bien tn)ng thai nh<:ll1 gia
11'1 'X'. Trang nhctng !nrcmg h(,"1p khac dicu nay co the dVa den loi trong qw.i
trlnh m6 hlnh hoa logic va rno phong nhLl trong V1 dl,! Ini,lch hlnh ).7.
Trong VI dl,! nay, hai ph[ill tu trig a dc t(lp. Doi \'(Ji hai duu ra Q va Q cLla
cung mC)t trigo, gia tI"! tin hiu ta duo nhau nen neu duu ra Q co gia 11'! 'X' Ihl
dau ra Q se nh(m gia If! ' X'. NhLlng doi vai hai phun tt'r trigo dt)c i(lp thi
gina dau ra Q cua mQI phan tt'r va d:lU ra Q cua plutn tu thll hai kh6ng c6
quan h ngbieh di"to do. Do do, neu Ifnh thea logic 3-gia trf va gia trj , X'thl
diiu ra ella phun tt'r AND co gia tr.i '0' ( X and X = 0 ), nhu'ng tren tlH!c tc
Q X
o
Q
Q
Hinh 5.7 Truong hqp slr dung logic 3-
gia tri dlIlg gi,1 tn X cho ket qui
gia tr! ti.\i duu ra cua pban tit AND
la 'X'. NhLl chi st'r dl,!ng hai
gia tfi X v,l X khong giai q uyel
trit van de ve linh loan ven
thong tin.
De' gi,ii quyci via'n d6 neu
tren,chung ta co Ihe slr dyng nhicu
tin hiu khong xic d!nh khac nhau
XI' Xc, ... , tvong ung vdi cae
ngu6n tin dQc t(lp (cac tin
hiu dc nay co the! la cae bien
tn.l1lg Ihai elm cae phan tu ) va cae quy lac tinh loan dQe \(\p:
X,. X, = 0 , X, + X, = 1
104
www.Beenvn.com - download sach mien phi
Doi v{ji nhling m,!-ch c6 d tich hqp 1&1 thl phuong pkip nay kh6ng dung
du'Q'c bOi vi cae gia tr! tren mOt duong tin c6 the phai dU<;1C dicn
bAng mOt bieu thlrc logic lOn vai bien dOc 1(\p .
Thong thuong phep toan do mt philn tu chtl'c nang thvc dUQ'e xac
djnh hang deh rna hoa de gia trj tren uh6m d.e duong tin hiu dicu khicn.
Van de nay sinh trong qua trlnh rna hlnh hoa logic va m6 ph6ng khi phfin Ill'
clll1c ni"mg d.n thvc hin '\'u mQt so duang tin khien nh(m gi,i tri
'X'. M(:ll each tong qu<it. neu k duong tin hi9U dieu khien nh(\I1 gia trj 'X'.
ph,l.n tu chuc nang e6 the tlwe IDt trong 2" phep toano Loi giJ.i ehiBh
xac se dU<;1c khi ta thvc toan h 2' phep toan va ket qua eua phep
tmin \.1 hqp cua tat d. cae ket qua I'ieng phan cua lung phcp tmill trong 2'
phep tmtn noi trcn. I"\hu neu mt bien so nh(m gia trj '0' trong mt s6
phcp toan, va gia trj '1' trong mt so phep toan kh,ic. ket qua sau khi
tong \WP se ta ! 0, 1 ) = 'X'. Phuong phap nay chi kha thi khi 2' la lTIt
so nguyen nhi). Vi dl,l. ta gia thie'! ding hai bit trung dia chi eua h nha ROM
nh(m gi<i trj 'X'. nay se dan tai bon gia tri dia chi. trong d6 moi gia trj
eho phep truy lOi nhUng tu may khac nhau trung h9 nh6. Ket qua ti..li diiu
ra se nh(m gia trj nhi phan b t,.ti nhiJ'ng vi tri bit trong do moi tU dUQ'e truy
nh(1l1 gi,i tr! h, va gia trj 'X' nhlmg vi tr( ma tLr dU<;1c truy kh6ng
lUang thich voi dia chi.
Trong nhiJ'ng kh6ng
d6ng b(l, SJ! xuat hill eua gia
tri 'X' eo the dan tai dao
dng trong In . tch. Dieu c6
the thAy trong hinh S.X. Oic
tin tham gia vao dao
dong tan so eao e6 the dU\:iC
coi \a the giUa cac
Irinh 5.8 Hi(:n TUOng daD ch)ng trong mach
khi )'If clung logic 3-lii(1 /ri.
muc tuong ung voi gia trj logic '0' va '1'. Nhu be; sung them vao cae
gia trj khong xac dinh tinh gia tf! 'X' con c6 the bieu dien gia Irj kh6ng dc
d!nh d(mg gia tri logic trung gian.
lOS
www.Beenvn.com - download sach mien phi
5.2. Phuong phap mil hinh hoa bien dich
Phuong phap mo hlnh hO<l logic va mo ph6ng bien djch la phuong
ph<ip rno hlnh hoa trong do cae tfn duqc xk djnh gia Irj hAng each
cho moi philn ttl cua tni;lch mt ma tuang LIng voi de phcp tmlll ma
philn tt'r do can thLfc Cae rna lnh dutJc sc ctw1c bie'u dicll thea
tlH)t In)! tv IUo'ng ung.
Trong phuong phap ma hlnh hOil. va rnb ph6ng bang bien djch, 016 hlnh
bkmg cae ma lit m(lt thanh philn eua h thong m6 phong. Trong nhung
tnrang bi';t. h mo ph6ng chlnh hi cae rna hInh tren cUe ma lnh. Noi
chung. m6 hlnh Iren ma lnh duqc kct noi vai h m6 phung, tmng do moi

vceto' diiu vao va hien thi kel qua.
Qua trlnh m6 hlnh hoa bing phuong phar bien djch sc e6 nhling bWle
sau:
Buoe mot: phan h<;lng cae phiin IU ella do mD hlnh hoa thea
tr(lt tl.r thlfe hin d.e phep tmin sao eho kh6ng e6 mau Ihuil.ll ni\y sinh.
Buae hai: t';lO cae ma luang ung vai cae phcp loan do cae ph,ln
IU time hin.

himg1
,
hang 3
De phan h'.I11 d.e
IU eua m,.tch, diu
lien chung ta ngttt cac
vong phim hoi trang
mach ne"u e6. OIC diem
ngM dUQ'e xae djnh
wallg ung voi cae ehue
nang ella m'.lch. Trang
truang hQ"p ma hlnh ho<1.
cae 111<.1eh tuan II! dong
IIlnh 5.9 Phan hang va mil. hoa mach lOgic. b9 vie ngal m'.leh phiill
hoi tlwe hin tren nhfrng philn IU Irl!e tiep nh(m eae tin hiu dong br).
Gi,i su I(k) ]a Iwng eua ph,in IU k, khi d6 qua trtnh ph,ln h<).ng. ctuqe IIHfe
hiGn nhu sau:
106
Cae dilu VaD eua m';lch e6 '0'.
Neu k,. k
2
.. , k" la cae philn tu duqe n6i voi cae dfiu vao eua phan
Ill" k, 11<.Ing eua phun IV k se bang:
www.Beenvn.com - download sach mien phi
I( k ) = 1 + 111ax( I( k, ), "" I( k,,)) ,
Vi dl,!, xci tn:n hinh 5.9, thea phuang phap phfm neu lrcn 11.1 se
dW1c lWng eua d.c philo tu trang tn<;lch.
mil de la vic thay the cae phep loan ma phan tV thL!C
dU<;1c bang nhling mit may hifu dlH;:iC. Vi dl,!, trong sa do tren hlnh 5.9,
d.c phan tU se du,!c mil hoa bang nhiIng Jnh sau:
I. g = And (a,b) 4,1 = Nand (g,h)
2. h = And (c,d) 5. n = Nand (lJ)
3. m :::: Nand (e,g) 6. p;:::: Or (m.o)
Trinh tl,f mil h6a dVQ'c Iblle hio theo thlr II.! tang dan hi;log ella de phan hi
111<..leh. Day loh se dlIqC bien d(ch va thtfc hio vai nhO'ng gia Irj vao cho
InIac.
Chung l<.t xet lnrong hqp Illi).ch twin II! dong b9 nln! tren hinh 5.10. M<).ch
tu{in II! n<iy dlIt;lC di6u khien
A bang tin hiu dong h6 eLK.
D
c
C K
Hlnh 5.10 P1UIll hang ma hoa
mach tuan !u r16ng bo.
Q
Gi;i thiet rang sau khi veclc!
dau van moi duqc tae d(mg
1TI<.lch, 111 co dli thai gian de gi:l
trj tren dl10ng dD' liu eua
phan lil Iriga dU\1c on ctplh it
nhAt la mot thai gi'\Il f, tnr6e
khi ph.:ln tu' trag duqc kkh hO\11
bang lin hiu dong h6. Dieu do
c6 nghia lu thai gian t\ la Ih6'j
gian thiet ella phan IU Irigc5.
!\eu dieu ki11 d\Iqe thoa
man, ta e6 the: hu qua nhD'ng gia thai gian Ire ella tirng ph:in tu logic Irong
qu,i trinh mo hlnh hoa va m6 phong, bdi vi thi.1i ditm ehinh xue khi tIn
thay doi gia trong to hqp kh6ng quan trQng. Do do doi \"6i moi
vceW giA Ir! dau qua trinh mo phong chi crin tinll gia trj finh eu;.\ chr(mg
tin hiu F truyen gill do tai dau ra Q. Theo phuang phap phun h'.l11g thl
cae dau van A. B sc ntlln tren h"lfig '0', nhung tin In.lt1g thai eua triga
cling ntun treo h<;tng '0' neu la gia Ihiet gia Irj khoi tao ella tn.mg thai dUQ'e
bier Ir\Ioe. Trang tr\Iong heyp nay h th6ng m6 phlmg se tln!e hio md hinh
111<),(h nhu Irong truong. hQ"p ma hinh hoa m,)eh t6 hqp btmg ddl Hnh de gia
tri lin hiu tren dau ra ella tat d. cac phan IU doi \'oi mili veeto' gia tri tIn hiu
107
www.Beenvn.com - download sach mien phi
(1[\u v8.o. Trong truang hCfP gin tri eua philn tu trigq kh6ng dW;1c hi0"1 truae. h
thong m6 phong phai Ihl!c hin tfnh to,in tl-cn de gi.i tri '0', '1' va 'X'. Cac
gia tri dUQ'c ma hoa hai bit nhu sau: '0' - "00"; 'I' - "11"; 'X' - "01".
Cac phep tmin 16gic hai ng6i AND ( OR ) gilta cae chu()i hai bit duqc thvc
hin tren cd sa cae phep toan mQt hit tUC1ng ung, nhung phcp loan NOT
kh6ng the tlllfC hin trcn bit duqe vi gia tr! NOT( X ) eho ta ket qua chuui
"10" - la gi,i tri kh6ng co trong bang mao V,1"n de mly duqc gi<.i.i quyct hling
each d(;i eho hai bit sau khi dao bit.
Tu nhung (tieu dun ra a tren, ta thay. phuong phap bien djch kh6ng linh
t6i anh huang cua thO'i ginn khi tin hiu duqe truyen qua Dieu nay
la do qua trinh x&y dL!ng m6 hlnh tn<;leh duqc lhL!c thea IWng.
x
y
Neu chung ta chi gioi h<;ln twong hqp thai ginn Ire la eac thai gian tr(;
Ian truyen thi m6 hlnh hoa hO<;lt dng clla lTI<Wh eo the thL!C
duqc thea d.ch phun IU.lllg. Cac thai gian tre Ian truycn se dlrQ"c tinh
den lTIt deh tUO'ng minh trong qua trlnh phim hi..li1g Inlycn tin
qua tung lop pilim
Doi voi d.e d<;lng thai gian tre khac nhu thai gian tre ngJu nhien
thai ginn tre qUan tfnh thl m6 hlnh hoa mi..teh theG phuong phap
bien d\eh kh6ng the thL!e hin dw1C.
Do ta phai cae Yang phi.ln hoi trang phuong phap bien
djeh ehi co the' su dt.mg lrung nhung tru-ang hllP khi 'Y. nghiil CLla vie
ngit vong phun hoi r5 rang. Vi dy. nhu lrung truong hqp d.c m<..tell
dong b.
M6 hlnh hoa l1l<;leh bang phudng phap bien dieh co tht dUQ'c tht.fC hin
z
c
y
,----------,
, __ -<: thui gian __ ---"
: :
kha nhanh. Nhung phuong plHlp n;\1' eo
nhullc diem quan tn;mg la kh6ng tfnh thc':ii
gian tre tmng m<'.leh. Neu ta eoi tho-i gian
hen ttlt d cae phan ttl dtJQ'c eoi la
bang nhau thl vie philn Iwng se th6
dUlle si! tn: eua tin hiu khL di qua tn,!eh.
Trang truo-ng hqp thoi gian eo nhiing
phuc ti.IP thl phUl1i1g pMp hien dieh
kh6ng the thi!e hi(:n dUile ell inh xae. Khi
m6 hlnh boa cae m,.\ch dong b. ngat
cae vGng phi.ln hoi cling lam cho ngu
nghia eua m<;lch bj thay doi. Trong truo-ng
__________ t
Hl.nh 5.11 Mo hlnh m,!-ch khong d61lg
bo veil tham sOlhoi giall tar tiung
dl!Ong rhan hoi.
108
www.Beenvn.com - download sach mien phi
heyp doi \"6i nhG'ng 111'-.leh kh6ng dong bq vic kh6ng tinh den tho'i gian tre c6
the' d,ln tdi nhiTng kc"t qua sai.
De' gii.'ti quyet mc)t ph[ln nhOllg kh6 khan t.-en, doi vaj nhling mi..lch khong
dong bc). ta ph'li gii.t thiet gia trj thai gwn Ire chi I,)p trung dlIl'1ng ph'lll
hoi ( hlllh 5.1 J ). De dap
ling voi vecta dilu \'ao x,
m,)ch phili lnii qua
chuai cae lfin chuycn tn.lI1g
thaj. Qu,i Irinh chuyen tr<.mg
tiuli nay ehrt)'e dien
bAng SL,f Ihay d6i gia lrj eua

bic"n In.lIIg thai y. Ta gi,i I y=y I IllurchiClldCllUI.CllhIU(lI\t! I
\"m)!: I" hD]ll'
thiel dtng vecla lin hi:u d,iu
vao chi tac dQllg khi
Ihai cua 1l1i.,Kh on ctjnh
y = Y. Dm\ng tin plull1
hoi co IWng '0' phAi c1uvc
Xi.lc d!i1h truoc khi C<IC mIT
Inh tuong u'ng voi nweh to
hc:tp C chICJe 1,.\0 nL Tren
N
y
y=y?
IIlnh 5.12 r..16 phimg mach kh(lIlg d{mg
b6 bAng m{l hlnh mij lCl1h bien dich
hlllh 5.12 chung la c6 toan !TIO hlnh hOil. logic va mo phung Illi..\Ch
khong dong b(l. Kili IhL,fc hin mo phong mo hlnh. qua trlnh m6 hlnh hoa siS
t1we hin linh gii.l Ir! tin hiu Z Vil. Y dL,fa tren giu If! x V,\ y.
Phuong ph,ip IT"tl) hlnh hoa va mo phong noi trell khong chinh x,ic khi

Innh 5.U !\Llcl\ tao xung.
t1wc doi vai cac m'.lCh khong dong hQ
trong do cac thao hie tillh lOi1.n dell dl.ra Iren
th,U11 so thoi gian eu,1 dc phrin IU 111i.ICh.
Vi elL}.. la xel tn<.tch t.IO xung hi6u dien Iren
hlnh 5.13. khi tin hi:u tren dU'o'ng A co SL!
Ihay deli gia trj llr '0' sang '1'. neu tn<.H.:h dao
B khong Ihm tre tin thl tin tren dUOng C se Iuon nh(1I1 gi,i '0' do
lin hiu tren hai duang A va B luon nguCJc nhau. Neu phull tu: 13 lam tre lin
hiu. trong khoang thai gian co dO bill1g giu tr! tho'i gian tin hit;u qua
ph[in IV fl, hai dau vao cua phan tir AND sc co cung giu Irj '1' \'ll Iren duo-ng
lin hiu C se xu[il xung '0' --) '1' -t '0' C(l d9 n)ng giu trj Iham so
tre cua ph[in lir dao B. Neu chung ta kh6ng xiy dL!ng mo hlnh bung phuong
109
www.Beenvn.com - download sach mien phi
phap hien djch dch can xung '}' nay se kh6ng xuift tren
dUl'ing lin C b6i VI phuong phap hien djch chi quan t[tm toj hanh vi Gnh
cLla m<;leh ( nc'u kh6ng quan tUm den s\T tin gia tr! tren duong C luon
muh 5.14 r-.l() hlnl1 llWCI1 tao xung
kClll tham tri':o
'0' ). Neu chung ta them vao phiio tu
tn: D vao duong tin hiu dau fa ella
phfin til B. nweh se duqe ml':l phimg 111(1t
eiich dung dim. Trang tmung nay
ph[in tu D dong vai tro phan tu Ire
trung duong phan hoi. Noi chung la
khCmg the ti..IO fa nhling mo hlnh dung dAn
doi "ui nweh khong dong bQ 111()t d.ch tL! dOng can ph:li co cae kinh
nghim ella cae nha thiet kc.
Trong nhGng tmung Iw"p, khi cau true ella nwch cil<') xiic dinh I11Qt
R
Q
R
R
Q
S
S
S
Q
a)
S.R 0.0 1.1
b) c)
IHnh 5.15 r-.lach tnga RS va phuong phap mO hlllh hmi bl.!ll elich.
eaeh wang rninh de vong phein hoi, 'a van co t40 fa de rna hlnh bien
dieh khac nhau c16 mo ti.l cung InQt nweh. Trang mlli m6 hlnh khac nhau clla
mi..lch, ta uS nilling giil Ihie"' kh.:ie nhau vi tl"f CLla phun tll" tre t(lp trung lien
nhll"llg 1116 hlnh ni:l)' co the phun ung khi.l.c nhau l16i \'('jj cLing m()1 t{le c1(mg.
Til hay xC! tnrong hqp!TI6 hinh hoa logic VZI 1110 pl\('mg 1l1,"lCh Iri,go RS khi giii
Ir! vccw tin hlu dau vi:1O RS chuyen ticp llf "00" '- 11 ". Nell la ..,ir dl;lilg
1110 hinh WJi du(it1g tin hi0u Q la duong tin hiu ph,'m h6i (ilillh 5.15 b. ), ta
Il1fty duang tin hiu Q chuycn tic - l' sang '0' trung khi gl,i 11"\ dU(l"llg Q
luon h<'l.llg 'I' doi voi ci.i hai vecta dau \'ao. Tuy nhicn n2"u ta sir 1110
hlnh \'oi duang tin hiu Q duong tin hieu phAn hOI, ta se c6 c1lf0ng tin
Q se chuycn tf<).ng thai tic '1' sang '0', trong khi duang tiB Q \':111
giG nguyen gi<i trj '1'. Hin tuqng nay pluit sinh tll nguyen nhan sau: hai
vt'cto" nay t<)O ra s\f ch\lY dua giUa cae phal1 tu NAND, trong d6 ket qua p1w
thuQc vao thai gian tht!c te tren d.e phal1 tu- d6. Nhu vi dlJ. ni:ly cho ta
thay phuong ph<l.p m6 hinh hoa logic va 010 phong bang phuong phap bien
110
www.Beenvn.com - download sach mien phi
djeh theo so do tren llinh 5.12 kh0ng thieh IWp v6i nhiIng lam \'It;C
trong nhiIng ch6 d(,) eo sl! clWy dua gifra d,e phfill tll nii 1'0 (hazard).
celc hi1l tU'Q"ng nay tlnrong thfl)' trong cac m,-wh khong dong hq.
5..3. Phuong phap Ino hinh ho{t huong 51!
Phuung ph,ip m6 hinh hoa hu6'ng sl! kin eho phcp xay dlfng nhling IDO
hinh IDO phong trcn miiy tinh vai dq ehinh xae eao, trong do ta e6 the: m6 ':t
bl) eae lien ke"t trong va vao d6 xay dlJllg nhling m6 hlllh hm.lt
Neu khong co

kitH trang danh


sach sI! kin
Thai diem m6 ph6ng 1-------,
ticp theo
j
Ket thue
m6 ph6ng
Hinh 5.16 Scr ctollurc hin m0 hlnh hoa 16gic
theo w kin.
dng ella theo lien
trlnh thai gian.
Trong qUit trlnh m()
hlnh haa logic viI m6
ph6ng hU0ng 'N kin.
chung ta quan sat qu,i
trinh truyen eae SL.T
xuat hin Iren c{tC dU'l'mg
tin hiu ella maeh IU dCiu
vao den cI[iu ra. Trong
truang hq'p ta gi,\
thie! rang vung ,1nh
lmang gay nen b('ti sv
bien thicn ella m()1 tin
hiu kh6ng 16n. Trang
nhiIng lm,lell thong
thuang. sif thay deli Ctla
tin hiu dfiu vao se
hu6ng t<..'1i kho,lng 10%
de dU'ong lin hiu trong
n1<.\eh. Nhu v,)y, chung ta
t1wc !TIn hinh hoa
logie va !TIO ph6ng chi
khi xuat cae W thay
III
www.Beenvn.com - download sach mien phi
doi gia Ir! tin hiu trong mi..\Ch, hay noi each khac ia khi xuat cae sl,r
kin trang mi.,lch, Dieu nay lam tang hiu suut cua qua tdnh me hlnh hmi vii
!DO phong,
thong m6 hinh hmi iogie va 1110 phong huang .w ,..,u m6 hlnh
eau true eua nwch de: ian truyen d.e SI! kin, SI! thay doi gia tri cae dau
V,\O ehinh eua m',lch duqc xae dinh hang cac vecta tin hiu kich hO<;lt. M9i "If
tren eac dl10ng tin khae clla m'-,Ich dl1qc t1nh tmin theo de ph,in IU
bi kich hoal.
Cac Sl! xuat hin t'-,Ii nhi1ng thoi thai gian m6 pilong xae dinh,
Co che dicu phoi thai gian clla qua trlnh mo phong dicu khitn Sl! xuat hi-;n
clla cae sl! thea mt II! xac dinh, Cae tac (h)ng Illt,leh sc dl1qc
hiC:u dien bang day cae SI! kin xuat hin 1ren d.e dui\t'f lin t<,li cae thai
ditm thai gian xac dinh. etc sl! sc xuat hin Iron,:; tlflillg lai sc phi.li cha
vii duqe lu'll trang danh sach cae sl! Nhfrng sl! trong danh s,ich Sl!
ki1l se duqe ditu ph6i \'ll xu Iy nhling thoi diem m6 pht'mg,
So do clla qua t1'lnh m6 hinh hoa logic va m6 ph6ng huang sl,I' kin duqe
the hin tren hinh 5.16, Thai diem mo phong hin tho-i dui,J'C ehuyc'n tie'p
1ho-i diCin tier thea doi vai nhG'ng sI! kin dang 6 trang thai eh(1 \'a Ih()'j
diem tiep thea nay ehuyen thanh thai die'lll mo phung hin Sau eto,
th6ng m6 phong sc duyt danh sach sI! kin va chQn nhiIng SLf kin dU\lC
dicu phoi de XU(n hin trong Ihai diem t'-,Ii dong thai nh01 i',li Iri
eua nhiJ'ng lin hiu kich hm,lt. H m6 phong sc danh s,ieh cae clu(ing rc
nhanh ella lin hiu kfch hOi;lt de xac dinh cae phSn Ill' ..,C du9'c beh hoat.
Trang m'-,lch thtfe, qU(1 trlnh truy6n Sl! kin t'-,Ii de diem rc llhanh duqc thtfc
hin song song. Qwi lrinh t[nh to,in trell de phal1 Ill' b.i kich ho"lt eo sinh
ra eac sl! kin 111lJ'i nhiIng SLf kin moi nZly se dU0'c ph6i xu:!t hin
t\li nhung thoi diem tiep theo tl1crng l'mg "oi tham s6 1ho-I gian Ire ella de
phep 10all lien quan tai ph.in tlr do. ll thong mo plH11lg se dua nhling SI,T
m6i du'(,J'C sinh ra nay vao danh sach st,t kin va qua Iriuh m() hlllh hoa l6gie
1110 phong se tiep tye cho den khi con e6 tn,lOg thSi kleh !lO'.lt i()gic [rong
111\1ch. hay noi each khac iit cho den khi 111(1 danh sikh S1f kil1 rong.
Qua trlnh tinh toan trong phan tli }'1 c6 the sinh ra cae sl! kin thay (1(;i
thai cLm til'. Khi nhil'ng Slf thay d6i tn,mg thi.\i xu:.t stf
kin chi klch hO<,lt phan tli M va phan tlc nay se lhl!e hin cae tinh toan.
De dan gi;in chung ta gia thiet rang t[ll cit cae sl! duqc xae d!llh eae tac
dQllg kieh 1O<,lch duqe dua vao danh s,-ich sl! kin tru6c khi rn6 hlnh hoil.
112
www.Beenvn.com - download sach mien phi
[{It!ie \'a m6 ph(')l1g.. Tl"en Ihlfc te, h mo phlmg d nh ky dQc c:.'le Ip chua t,ie
d6ng Ueh 110<.,11 il1'.Kh vii kel 11(1'p eac sI,r kin 1'.li d Ll vi\O \'(')"i de slj duoc
sinh ra Imng qLl<i Ir]nh hm,lt d(lIlg ella m'.Kh. Them vi\O do. ngoili ui.e kin
sinh ra :-'L.r t[nh 1O,ln e,ie t!i{1 Iri Ifll 11ill lrung m'.Kh. lrang k5' IIlll hlBh
hoa klgic Ihong 1116 ph('lllg e(lll eo 1[1l( Ihelll dc sl! dieu khien qll{1
trlnh. Oie SLX kln 10'-,li khbi 1,.10 C{IC hm,lt t(>ng kh:.ie nhau clla llli..teh lai
de Ihi)'i diem Ihai giall X,1e djnh. Du6'i d,ly lit ml)1 s6 t,1e dl)llg eLla cic sl.r
kin khien:
lIien th! gi,i Irj ella n[1I1'ng tin hill xae djnh:
Kicm Ira giil. Iri ella dc tin hiu cy V:I c() Ihe citIng qUlt 11"'ll1h Illl)
phung llC'U c(l sai sol lrong qua Irlnh Ifnll lo,in.
Dc mCl I,"t 1"0 qu,i Irinil llll) hlnh ho,\ lClgic d nH) phllllg hu6ng sV
ehulIg la ql Ihe ho,i Ihw)1 lo,in mtl ph('lllt! iurtmg kln nhu '>all.
\fe,'ll k)' hiu I,)p hup de c;)p "gi,i Il"j lin [lIl'll - du6ng truyclI lin hll'U Ihay
dl)i l'i Ih<\i diem Ihi)'i glan hin I'-.Ii" h,'lI1g V(I viI 1[lp [Wp de C(lp "gi,i Irj Ifn
hlU - dLrh'ng IrLlycn lIn hiu Ihay dcii dLrt'l'i I,ie dl.lng ella V(I" h,\ng VI' Khi do
Ihu:II lo;in m(l hlllh ht'la i(lglC [urt'l'llg kin Ihy-c hi('ll Iheo Irluh nlur :-.au:
I. Thie-I [(1[1 hai I,)p hqp Va VI> \'ll gCln a+--O , b +-- J
2. '1'11112'1 1(lp gia Irj ella Ir'.lIlg Ih:ti ban thlu cua nwch.
J. Doc dc gi,i Irj chlu vilo. X01 de c1UOllg lin hiu Iren c16 xut!1
dte kin ( sl! Ihay doi gi:l Irj tin ). celc gia Iri elm
kin x'.y ra [](IY dune ghl \'(10 Wp hqp Va'
-L KJ\,;m Ira I,)p hqp V". nC'll V., I"llllg Iii quay \'e bLr6c J: neu Va kh6ng
dlllg, Illl,rc hil'n ilw)'c 5.
5. Thuc 1ll(J hinh h6a il'lgie d,)i \'6i c,ie gia Ifj trong 1<)p hap V,,:
cile dll'Cing Lilt Irl'll d6 .'\u:II 11ln slf kill gia Ifi ella ehung
du'qe ghi \'(10 V
h
.
6. uem a+--I . b +-- 0 . Yell tl"() clla V" \'(1 VI> Ihay doi. Kieln Ira
X-+1 C
d
b X .... 1
xutll hin dao d(lng lrong mach. !\ell
khong co dao d(lIlg, quay hu6c 4.
NIH!' V(ly, chung ta Ihtly pllLKing ph;ip
mo hinh ho,.1. logic huang st! kin kh{LC
\'6i phl10ng pilap m6 hillh h(xi tx\ng hiell
djch (1 eho: la khong crln t1wc vic
phrm h'.lllg cae ph,[11 Ill'. Them \'(10 <16 wii
co m(11 cui.! \ lmg 1(lp. la eo Ihe Ilwc
113
www.Beenvn.com - download sach mien phi
111011 \'lCC 11111 hll1h h6a vO'i dQ phuc t<.lp tuy y.
Vi la 1110 hlnb hoa qu,i Irlnh ho'.lt d(lng coa trigl1 RS:
\. B \ : Thiel 1;IP V,\ va V II ( A 0 , B 1) ;
2. B2: Do 1l"i.lI1g Ih<.ii ban dau ella mq.ch khong x.k djnh, gi.:i trj trcn
t,fl ci UtC throng tin dU'l,K g<in btl11g 'X';
3. B3: D(ll de giu Ir\ dflll vilo : a = 0, b = I. 6 dIY ta co
V" = I ( a, 0 ), ( h, I ) I;
4. B4: Ta thay hq'p Vo khong rang, la sang buoe 5.
5. B5: Gia Irj Iren duang tIn hiu ra c thay doi IU 'X' sang 'I', tilP
hqp V I = ! ( c, 1 ) !;
6. B6: (,dn VI vao Vo. T(lp hqp VI) giu Ir! Vo = I (c, I) ! va
qUil)' l\li bu6c 4.
7. B-J.: Ta IllSy tZlp IWp Vo khong rang ta toi buoc 5.
8. B5: Gia Irj lin hiu Iren dLfong d chuyc'n Ilr 'X' S.'Ulg '0'.
V,= I (d,O) I
9. D6: (hill V\ V;'\O VO' T;lp hqp VI) gia Ir! Vo = { (d. 0) I \'21
quay bU'O'l' -to
10. B4: Ta IhAy t(lp hqp VII kh(lllg dmg. ta sang bLf6e 5.
85: Do kh6ng ell lin hiu V,\O va kh6ng co tfn hieu Ihay doi khi
(\=0, V1=0.
11. 86: Ta g,in \' 1 V;'1O VII' khi d6 V 0 = 0 va ehuyen sang bu6e 4.
12. B4: Ta tha'y hqp Vo rang nen quay buCtc 3.
13. B3: Dqc cae gia If! Iheo 6 dau vao.
l'\hu v,)y \'oi gil Ir! d'-Iu a = '0', b = '1', ta nh(1I1 duqe de gill Ifj drill
ra e = 'I', d = '0'.
I\bu chung ta dJ. Il1fty nguyen Iy eua phumlg ph,ip m6 blllh hOii
hUtl'ng sl! kin. Trang Iru0ng hl.1P can ph,ii Ifnh 16"i anh Illrong cua Iham s6
tho'i gian clla cae uk phfln Ill', la kh6ng Ihe: chi SLr dyng hai trang th,ii Vi),
VI . Trong truong hqp nay la co tht dVa them vao qUi\. trinh m6 pht'lllg cae
Iran" thai V. tuon" tIn" \'oi cac gia tri thai "ian va S{lp xcp then Irlnh ILf
. b .I . c .
e<lc thai Vi'
114
www.Beenvn.com - download sach mien phi
5.4. Mo hlnh hoa qua trinh tre tin trong cae phan hI Im.tch
Khi chung ta Ihl;IC hin mo hinh hoa logic va 1110 phong ho<..\t clla
ll1i;lch trcn Il1lrC cac phfin tlr It)gic, m9t nhi'm to quyct ltjnh muc 09 chinh xac
cua 1Il0 hinh so voi m'.lCh thvc te lit tham s6 thui gian cua cac phiin tll"
logic. NC"u chung la bieu dicn hOi;lt d(mg ella khong lUong ung v6'i
nhG"ng Gnh hu6ng thlfc te se dien ra trong d.c ph[ill tlf logic. khi d6 SC XU[lt
Sl.t khong chinh x<ic Irong cae mo hinh hO<.11 d()ng eua milch chu )"C'"u
Iheo nhG"ng quail vc Ihi)i gian. Khi tlwc qwi Irlnh mo hlnh h('ia huont!
W Iheo so' d6 lrong mL.lC 5.3 ( 11111h 5.16 ) chung la co the' nh(l11 duO"(:
llhiIng bien Iht eua thu(11 10,.'In. Slf kh,IC nh<'1ll dn 11<'m trong nhG"ng the
06 plW IhU(lC chtl yell vao cae 11l() hlllh tre tin IllU lu"tmg lmg V(ij ho<..\t d(mg
ella nhung ph .. tll IV logic tham gia vno me) hlnh h()a qu,l. trlnh Irc
tin Iii. nili'm t6 co 11<\n trang x,ic dinh tinh chinh xac eLla mo 1I111h
m,.teh va I1lUC 09 phuc t':lP cua tOiln mo hlnh hoa.
1. Mtl hinh hoa qua trinh trt' tin (Ilia dlc plutH lugic
Moi ph[in IU logic deu lac (h)ng len ellc tin vito v2\ 121111 Ire de tin
cia. Trang k5' thU(lt, ta IhU(':ing sU' ul,lng hal mo hinh Ire qua dc phan IU
IllgLC: il1() hlnh Ire Ian truyen va m6 hlnh qu,l.n tlnh. Ngoai hai tre n6i
tr2n. trong 111[,)t so tru'(tng IWp de me) Iii oU'l)"c hOi..11 o(mg eua mi..lch, ngudi ta
con :-'If dl,lllg dc md hinh tre ngtlu nillen.
Trc Ian truycn: 121 Sl.! Ire tin pl:cil sinh kill ta cho lin di qua
ph:in tu m ... \ch.
lju,in tlnh: Ire tin hi.:u g:1l1 lien voi n[mg luqng de; klch hOi..lt
ph{in IU mi.,lch.
3. Trc Ian truyclI
Thai tre Ian truycn 121 th6i gian ma tfn hiu ra eua In,.tell ch(lln pita
..,0 vCii tin hiu llwch, M6 hlnh tre Ian 1116 hlnh co b'LLI, Irong de)
xac dinh khOi.lng thCti gian .0..T each bi1 giG"a de sV kit;n .xU,\1 hin tai (hill ra
voi de SL.f kin xuiit hin t'.li dau vao sinh ra chlmg. Dc dun gi,'tn, tmng c,ic
liS
www.Beenvn.com - download sach mien phi
Ihu;lI luan m(l hlnh boa mo phong, gia Irj thfmg so thi)i gian sl.f (iL,mg
trollg m(l pJl('lJlg la dc s6 nguyen.
Trong m(ll s6 tnrang hqp. khi mo hinh hoa mi..tch ta khong tinh den th(\i
giall II"':: lin hi2u khi di qua dc pbun IV. Luc d6, chung la COL Ihai gian Ire:
cua phan IiI btlllg kh6ng. Nhu trong Iwang hQ'p nay. chung til sir dung
mo hinh \'oi Iho'i gian tre tin bang kh6ng. Phuang ph:lp tn(l hlnh hoa
I(lgic d m6 ph,'mg hAng bien djch sv dl,lng ma hinh Ihu(lC tinh Ire nay. M6
hlnh de phfin ILr v6i Ih0i gian btll1g kh6ng chi daqe Sl'j' dl,lJlg de: m() hlnh
hoa de gia Irj 16gie Irong nhLr!lg nwch 16 hap va m;.lch lu{in Il.f dong be).
Ml) hlnh ct'la 111;,ICh Irong do Ih(1i gian Ire CLJa HIt ca de phfil1 Ill' logic
d h
E
IHllh 5.IS VI' (111,0 (161));.1('11 cho Inrill1;C 110p
liluilll nil[!1 \'i\ pil,ill l,in_
b;l.ng nlHlu gQi lit mo hlnh
"oi thuc)e !lnh IhuAI1
nh:Yt. Do de ph[in ILl' logic
c6 Ihai gJan kh,ic
kh6ng. nhU chung la e6
kh;i nang mo hlnh h6a va
XLI Iy dc m'.lch khang dong
bc) co ph,in I}(::)i. M() hinh
11::IY hi m() hlnh ch(fa Ih{lIlg
s(i dcm gi<.in nhS!. Trollg
tru6"ng hQ'p nilY, la co Ihe
chqn gia III th(mg so Ire dan vj \'o-i I)' I 111()1. Nhu \',)y. chung la C() ma
hlllh Ire gqi Iii lllO hlnh Ire don \'i.
Trong ll"U'lmg hop tong qUill, dc phfln tu- cua mach c6 Ihe nhlrng

L __ _

-, --------OL.-J'--
-, -----L_r---

lilnh 5.19 Hoal cltmg ella mach Iren hlnh
5 I g \ 6i m{l hlnh Ire uhi!!.
116
_b __ __ _
'-- ___ ,-L-__ _


e
--------L.J--- -
9
"S--L
Hinh 5.20 I[oal dong ella mach tren ilillh
5.1 g \'oi m(i lilnh Ire ph:m I,ill_ '--' 2.
lren nhling. pilallilf kh.ic.\ ",. J
www.Beenvn.com - download sach mien phi
d6ng ella nwch. ta Slt' dl,lng don VI thai gian oe 00 gia Ir; thCHlg 'i6 Ih(\i glan
Ire u6c s6 chung 16n nh[lt !'.I' Cll<l de IhOng so thai gian Ire Ire]) dlC
tLI' va ph:1Il ph6i cae giu tr! thong so Ihai gian Iheo ty lU'o'ng Ll'ng \'(J'i AT '
Mo hlnh d(l g91 la rno hlnh hm.11 dng v6i Ihong so Ihai gian tre pilftn t{lI1.
Mo hloh la m6 hlnh pht,) bi61 bieu die:n cae thut?e tfnh tre Ian IruyC;Il.
Vi JI,l, d6i v6i m<',lCh tn:n hinh 5.18. He'u ta thLfc hin mo hinh h6a Ilwch
v6i m(l hlnh qw.i Idnh In>: tin \"6i Ihong so Ihoi giall tre thuiLll nilal, 1<.1
nh(Ul dU'(Jc sd 06 hm.11 d(lng theo thai gian nhu hlllh 5.19, KIll Ih6ng 'i6
thoi gian tre cua GtC ph[in tll" H va E btlllg 2. nhiJ"ng phfin tu con c6
Ih6ng 'itl tho'i gwn bang I, ta e6 so d6 hm.1t d()ng clla m'.lch Ihen thi\i gian
hien tren hinh 5.20,
Khi m6 hlnh hoa hanh vi eLla cac cae ph[in tu logic. chung ta thlrC1"l1g
phtlll tach ehue nang tinh toan logic va cd chc ph6i thai gian ella chung.
Hinh 5.21 r.."16 hln11 rh[lllilich chl'rc nang logIC
\'il Ihuoc tinh In!.
l\'hu v .. 1.y, 111()1 ph,-I.n Ilr
voi thong s6 thai gian
trc hi !'.I sc tLTo'ng ung
vl'ii phfin IV 16gic voi
thong so thoi gian tn":
bling kh6ng va phitn tV trc co Ih(mg so thoi gian tre AI' Trong quil trlnh
1116 hlnh hoa hunh vi eua phi.ln tV 16gie, dilu li0n phtin Ill" bj Klch hO',11 sc dlfqe
tfnh to<l.n theo ehuc nang 16gic, sau do cae thu<)e linll lo!:c se dlrqc tfnh toan
the hin qua qua trlnh dieu phoi Ihoi gian.
Vie chi ra giii tri duy nhat eua thong so Ihoi gian eoa phan IV rat
b
,.0.
111
= I
.I1.
c c
tnnh 5.22 Sv Xllal hien gi,i Iri khong x,ic (lin!! 'u' trong
hoat dllg Clla phall Ilr AND veil mo hlnh qUiltrlnh Ire tin
hicu v6i thai gian tre nrc dai ' nrc liC:u.
phlre ti.IP C<l tren khla
.mh diu true 11l<;lch vii
Irell khla Ci,lI1h san xuJi
Ta co the tlure hin do
trve liep tren cae
thl;lc. nlnrng d6i
vai nhilng l11i;lch dLH;JC
thiet ke Judi (h.mg d.e
tich h9'P VLSI thl
khi\ n[mg do IrLfc tiep la khong Ihe c6 duqe. Trang truong nay de ntlllg
eao linh ehinh xae ella qua trlnh rno hlnh hoa va mo phung. ta chi ra khoiing
bien thien ella thong so thai gian tre. Mo hlnh qua trlnh tre till hiu nay duqe
g9i 1,1 rn6 hinh ycji thai gian u'e: kh6ng xae Uung mo hinh v6i thai
117
www.Beenvn.com - download sach mien phi
gian tre kh6ng xac d\nh, chung la chi r6 gia Irj clfc di.\[ va gia Ifj cl.re
!-,., cua thong so thai gian tre, mo hlnh sc duqc gQi la rno hlnh vai thai gian
In:: q!C - cue litu. Vi dl;!. thong so Ihi:ri gian cua m(ll lOi..li phan lu
NAND Cll tht bien Ihien trong khoang tir 5 ns d6n 10 ns, nhu Vi))' doi vai IOi).i
ph{in ll'I NAND nay, All, = 5 ns va 10 ns. De khao Si\t ma hlnh nay. ta c6
the' XLJl1 xet lux.lt d(lIlg ella phan tu AND c6 hai drill vao ( hlnh 5.22 ). Trong
d nay. ph,in tu AND c6 gia trj thoi gian Ire clfc d<'.li - clfc = 2,
= 1. Ta Ih[1y lin hicu duang c chuycn lir tr,.mg Ih,l.i '0' sang tn.lIlg thai' I'
khi lin Iren dau vao a chuycn IiI '0' sang' 1'. Ta thAy dmg lrong khoang
Ihai gian Ini(K !'..,n tfnh IiI Ih(\i diem xual sl! kill tren dui:mg a. Iren
duong c khong Ct) slf Ihay d6i glil. tr! lin hiu. Cilng wang II!, sau khoang thai
gu.ll1 A\I tinh Ill' Ihlri dicm xufil hi9n slf kin tren dU'ang (I, tren dlrang c thiel
l(\p gia tf! '1'. Nhu trong khOi.lng thai gian lu sau klll xUiil
hin Sl! kiI1 duang u, tin hiu Iren duang c iJ kh6ng xac djnh, la sc
dung gia trj 'U' de bieu Ih! gi,i trj lin hiu. Tom khi la SLr m6 hlnb
Ire kh6ng xae djnh hO(lc mo hlnh Ire elfc d'.li - elfc lieu. Irong lio".lt dt)ng eua
111 ... \Ch sc xuAt hin nhG:ng hi:lI1h vi khong d!nh va bieu Ill! qua nhling giii
tr! 'U'.
mnh 5.23 Anh huang ub
mll hlnh Ire cuc dai ClIC
!en qu.i trinh 1110 plK'lIlg
hOi.lt dong ella m<.tch.
,

b)
b
ttl
,
IlYE:kiJ
9
c)
a)

b !IJj
,
-
dJ
Iw
,
9
n
V oi rno hlnh Ire ClfC - clfe la co the m6 hinh hoa phfin Ian d.c
twang hqp phuc tQ.p xUiil trong dt)ng cua mi.lch tlwc t6. Nhung
Irong m6 hlnh nay neu chung la gia Ihiet khoang bicn thien eua thr.lj gian Ire
Ian. lrong qua trlnh mo hil1h hoa la co t .. lohn C,Ie gia 'U' hO(lC
118
www.Beenvn.com - download sach mien phi
trang 111'-.ICh co the xui'll hin nhung d',\Ilg tIn hiu khong co lrong <.;(1 (t(i IltIfC.
minh h(:m vfin de chlltlg ta xet sa d6 In;:n hlnh 5.23. (rli't illl.:"t rang
cae pldn tlf m'-.Ich co Ih6ng so thai gian Ire = 3 va L\, = 2. '!hco :-;0 d('j
logic ta phiii co gii\. Irj In::n duong tin hiu g luon btltlg '0'.
Neu bat dau qu;.i trlnh mo phimg III duong tin hiu lI. ta nh:1t1 dW)'c
giil. Irj tren duong lin g se htmg 'U' ( thea hlnh 5.2:I.c ). VI gi;\
Irj tin hiGu tren duang g luon bang '0'. do do sL.r xuftt 111\:'11 gi:1 tri 'tJ"
co ngh!,1 1a gi<i tr! c16 e6 the: lil '!' '0'. Sl,l' xufit hin gi'l. lri 'I'
tren duang g co nghl:il l:t trong mi.leh co Iht xiiy ra sy- (ri. Dieu nil),'
qua trinh \TIO hinh hOi\. d\.f doan, nhung trong lhy-c Ie kh6ng hao
gio xiiy ra.
Neu bat (hiu qua trinh m6 phong tiI duemg tin hiu c, 1<1 SC I1h(\I1 dum:
g = 0 ( thea hinh 5.23.b), boi VI dQ tre e\.fe tieu tfen duo'ng lill hiu
c - d - (' co gia tr! hang 4, Irong khi d6 dQ Ire d,.u 1n':n throng
c -fbang 3. Nhu 1ren hai duang tin hiu e vilfkhang: bao gio' c6
cung gia tr! '1' dong tho'i va dieu d(l co nghl<.l ia duong g lut'Hl
gia tr! '0'.
Khi ta m6 hinh hoa mi..lch voi mo hinh tre khong xac djnh wong ling voi
mnh 5.24 Hien IlrciIlg
ll1a fQllg mi.!ll gi,i lri 'U
khi oVng mobll\h Ire
khong xac d!llh.
--''--''<'-f-'
a
Q
a
-l 1--
b ---
Q ,,-,---
__ ------.L J L __
Q ,--- ---
- -- .. -- ----
truong h<;1p xuu nhat, moi khi tin hiu di qua mien gia trj 'U' co
sc bi m6' r(mg. Ta hay xct c1qng eua m':,lch Iriga RS voi hai phil.n tu
NAND e6 cung thong so thai gian tre ( hinh 5.24 ). Ta SLr dl.mg mo hlnh tre
c\.fc di).i - c\.fe voi = 2 va L\, = 1. Oi;i thie'l, thai ban (h\u Q = '0'
. Q = '1' va a = b = '1'. Trang tn10ng htJP khi gia tf! duang a lhay doi
tu '0'. Khi a thay doi, a dau ra Q xuat gia Ir! 'U'. Gia tr! 'U' nay
- -
sc sinh fa gii hi 'U' t<!-i (Mu ra Q. Vic liep qua Irinh tl1'11 loan voi de
gia tri 'U' dan t6i tinh tri).ng sau: bat dau tiI mQt thoi diem nao do. gia trj cua
Q \,J Q tr/1 thanh 'U'. Nhl1 mo hinh khong xac djnh khong <l.p
dyng dlIqc Irong trlIcmg hqp nay.
Trong de so, tinh gia tri thong so thai gian Ire se phy thuQc
119
www.Beenvn.com - download sach mien phi
hai \'(in de:
51! plW tllLl()( ella thai gian tre \',10 llU'6ng truyen till
8(} chfnh x .. ic Clb \ik di.nh thai gian
Trong lll(}t -;0 lhie'[ hi, thai gian tre phI! thuc?e \'ao IIlI{rng tfuycn clla tin
nl. 86i v{ri nhlJ"ng thi0't hi nlllf t!Jcrj gitln oc lin hiu ra Wng tLf '0'
, I' \'il giilll1 tLr '1' '0' kllile nhau kha xa. Vi dl) tmng nhCmg lll,-.tch MOS,
lhiri gian dc thid 1(lp ;.>Lfan xuullg eua tin hiu ( thai gian tn': ella suo-n xu6ng
.0.
1
) 16n hem thai gian 14P sU'an ICn ( Illcyi gian cua sU'an len .0.1{)
htl Dc me} IiI !lhiing tn.mg Ihil.i nuy, ta duu ru giil. (ri. thai gian In!
cua su'&n len (risl:) va thai gitlll tre eua slran xu6ng ([all) .0.j{ !'..F d6i \'(jj
Ilt"Ilg ph,in tir. Trong nhilng lru'ClJJg hqp nlIlf v(ly khi me hinh hOi't hO'-.lt d(mg
cua mi.leh, d(l dill cua xung s0 lang. Them van do, d6i \'oi nhilng giil. tr! lhb'j
gian tre suan ICn va xuong kh,ie nhau, eo Ihe: xU<lt nhiJng trU'i:mg hqp
khong c6 tfen Illl.rc te khi mo hinh 11m! m'-.Iell, TruClllg hap Ctl [ill: th:ty
Iren hlnh 5.25. Trang hinh 5.25, Iru<:mg hqp a), tin hiu ra c6 tho'i gian tre
Till hll'lI V'dO C,
_-----.l __ . __ _

I
___ ._L_I.- __ _
il)j.r,=l. \=3 c) _\, "" 3. :\ = J : lJUiJilg
IIlnh 5,25 Vi liu \'c moll'mll Ire \111m!en XliOJlg.
hup khong lile \:ry fa.
Stf<Jn xu6ng !'..r btlllg btl ebn thai gian tre sLfon len IXlng nH?l, lrang IndllIg
Il<,)p dQ elili cua till hiu ra tilng, Truong hqp b), tIll hiu ra c6 lhh'i gian
[ft sL1C1!1 xuong !'..r- bing IllOt con Illcri gian tre Slr<1n len .11! btlng h,-li, lrong
trUll"ng hqp eft) di"li ella tin hiu fa giiim, Trang tru<)"]1g h(JP c), tfn llilI fa
c6 IhO'i gian Ife sU'()"n xuong !'..r. bilng m(}1 con Ihbi giun Ire sL1cm len !'..I{ bang
ba, tin hiu ra co suO'n xuong nf91 IWoe slfi:m Jell - di6u khong the' x,iy ra
trang Illl;fC Ie, Nhilng lmo-ng Ilqp nhLf ,,(IY ph,ii dLfqc IrJi.li bi) trang qUii trinh
mc} hinh hoa va 1116 phong,
h. qu<ill tinh
Mqi dn !l<lllg ILrqng de ehuytn tr'.lllg [hi.li. 1'\,l{Ulg hf9"llg ciw
lin Iii ham so cua bien ttl) viI d dai lin Neu (/\! d:.i eLla ;ill qU{1
llgfll1, tin khong the' beh hOi.lt de philn ILr chuyen Ir',lug lila I. 8(1 (Eli ttli
liJiC:u cua tfn CUll \,;'10 tlu de kfeh hO,-,ll Jlli.lCh c1n .Jlg [h,'li llLrc,Je g9i
121)
www.Beenvn.com - download sach mien phi
la thoi gian Ire quan tinh dau eua ph[il1 Ill' k)' hiu lit .6.[. 0JhiIng tin
hiu co lit) dai nho hem n[ Sl: dUrjc g9i lit xung nhqn \,'il sc kh()ng dUrje ph,in
tLr eho di qua. Ne'u dl) eua lin hiu ,,':10 16n han hO(le h,lng il[, lin hieu sc
duqe di qua mi..leh \'Iyi de) tre bang thai gian Ian truycl1 ella pkin ILL
clLra qUi.l.n Hnh driu \'ilO eho m() hlnh hoa nhilng: tmong ht,IP d(IC hiel
Hung de sO do Ihl!c khi ph[in tLr nglillg Iilln vie voi nhilng xung r[I'1 ht;p.
f I
j
I
o
Hlnh 5.26 dUd ra
minh h9;\ Iruang IH!p
quan Iinh d[iu ";\0
\'oi xung e6 d() n)ng
Ilinh 5.26 I\llI1h hO.l eho [lien [lfong tre qUilll tinh (tiU ,ilo. b'-Illg ha \.;\ c6
dt) fe)ng bimg lllt)1. Ph,i.n Ill" co d() tre quan tinh lUU \'ilO l\ == 2 d Ian
Iruycn .6.1' = I. Kili dl) r(Hlg eua xung btmg m<)t thl lin hiu khCmg 16i dLfqc
d:tu nI.
Trong nhieu Imang hop. kCI qUi.! eua vic m() hlnh ho,i l]ll,in tinh
thu()c vie Ire quan [[nh xu[!1 IlLn Ci cUu \'ilO hO(le doiu ra eua pldn lu,. Tre
qUiil1 tfnh xu:!t if (.1[\u fa d(le Irung cho \'ie drill ra cua phrin Ill' kh6ng
tlLra ra lill hiu co (1<) cl2li nht) han n
l
. Xung lin hiu {'.Ii (Hill ra c6 the dU'0C
xung tin hiu d[iu "ilo "inh ra, nhung eung co Ihe" loai be) neu co d() fbi
__
o
o
(
Ihnh 5.27 Str kh;iL- bid khl
tre quan rinh xlIiil hien t;.\l
(hIli vao villai (hiu ra.
D
o
, _--,0--,-__
qua nh6. Ta hay xet phfin lir OR ba d:iu voi Ihong so thai gian Ire Ian
.6.[' = 2 V,I 1\ = 2. Cic lin 11lu dau v,lo deu co dQ d,li bang I v;\ t,\c
d,)ng I:m IUCJI n.'ji de) Ire hilllg 1. Trong Iruang hqp Ire quan Iinh I'-.ti
driu ra, ba tin dfiu Ifin luq1. sinh ra C<IC xung gi;i 11'\ 'I' e6 tI() dili
hilllg 1 lien tlep. CiIC xung nay hqp th2111h tin hill gi,i trj 'I' co d() d2u h,\ng 3
121
www.Beenvn.com - download sach mien phi
t'-.Ii dfiu ra. Tin hiu oay ,,1: xuat hio treo duu ra VI co d() dai 16n hon th6ng so
tre quan tinh driu ra ( 3 > 2 :::: Trong truang hQ'p thu' h'-li khi tre qUitO tloh
dlIQ'c d(\t Wi driu vao, ba tfn hiu dau vito co dl) dai nh6 han thCmg so tre
quan tinh do dl) khong the' kfch phun tlr. Dieu nay dan t6"i vic tin
diu ra kh6ng dlIQ'C hloh thanh. NhlI vic 016 htnh hoa qua trlnh tre quail
tlnh gan lien voi cae tfllh ly cua phao tlr Va can tr9ng khi nghien
cuu. Hioh 5.28 cho ta thily nhfrng aoh hlICmg khac nhau CU'-l cac m6 hlnh
tai vic hinh thitnh tin hiu duu ra doi voi phan tu AND hai driu vao.
De: qua trlnh 1110 hlnh hmi dlIQ'C chinh xac 1'-1 cfin ph,'ti nghicn euu t6
hQ'p nhfrng khac nhau clm thai gian tre. Nhung dieu nay ung thoi
<I. i\ = 2
..
, ,
..... .jo..L-..
1I'"h 5.211 S" ",,,11 de \\1(' IItnil '!u'; ll'llh
l,"n nhJI;
h) Ttl' ,u,"mlcn 'i, ,uinl xurlllg, <") T16
lil,"'"g 'k ,t!nil, d) fri' 'lu,in linh
un.!' Ihu 'luuLllfnh
fl. L\I =2
c. L\, =2. 6 =2
gian thlfc hi90 !TIO hinh hoa va 016 phoog. dieu nay khong the chap nh(Hl
dLfqc trong ky Nhu de thlfc 1m) hlnh hoa qua trlnh tre tin
v:t khong lam <'mh 11l16ng t6i thai gian !TI6 ph,'mg t'-l co the '>v dl}ng trinh
ttrsau:
122
Kic'm nghi(:m logic cua mi;ich Slr ffil) hinh chi gom cae tre thuan
nhXl;
Thl!c hin m6 htnh hoa va md phong tfnh toi '>If khac bit ella thai
gian tre SUOll len va Sl1an xuong;
Su dl}ng mo hloh tre khong xac djnh trong qua trinh mo hlnh hoa va
016 ph6ng;
ThljC hin qua trlnh mo hloh hoa su dl}ng dc phl10ng phap thong ke
doi v6i nhfrng t6 hqp kh,k nhau eua qua tr1nh tuO'11g (mg.
www.Beenvn.com - download sach mien phi
2. M6 hlnh h6a qua trinh tre tin qua cae phan hI chue va
thanh ghi
Cae chilc nang logic va dc d(lC linh thoi gian clla d.c ph[in IU chLrc n[mg
phLrc ti.lp han so voi dc phtin lillogic ca bAn. Ta hay xct vi ill,! mo ta hanh vi
s
o 0
,
S R C 0 Q QN
.-----,
._--;c-
0 0 I x x I 0
c."
= 4: ==
r-..
I I 0 x x 0 I
.\ = 3: 6., ,,=4
C ON
I I I
t
0 0 I c.
1
= 8; S.,
= 6
R
0 I I
t
I I 0
L\' .'
6: A\
x 0 0 x x
" "
.-
lllnh 5.29 11111h hoa db Ire vaa/ra doi v6"i [111[111 Itr ch(rc liang trigo' D.
hOi..lt dng coa philn tu triga 0 vic theo Sl10n Ien voi hai throng tin hiu
kh6ng dong b thiet S ( set) va khoi t<;io R ( reset) ( hlnh 5.29 ). Trong
bang tren hlnh 5.29, kg hiu "!1110" chi d cua dap Ung dau l'i.I '0' doi
vai tac dt)ng toi dau '1'; cac kg hiu t::,.' va t::,.1 chi dt) Ire wan len vii sunil
xuong clla phan tu. Ta xct mQt dong clla b,lng. vi dl,! dong IhLr ha. Dong nay
chi ra rang: neu tr<;lng Ihai han duu clm pM.n IU q = 'I' va t'.1i d.c duong tin
S. R khong co tac dt)ng ( S = '1'. R = '1' ), sl! chuycn Ih,li CU:'I
xung dong h6 C tu '0' sang' l' se cho driu ra Q nh(\I1 gi,,\, trj clla duong D
V0j thOi gian suan xu6ng t::,.IClQ = 8; cGng tuang ttl nhu duu ra QN
chuycn tit '0' sang' l' voi dQ tre !1'CIQN = 6. Dong cuoi cung cihl b<ing chi ra
dng. neu cac dau vao R va S nh(m gia tr! cam "00", cii hai duu ra sc cung
gia Irj 'U'.
Cling tuang tt! nhl1 doi voi pilrln til logic. khai nim d<;J tre quan tLnh dau
vilo co tht: ma r<;lng cho phan Ill' triga neu ta oua vao dc thong so chi dQ
rt)ng ct!c lieu cua xung tac dng doi voi cae dau vao C, S. R. Nhfrng duong
tin hiu nay co tac dl.tng kich hO<,lt qua trinh chuycn tr<;lng tll,l.i cua trigo.
Doi voi phan ttr triga 0 noi trong Iruang hqp. ta dn dua ra
nhfrng yeu cau oQ dili cua tin hiu dt: tninh tuqng ch<;lY c1ua gifra Ci.lc
duang tin hiu C va D. Hai Ihong so thai gian khoi t<;to (setup time) va Ihoi
gian ton ti..li (hold time) lil nhfrng thong. so chi khoang thoi gian nhc) nhat
truoc va sau thai ehuytn Ir,!-ng thai Iren duong C trong do gia trj tren
123
www.Beenvn.com - download sach mien phi
dt['i.1'ilg: Illl hicu D ph:ii (;n djnh ( khong Ihay (!(ji ) de trigo ho . 11 dl)ng ehlBh
Xiic. etC qu,i ldnh mo hlnh ho,i logIc va m6 philllg ph,'li pll,lll anh ctuqc de
yell du nllY.
D6i \"t'5i nhQ"ng philn tV ph(['c tap han nlm dlc thanh glli, qU;1 tdnll Ire lin
dul.'J"C !llO tii it chi tiel hl1n. Trang nhicu truang IWp, c_lc 1m) hlnh trcn
111(['C Ihanh ghi Ch;lllg cac chu trlnh thai gian nell trong do co xi.ie
djnh dc Ihong s6 Ire, de thong so nilY coi El d(> tre Ian Iruyen.
M(. hlnh hoa trt'll mlfc de phan (if I()gic
Cae phuung phap ditu khien qua Irlnh the trinh H.I tlwe hill mo
hlnh hml va nhlrng phuong phap xV Iy gan lien vCii 'lU{1 lrinh m6 phong
nweh. Trong phuallg phap bien djch, trlnh IV Ihy'e mo hinh hoa duqc
x{le djnh b{lIlg vie ph,ln IWng cac phfin tv. Nhu v,Jy, vic ngtlt cae vang rhiin
h,)L trong nhi1"ng n:i.,lCh Weill tt! Vi:I phtm iWng philn tv ehinh EI pillro'ng rh'-Ip
dicu khien qu{\ Irlnh m(l hinh hm'!. D6 chinh la nguyen nhtm dtin t6i
kh(lllg the Slf dyng cae m6 hlnh Ire IrLI" 1110 hlnh \'6"i ct,) Ire h,'mg kh6ng. Tmng
nwe C!lUllg la nghi2n cuu cae phuong phar c1ieu khien tmng qUii trinh
1110 hlnh hoa huong s\f kin nlur mot phuung tin mo hiBb bOlt IH'-.leh vCri d(l
kh,ic khong.
Khi slr phuong ph(jp mo hlnh hoa huollg sv ne'u gi,'\ Irj 1111 hiu
,--; \"(.,') ti..ti Ihai di6m tllai
I);,,, d.u," f---;
,"c'"
Hitlh 5.30 hoa oallh w kicn.
gian To khae gi:1 tri L'(j)
h.ti thai di6m Inr6e,
di0u do co nghlil
xutlt Sl.r kin, ta se
eoi dtng tin hieu Ihay
dOl uia tri l!lo-i
e .
di6m To + . trong do
la do tre Ian truv':n
,.
ella philn IV dang xcI.
De m6 t,i W xu<"it hin su kien dc dLHlng tin hiu tmng m:.leh thea thai
124
www.Beenvn.com - download sach mien phi
gian ta eh(l'" cae kin dlo danh siich luye'n t[nil e6 th,tng hidu chcn Ir0n
hlnh 5,30 va gl.li 1;1 danil "'{Ieh TucJ'ng (rng v6i mbi tllt)t tilbi Ihhi
gian , la sO::: e6 m{lt danh siieh de S\f kin XU[lt hil1 lilfJi diC:1l1 cl6.
Khl e6 Illl)1 sl! m6i xu[\1 hil\. ';I,r kln ni\y duqe (lLl'a \';10 danh ';,lcli
kin g;\11 lien v6i m(l( thh'i thi:Ji gian xiie djnil. '1'<1 Ilfll Y Ill{)\ diCL! nlm
sau. ,W XLiAI hl1l clli\ nH)t theri diem Ihefi gian dU'(l"e (bnll diYu tfong qu,t Irlnh
m() h)l1h ho(j kh6ng phl,l thu(>e ehuoi lh(Ji gian. Do d6, de x<-le djnh \'j Iri
eua de tl}(')'j diem '.1;1 dll'Q'c \ [nh tm'Cie trong danh ';iieh Slf kin, cilllng ta cill
ph,li c6 cllUt'li th0i ginn tuong: (mg vtii danh siieh dU\1e xlr IY. Dieu !lay c6 Ihe'
ctlfl/e lime hin de <-inh X'.I thl)'i gW!l.
Khi LL ';tf dllng phcp ,Inh X'-.I thi)i gian. day de thl1i (hem theri gian dU\iC
xiie djnh \'('JI khoilllg tho'i gian deh dell !'... m()i kill trong h Ih6ng ... 0
dU"t/e Xilc djnh dua \'ZIO de tho'i thoi gian Kho,'mg tillii gian i\ du<)'c
X{IC dPlll b;\ng Lf6e ,.,6 chung Ion nhit ella ella thb'i gian IJ"uyen till IUC'1l d de)
Ire ella de ph<.lll 11'1 Il"Ong m<.leli. Do gi{)'i Il<.ln \'C h(> ,il16 dLlIlg de Iinye qUii
2
3
Innh 5.JI Von!; de Ihb'i diem tiliJi gi'1I1 m() phong.
Irlnh 1ll() hlnh ho,i In'.leh. 1<1 xae dinh gia tfj gi6i h'.l!l ella tlll')'i (110111 Ihl\i gian
elie biing \1. NllLI \'i)y, eae Iheri JiC:m Ih(ii giJ.n Iho'j ditlll cue d'.li "'C
quay L,lI b[1[ (till ILf IhCli diem ban (Hill V:1 1;,tO t!Jttnh \,l>ng qLlay Ihl')'i gtan
(h'lnh xc theri gian).
ThC)]lg I[url)']]g. kho;[;lg tlllij gian L\ tLIong Ihfeh \'o'i d6 trc eua cue ph:lll Ill'
lrong mach. Trong nitLfIlg tru0ng h</p kili Irong 1ll'.lCh e6 nhung ph:ln tLl' \'('ii
ell? I{m, kl1m'tng titeti giaJl D. co the Vm}1 qU[1 thai gian gi6i h;,tIl M, dicu
(Em 16i vice theri dtC:m m6 ph(mg VU\11 ra n'lllg I [1(\i gj,lIl \'il grl)' nCll
lU'qng tr:tn. Dc gi:ti quyc't v,-YLl dc nil)'. ta X:IY (h;JJlg danh bC) In,r de
[lrLl trLt de tillfi diem trim viI gqi danh '-;<-leh tran. Trong tru()'ng hqp Slf
dung dauh sikh tdUl. moi khi banh xc titt\i gian tlure hin ctw!e m(lt \'ong
quay. la dn thlre hiGll Ihao t,ie Ir,-i de thai diell11rong danh s{l(h Irilll ve ellll
Irlnh xLi I)' theo \'bng thai gian.
125
www.Beenvn.com - download sach mien phi
Khi till!e qua 1rinh 1116 hlnh hoa thea vong thai gian, cae oU'O'e eua
qUii 1rinh 1116 phong e() the c1uqe bieu (lien duai d'.lOg sau:
HI: cae gia trj ban duu ella tin li.li thai diem I +- II! va
d(tt cae gi.:i Ir! do Ihanh gia Ir! hin Ihai.
B2: D(,)C cae giiJ tfi dilu vao. Neu gia Ir! nay khae cae gia tr!
Ihai, ta ctva chung vao hqp LT lrang do 1" = t + t-.
T
.
B3: Nell LT = 0, ta ehuyen lai bUlk 135, trang truang hqp h.li,
la ehuyen lai buue B4.
ll4: Ntu gia Irj lrang LT Irung vui gia tr! thlfi, la giiJ nguyen gia
Irj hin Ihai; neu cae gia tl"j nay khae nhau, t;.\ Eun e(lp nh(11 gia trj
Ih6i va c1U<l vaa L" 1" = t + t.
r
.
Giin I+- f+ I va quay ve bu6c 02.
Ta hay xel 1119t vi dy minh hQa thu(tt tmin 1116 hinh hoa hU6ng Sl! d6i
vO'i lni..\Ch bieu dicn tren hinh 5.32. Cae gia trj '1' va '0' tr6n m0t dU'ling lin
bat ky, vi dl,l 1a tren duang (I, se duqc ky HI (a: 1 ) (a: 0 ). Giil
thief ning cae lin hiu driu vao thay doi theo giJ.n do thoi gian tren hlllh 5.32.
phcill tlf OR co dQ Ire Ian truyen t.T:: 2. Trang truang hqp nay qUii Irlnh m(J
hlnh hoa huang sl! sc dLrqe tlwe hin Ihea tdnh II! du6i dCly.
a
b--O

c = a OR b 2 3 5 G
IJlnh 5.32 l"Ifinh hoa lhuil.l toanlllu hinh hm! hwJn.Q SH kll:ll.
I. B I: T;',li thdi ban (tiu t = til ( til = 1 ); Cic gia II"! Mu: (a;O),
(b:O), (cO):
2.
3. B3: Kiem Ira Lp LI = 0, tai bU(rc BS:
4. Wi: t +- I + 1 ( t = 2 ); Quay i<.li bLroe B2;
S. 02: D9c gia Irj dilu vao ( h; 1 ): LT+,\I = L, = 1 (e; I ) J:
126
6. H3: Kiem tm L
2
, Lc = 0 , ehuyc'n t6i bu6e 85;
7. B5: t +- I + 1 (I = 3 ): Quay I'.li btJac H2:
8. 132: D(,)C gii\ tfj driu vao ( a; 0 );
9. 133: Kiem Ira L" L\ = ! (e; 1 ) }, L,.;to 0; Tiwe hin buoe B4;
10. B4: Giil trj thoi duqc thiet (e; 1 ):
www.Beenvn.com - download sach mien phi
11. HS: t 0(- I + I (t = 4); Quay h.li huoc 32;
12. Il2: Gii Iri d"" vao ( h; 0 ): L" = I ( c; 0 ) J:
13. B3: Kitlll Ira L.j' L.\ = I (c I ) \, L.j"* 0: Tln.rc hicn huo-c J3.4:
14. B4: Do L.jlrung vo-i gia Irj hln thoi (c; I ), Ihlfc hlf6c B5;
15.35: I 0(- I + 1 ( 1=5); Quay bulic H2;
16,82: C.ic lin hiu \'ao kh6ng Ihay deli gia tr!; Thvc hin 33:
17,133: Kiem Ira L.\, L,:::: 0, chuyt::n tlii blfOC 35:
18. H5: I <- t + I ( t = 6 );
19, 32: Cac tin hiu vao kh()ng thay doi gia Ir!; Thlfc hin 33;
20.133: Kicm tra Lt., L(, = I (c; 0 ) I, L(, *0 . ThLfc hin bu6c B4:
2 L 84: GiLi tr! thai dugc thiet bang ( c: 0 );
Cuoi cung, ta dU<;1c gi:1ll el6 thai gian nhu tren hlnh 5.32.
Trong trlfong h<;1p khi qua trlnh phftn lich va 1116 hinh hoa m; .. lch c6 slr
dl,lng de mo hlnh tre kh6ng xJ.e djnh, de tlu,te hin de tinh toan eho vie
Xfly dVng danh "aeh SLf kin, la dn phui h"inh dU<;1c de xu,11
hin lrong khO<.ing thoi gian giCra C<_le gi,i Ir! d.11l va .'\\1' r-.;lllr Irong vi du doi
voi mi..\Ch Ircn hinh 5.32, ne'll phan tli OR CO' do In? khdng de djnh \'6i gia Irj
clfe tieu d.",= 2 va gia Ir! qre d".li khi do huae thu hai lrang tfllh
toan neu Iren se dU<;1c ghi nhlf sau:
L, = I ( c; U ) }, L, = I (c: I ) J:
Sau et6 qua trinh m6 hlnh hoa va m6 phong huong "If sc duqc tlll.re hin
nhu hlnh thu()"ng chi din tinh den gia tI"! 'U'. 1l1cm vao do, do trang qua
tdnh 111l.) hlnh hll;} ta ph,ii licn II,IC t'-.IO them nhCrng danh sach sl,l' mdi,
Iho'i gian Ilwc hin m6 hlnh hoa sc kn khi phiii xu 15' cae danh ""tch sl,l'
kin.
"[\;'(:'u trong qua trlnh phtm tich m6 hlnh hoa 111'-.lch crin ph"ll Slf dl,lng md
hinh v6i Ire quan linh, la dn phili bien d6i tmin va thel11 vao d6 nhiJng
Ihao I,i.e t[nh loCU1 tLf<mg ling. Hem nil'a, do dn thiet ph,,'li Slf clL.ll1g 1'.Ii
nhDng SIf kin dJ dUi)'c xLr Iy, ta can phili tang chi phi \'6 Ill;)t b(l nha vii Ihi.\i
gian Iinh tmin,
Dc nang cao d(l chlnh xac ella qUii Irlnh ph[m tleh thea Ihoi gian
m() hlnh hoa hU'6ng Slf cluing la phili Ihu nha d(l\6n ella IUt!ng Ill' thbi
gian n. Dicu n(IY SC dim d6n I[mg dung IUt!ng h6 nh6 dt:: lUll IrQ' de SlY
kin Irong truong hQ'p ta gill c(m li-en ve thoi gian Ill<> phong M kh()ng doi.
Dc gi;ii quyet van de nay ta ph;H dua fa nhl1ng hien the" thich h<;1p ella phuong
philp ,-Inh X".I thoi gian vii phuong phap danh ",i.eh Slf kin.
127
www.Beenvn.com - download sach mien phi
Trl'll 111l.Ie k. m(H lrong nhli'ng phuong phiip 1[lIlg loc dCl eho qU{1 Idnh
1m) hlnh ho,1 \',\ m(J ph6ng Idgic 1,\ phuong ph.lp m6 hinh hOil. hm'mg sv kien.
Ifnh loan doi \'6i dc ph:in IV i<)gic chi du(.1'c Ihl!e hin khi lin
hiu khac nhU"ng gl,l. trj d5 duqc tinb. U"U diem cLla phuong ph{lp mtl hlnh
ho,1 Inf6ng sl! kien E\ qu,t trlnh tinh toan chi Ihl!c kill c6 UIC sl,l' kin xU:lt
lrong mach, trong khi do khi d.c gia tr.i dau Ihay doi. trung hlnh chi
etl 2.5'l de tin hieu Irong mach Ihay dOL gia Ir!. (kl tflll); !TI()t deh
d,lllg kc 16e el\) qua Irlnh 1116 hlnh hOii noi chung.
Khi hin m6 hlnh hoa trCIl11lll'C d.c ph:ln ttl' logic. la c6 lile xac dinh
m(ll ckh sl! lilay doi gi,i trj tren c,ic tlubng lin hiu fa ella de rh;\n
lif dl,fa CiIC gl{l trj d::J.u ella ph,\n Ilt d6. Vi Llt,l. nc"u Iren m(ll Irong
nhung (h\u d.O ella phiin Ilf AI"D gia Irj tin hiu kh()l1g deli b{lIlg '0', khi
tltl gi{\ trj lin hiu Ircn cMu ra kh6ng Ihay doi khCll1g thuQc <10 'il.r Ihay
dOL elm gia II"! lin hiu Ireil d.e (Hiu khile clla ph,-In ILL D6i \"(')"i ph:in IiI"
OR. gia II"! kh()llg d6i htmg 'I' Iren m(ll lrong nhiJng d.-iu do d:illl h,ll)
kh(ll1g c6 Ihay c1()J gi,i Ii"! tin hiu tren d:ill ra. Nell chl! Y' I{ii nhL"i'ng linh
ch,"\1 clb pbrin tlf 16gie. ta c6 the don gi,in boa \'icc tinb IO,-ln trong qll'l Irlllh
m6 hlnh boa mtli klli c6 dlC xuat hin trong lllach.
I\'hu \,(Iy. lrong chuang nay chung 1<1 el,! nghicn (Liu nhfing \';"(n (1(' lien
quan I{'il qll,i Irlnh 1110 hloh hoa \,:1 1116 phong lll'-.Ich. 0JhCfng de: quan
In.m,!! HI lilt hin dU'<)"c h<\11 u(lIlg eua n1i.\ch theo t[H':ii giall \';1 \'i l:lln Ire
lin hiu ella cae phfin ILr logic Irung 1ll',leh.
12X
www.Beenvn.com - download sach mien phi
Rai cho chuang 5
1. Hay Slr dl,l!1g phuong phap mo phong btmg bien dieh ::; gia Ir! mo la hoat
d9ng ellil 1TIi.ICh Ir6n hlnh 85.1. Mi.tch d0ng "oi In.mg thai ban (1<.iu Q
= u d6i voi vccW gia Irj dfiu vao: "01" Va "! I".
A
B

c
D
Q
,--jC
IIInh MS.I
C K
2. 1 lay slr dl,11lg phlwng phap mo h1nh ho<.1. btmg bien dich mo lil hm.lt dllg
m<.tch tren hlnh 5.15a d6i voi cae vccta duu vao "00" va "11". Gi,-l thiet
trang m6 hlnh, hai duang Q va NQ deu c1u(jc coi Iii. d.c duang tin
phan hoi voi c19 tre bang nhau.
3. Hay chuang trlnh ngon nget Irlnh truyen thong ( Pascal, C,
C++, ... ) m6 ti.\. diu true va ehuc nang eua phan 111 triga RS master-slavc
theo phuong phap hu6ng sl!
4. Hay chuang trlnh tren ngon nget l(lp trlnh truyen thong ( Pascal, C,
C++, ... ) mota cAu tnk va chue nang eua thanh ghi 4 bit theo
phuang phap huong .w kin.
5. Hay l(tp chuo'ng trlnh tren I1gon nget I(lp trlnh truyen thong ( Paseal, C,
C++, ... ) me d. cl'u true va ehue nang cua b emg 4 bit thea phuong
phi.lp huong sl! kit;n,
129
www.Beenvn.com - download sach mien phi
CHUONG VI. NGON NGO" MO HINH HOA VHDl
6.1. M& dall "gon ngfr VHDL
1. Nhung khai chung YC "gon ngil VHDL
Cae phuong phap thiet kC:' dVa tfen co sb ella cae ng(l]} ngu HDL ng;ly
dog Irl1 nen ph6 bien. Cae ngon ngll' 1m) t,i phan cung HOL dugc cae nba
thiet ke slr dl;lng chit y6u m6 ta cau true hanh vi ella cae
thong so eho qua trlnh m6 ph6ng thiet ke",
Phuong phap tiliet kc Iren cO sa cUe ngon nget HDL so \'oi cae phuo'ng
phar thiet ke truyen thong tren co Sl1 C{Hl cae cang logic c6 cae Ull diem Sau:
Cic phuong phap nay eho phcp lung nang sual thiet kc, no eho phep
nha thi6t ke ton it thai gian han ,,-a eho phcp nhung nguCii kh6ng can
kien thuc sau ve ph:ln cung c6 the thiel kc phan cung.
Phuong phar thiet kc dlfa tren cae ngon ng['r HDL kha co dQng w1'i
nhung c6ng khac nhau. Cae mo tii tren de ngon ngl1 HDL eung
dip cae tai dge v6i phan cUTig cua ITI<;lch din. Su dy.ng d.c
chuang trlnh feh h6 tr0 thiet kc' ta e6 the ehuycn doi cac bieu
dicn tren d.e ngolr ngu HDL thanh nhicu mue UTig dl,lllg el10 nilCmg
cong I1gh khae nhau.
Ngon ngu VHDL ( VHSIC Hardware Description Language) la ngon
ngiJ duqc slr dy.ng pho bien trong cong ngh che cae m;:teh VLSI. VHDL
duqc eong la ngon ngii tieu ehuan trong mo d. phdn cling ella IEEE ya
clla H9 Quac phong M)'.
Vc ell phap, ngon ngii VHDL HI. m9t ngon ngii duqc drnh kicu
ehe va co mt hqp IOn cae c,lu 1nh. Ngon ngil: VHDL ho Irq cac phuong
phap mo tA nhiel! lap trong do cac thanh pha.n eau Irue m'.l.llg Iuai cac
ph:in tu- co Iht di doi vai cac rno ta hanh vi d.c toano
VHDL cung cap kha nang mo tii. cua m<;lch so tren nhling mtl'e d truu
tuqng khac nhau: muc toan; muc cac lhanh ghi, truybn muc
cae eong logic. Nhit thiet ke' c6 the su: (iI,ll1g chien lU<;ic thiet kc tu tren xuang,
dau tien mo d. thict ke tren mUc kicn truc, sau d6 chi tiet hO<1 tlmg bl10e thiet
ke'. Vi dl,l ta co the mo Iii so sanh 1119t hit bang ngon ngii VHDL thea
131
www.Beenvn.com - download sach mien phi
nhfrng muc dQ chi tiet khac nhau. M';lch nay bao gam hai dau vao vii mQt
dau fa vai cac tin cac dilu nay la cae tin so. Nhu se .
tUCing lIng v6i mQt tlll!C the co hai cHiu vao va mOt dau ra. Kien tnk cua
mi.lch t,i quan h gifra cHiu vao va cHiu fa cua m,!-ch va co the mo til thea
hanh vi , dong du liu qua theo diu truc eua mi.lCh.
132
M6 ta tren mue th1!C the
Tren m(rc thl!C the, ta mo tii ve soluqng d.e ding vao ra cua nwch va
cae d'.\Ilg tin hiu t<.Li cae c6ng do. Trong vi dJ,L \'e Im.teh so sanh mOt
bit, mi..tch c1u<;1e rna tii bang rnQt ti1l!e the baa g6m hai c6ng vao va
mOt cang ra. Cae du t,!-i cae eang nay la cae bit.
enlily COMPARE is
porI ( A, B: in BIT: C: oul BIT );
end COMPARE;
Mu ta bang hanh vi
mo til bang hanh vi. trong ngan ngiJ' VIIDL nguiJi la dimg
cau truc process. Khi mo t<l. hill1g hanh vi. ta kh6ng can thie', phi.ti
cung cap chi tiel \'c vic 'ill!c hin thiet ke. Trang vi ely. nay ta th,i)'
so sanh c1uQ'c mo ta hang m(lt qua trlnh proccs,>. Qua trlnh nay
chiu ti.lc dng clm hai tin la A va n.
archilechlurc BEHA VIOR of COMPARE is
begin
process ( A, B )
begin
if( A = B) Ihen C <= 'I':
else C <= '0';
end if
end process;
end BEHA VIOR;
Mb ta .bang dong dft
Thea bieu dicn bAng dong du h thong dll<;1C bitu dien nhu cac
lu6ng ehuyen d9ng eua cae tin hiu dieu khien va cae du liu. Thea
www.Beenvn.com - download sach mien phi
phuong phap nay, ho';\t dQng eua ITIi.Wh duge dien nhu cae l!ii.lCh
logic t6 hqp, nhu rn,!-ch c(;lng, so sunh, giai mao D6i voi vi
oy ve l11i;lch so sanh, ta thay tin hiu C duryc gan gia tf! cua bicu thuc
logic eua A va B sau lTIQl thai gian 10 ns sau khi co sy lhay doi gi,i
tri tin hiu In:n de eong A, B.
architechture DATAFLOW of COMPARE is
begin
C <= not (A xor B) after 10
end DATAFLOW;
Mil hi rn<:tch bang diu truc
D6i voi each me) la qua cau true, ta phai rna til cae lhanh phan
cau true va rnoi lien kel giG:a cac thanh phan do. Trang vi dy rn".lch
so -",tnh cAu truc eua m<;tch g6m lTIQt phan til XOR e6 hai diiu "ao 10,
11, kel noi veri mQt philn til NOT. Dau ra eua phan IU XOR noi voi
d{lu vao eua ph,in til NOT. Cau true ket noi tren duqc me) 1,\ bang
dO<;l11 chucmg lrlnh VHDL
architcchture STRUcrURE of COMPARE is
component XOR_ Gate
port ( 10, II ; in BIT; 0 ; out BIT );
end component;
component NOT_Gate
port ( 10 ; in BIT; 0; out BIT );
end component;
signal NET _I ; BIT;
begin
UO; XOR_Gate port map ( 10 => A, I I => IJ, 0 => NET _I );
UI; NOT_Gate port map (IO => NETJ 0 => C);
end STRUCTURE;
133
www.Beenvn.com - download sach mien phi
2. Qua trioh m6 phung
Nhu dJ (16 toi trong
chuang 4. dc n.goo ngiJ'
HDL luon di kem v6i
b(l !TIO phong. Ciic thiet ke
Ircn llgon ngu YHDL se
thlfc tren b9 rno phong
YHDL kiem Ira himh vi
eua h thong duqc mo hlnh
hmL DC: tiii t';lo hO';lt dng
eua mo hinh. nha thict kc
dn cung dip hgp dc
tiic dng vao mo hlnh.
Chuang tdnh mo phong se
de d9ng do toi
vao clla rno hlnh li.ti nhiJ'ng
thai diem Ihai gian djnh
va dlfa vao mo hlnh dua ra
signal a
signal b
a
b
c
C <= not
(a xor b)
after 10 ns
signal c
Hlnh 6.1 M6 hlnh b6 so mot bit v:J.
cae tin lllu qua mll ph()J1g.
dc diip ung Clla Cac ket qua do duqe nila thiet kE' su dl;mg kie'm tra
mlre d(l thieh hqp ella thiet ke.
Ta co the thlfC hin ma phong tren bat ky giai dOi.1I1 nao clla qua trlnh
thiet ke. T<;li cac mue bao quat nhat eua thiet ke, mo ph6ng eung cap cho ta
thong tin hO<;lt dng clla miJch dang thiet k. Thong thuang mo phong a
I1llk nay thlfe hin rat nhanh va khong eung eap eho ta nhung thong tin chi
tiet dng clla va ch d djnh thai gian. Khi qua trlnh thiet kc di
xu6ng eae mue thap han,'mo ph6ng se ehiem thai gian dai hon. M6 phung a
cae mue thap ehiem nhieu thai gian hon nh11I1g eung dip cho ta thong
tin chi tiel dng clla miJch, ch d thai gian dong ho. Ngon ngu
VIIDL eho ph6p su dl;lIlg CO' che m6 phong hon hqp, trong do Int so thanh
phan co duqc rno ta a muc cao con mt s6 thanh phun khae co the dU'qe
1116 ta chi tict. U u diem ella phuong phap rno phong hon hqp la cho phcp nha
thie' ke trung vao xay dlfl1g nhung phan tn<;lch quan trc,lllg. De giatn gia
thanh th1fC hin mo phong a cac muc thap, dc b rna phong can duqe dung
de phat hin cac 16i thiet ke cang sam cang t6t. Trang qua tdnh rna phong
134
www.Beenvn.com - download sach mien phi
chuang trlnh VHDL. cac nha thiet kc can cung cap hqp cac gi,i tl\ thu:
nghim t<;ti nhfrng thai diem rna phong xac dinh.
3. Tong hqp
T6ng iWp m<:tcJlla qua trlnh xay dtfng cae ma tii. thiet ke tlr Ill,)t muc trlI'u
tvqng nao do sang O1(1t rnue tI'lru wqng thap han. Qua trinh nZiy c6 the' lao SlJ
bien d6i tlr hanh vi nay sang hanh vi khac til hanh vi sang cau true. Qua
trlnh bien d6i nay wang ttf nhu qua trlnh bien dieh chuang tdnh phan
viet tren nie ngon ngu eao sang cac ma assembly. Cae dau vao CUll eae
eang Cl,l h6 trq thict k thL1i1ug 13 cac mo t3 tren cae ngon ngu HDL, d.c ca
ehe thai gian, d.c rnl,lc tieu toi uu, cae thu ky Dau ra
ella thong h6 trq thict ke iii. cae danh saeh m;:.mg iWli da toi uu, eae
nang ella m<;leh, tfeh eua thiet k6 duqe xay dlfJlg. Sau dfty chung ta mo
tii. ngan g9n ve qua trlnh t6ng hqp hanh vi, tong hqp 6 mile thanh ghi
d<;lt va t6ng hqp logie.
- Tong hqp vi ia qua trinh bien doi cae m6 til. bang nhfrng
ngan ngfr thu tl}-e sang cae rno t1 6 mile thanh ghi c1<;lt.
Thiet k 6 mile thanh ghi d,!.t thuang bao gam cae duang
dfr cae mf,leh nha va cae b9 khicn. Qua trlnh
tong hqp hil.llh vi thuang 'duqe gQi Ia qua trinh tong hqp (j mile
eao hay eon gQi Iii. tong hqp kien true. Buoe nay thuang baa gom
tong hqp eae duang du t6ng hqp cae m,!-eh nh6 va tong hqp
eae
Tong hqp a mile thanh ghi d,!-t Ia qua trlnh li,lO ra eau tnk
eha cae m<;teh tuan tlJ tu hQP cae ham thanh
ghi. Cae th;:h wang lIng v6i ehe'd(l dong ho cung duqe xac
a mue nay. Gie thao tae a mue thanh ghi truyen d<;tt co the
m6 tii. h<lng cae 6tomat hfru hap cae phuong trinh
truyen d<:J.t a mue thanh ghi. Cae thanh phau ella qua trlnh bao
gam: t6i uu hoa tr'.lng thai, rna hoa tr<,tng thai, toi hoa logic,
anh x<;t eang
T6ng hQP logic la qua trlnh ehuycn cae bieu dicn il1'.lch bltng cae
bieu thde lOgic sang cae m<!-ch logic. Qua trlnh t6i uu hoa mi,leh
lOgic thiet ke' duqc chia Hun hai giai cae qua trlnh tai uu
flTli,leh kh6ng phl! thUt?c van eong ngh; va cae anh x<;t cae sa do
135
www.Beenvn.com - download sach mien phi
va cae phD.n tLr vaa cae lien ket ella cae phall tu rna la trang h
tim
Nhu vav chuno ta d5 lhav ohlinu kh,ij nicm chuna ve n06n ngu VHDL va
., '" I:> I:> c-
cae giai trang qwi tdnh thiet k Slr dl;lIlg cae ngon ngu m6 1,1 phan
cung.
6.2. Cae cau true ca S(1 trong VHDL
Moi m1 thong nwch so duqc thief k nhu Il1(Jt h phan cap cae
m6dun. M6i modun luang ling voi mt tlwe the' ella thie't kc trcn ngon ngu
YHDL. llwc the' thiet kc mQt doi tuqng ella thiet ke phiin cung. Doi
lUqng nay c6 cae dau vao va dau ra dU'qc xac djnh r6 riing, dong thai thvc
th6 thie't kc cOng plul.i chi fa duqc chue nang eua doi tLfQTlg thOng qua cae
phep toan duqc d!oh nghla truDe. M6i thl!c tht thiet k g6m c6 hai phiio:
phan khai Mo tlWe tht va kien true tlwe the'.
Phall khai bao thl!c th6 1116 ta d<:lllg ben ngoai ella lhL!c tht, cac giao
dien clla thL!C voi cac thL!c khac. Giao din nay ctuqe the hi1l
qua d.c c(jng vao va cdng ra clla thllc
Kien true tiwc the' ma ta nhung thanh phan ben trong cua th1:l'c
Ngoai ra chung ta con c6 dung cac g6i feh de h6 trq thiet ke.
Cae g6i tin feh xac djnh dc thong tin chung CUi] m(H so thl;fC the.
Ctic tlwc the con c6 dc cau hll1h. cau hinb Iii. d.c d"U1g ttrcmg duang
cua thiet kc. VI dl,l nhu mIa Idng eo the coi lit IllQt thy'C the thiet
ke. llwe the nay co the duqe xfly dL!llg trell cac phan til NAND
tren de phan til NOR. Khi d6 ta noi thL!c the: nay co hai cflu hlnh.
Cac cau hll1h eha phcp ta khao sat cac bien the khae nhau ella thict
kc va lL!a ehQI1 nhung bQ thiet bi t6i lID.
Cae thu iii. hqp nhiing thL!e dUQ'c rna ta SaIl. Cae thlle the
nay duqc bien djch va llID tril thuang trl.fc. cac thL!c the' trong thu
se duqe str dl,lt1g tuy thea muc dl) quan tn:mg trong thiet ke.
Cac cau truc co sa cua ngon ngO' VHDL bao g6m:
136
Cae tlwc the' (entity)
Oic kien true (architecture)
Cac goi (package)
www.Beenvn.com - download sach mien phi
Cac nlu hlnh (configuration)
Cac thu (library)
1. !\to fa cae thl!c
Cie khai b,io thl!e the eho ta cai nhin d6i vai phiin ttr tnQ.ch din dUQ'c 1116 ta
tir m(lt ben ngoai. Bing de khai b,-lo tlwe the, phan tu lTIi;leh sc dUQ'e ma t,l
bang so lUQ'ng \'a eh(re nang ella de eang giao tiep va de tll1h ehat eua clU
t,-.ti c;1e ecing nay theo phuong din tu Cie khai baa thl/e
khan" eho ChllflO la thtl)' eaeh thue x,!.\' dun
o
phan tu mach.
o 0 . L>.
Ng6n ngCi' VHDL duC)'e m6 ta thea nhO:ng quy tAe eu ph<.ip. Doi vai cae
m6 ta t1wc the, eu phap c6 d<.mg nhu sau.
entit)'
I begin
[ I _ klulI'_!Jclo _generic ]
[ I
1 ('(Ie thdllliy!/(In kIwi buo tlll/c tlii I
- - - - -
C(lc_t hanh y/uJII_billl_Illli'c_t III/C_lhi/ I
end l tell_tll/fe_lh"'];
Lnh khai baa generic dung de khai b,to cae tham s6 dUQ'e Slr dl,ll1g kicm
soat diu true vi ella thlfc the. eie hing s6 nay gQi Ii cae tham s6
chung. Cae tham so chung co ph'.llTI vi su dy.ng trong b9 thve the. cttu
generic co ell phap nhu sau,
generic (
lell)ICllIg: kid'lI_ COil [ : = gia _11"; _J.://(fi_tWJ ]
1; tell_hung: kie'II_COIl l := gici_IILkluJ'i 11
);
tell_h/ing: 1a ten eua {ham so ehung.
kh;'ll: kieu dO: liu eua tham so.
gia khoi ti;lo ella tham s6.
eie 1u(lt e6ng tii eho cae kcr giao tiep ella {iwc the va e6 quy tac
ell phap nhu sau.
port ( tdllJ61lg: l mode] kill/_con [ := gi(I_'ILkhd( ]
137
www.Beenvn.com - download sach mien phi
I; rCII_cd'lIg: [mot/l! 1 kt(]H_COIi [ ': g/(l_fll_klul! taol \
):
tell cdng: ten cna c6ng dU0c rna ta,
mode: chi huang eua tin eong.
ki/lI_con: dCf. Ii-.ll cbng d.c tham so chung.
gi6 _tiL khrii_t(lO: ,gia khai t<;'o cho cong,
Trang phAn khai bao, thl,fc va cae cbng eua thl,fC the luon duqe ten
hOi!-c danh djnh danh, Ten, djnh danh lrong ngan ngu- VHDL khang phan
ehu- hoa va thuang. Mt s6 dinh danh lil cae lU khoa eua ngan ngu nhu:
entity. port, is. end . ,. NhG:ng tit nay co y nghia e6 dinh va kh6ng thay
d6i trong loan b ngan ngu-.
Cie ebng la cae tin kt n6i tlH!c vai de thl,fc the' khac Nhung tin
hiu ti,li eong duqc di!-l tuO'ng ung 'vai de in. out. buller, iRout v,\ de
kieu dCf liu. Y nghla cua d.e eong nl1l1 sau.
138
Cong co d\ll1g in Iii. eong chi dung d: dge, Trong cae s6 cae
eong d<;,ng in chi dueye :'iii dl,ll1g lam eong tin vao.
Cong d<;lng out lil cong chi d: gan cac gia trj. Trang thie'! ke
eong d\mg out chi str dy-n,g lam eong tin hiu ra.
Cong d\mg buffer HI e6ng Co eho phcp d hai thao lac dge va
gan dCi' Nhung trong tung ngCf cimh eong chi e6 the: nh0.n
m('ll trang hai ehue nang d9C gao du Vi dl,l vc edng
d'.mg huffer HI hai duong tin hiu ra Q va Qcua RS trigO'. Doi'
vai tlWe tht trigo, hal dUo-ng tin hi9U nay Iii. hal tin hiu ra.
Nhung doi vai hai phan Itr NAND heyp thanh trigo, de duang tin
hiu nay Hi cac tin hiu vao. Trang cae ehuong trinh tren ngon
ng[r VHDL, cac tin hiu buffer Ihuang duqc sU trong
cae truong hqp khi ta muon d9c va ghi dll liu 6 ben trong
chuang tdnh nhung tlr ben ngoai chuang trinh thi chi co the dQe
dCf Jiu rna khong the ghi vao duong tin nay.
Cong inout Ia e6ng co Vlra dQc va Vlra gan gi,i Irj. Cae
cbng nay eho phep c6 nhieu dieu khien dCf liu d6ng thoi
trong rnQi ngu dnh. Cae VI dl:l cbng d<,lng inout co the 1a cae
tuyen dCf liu vao/ra eua h9 xU- ly,
www.Beenvn.com - download sach mien phi
Trong phan _III/fe_tin;;" ella lhl!c the cht"ra khai
h,lo cae hang so, d.c kicu tin hiu co the Slr dyng trang qua ldoh xay .
dl!ng IIwc the. Phfin crlc_thdJJIJylullI_hii/u_tlllfc _tll//e_thl chua cae biC:u
lhue thl!c hi11 dong thai. Cae bicu thue oily dLfqc A A
Slr dyng de kicm tra cae dieu rang bUQc cae I

phcp toal1 trong hanh vi eua thl,1'C the can thict kc. -,
Ta hay xct vi dlJ !lI0 ta c(log lTIt hit. C I c
out -----,n
M<.Kh cQng co ten la FULL_ADDER va co ha 1
c6ng vao lit A. 13 va Cillo Hai cong fa ella I I
HI. Sum va Coul. Cae cong vao va fa deu co ---,---
.Sum
kieu tin lit BIT, trong d6 kieu mT Iii tnt kicu
duqc djnh nghii1 truoe ella ng6n ngO' VHDL. Dm.lI1
chuang trlnh rna tii" tlwc the cQn mt bit
duqc viet nhu sau.
Hinh 6.2 Bic'u dicn ella bo
cong !l1(JI bit.
entity FULLADDER is
port ( A, B, Cin : in BIT;
SUlll, Cout : out mT);
end FULL_ADDER
A(3) 6(3)A(2) B(2)A(1)B(1) A(O)B(O) Chung ta co soar cau
true va che d dtnh thai gian clla
tlwc bang each su dl,mg cac
tham so chung. V f dl,l, ne"u chung
ta muon x<-ly cQng N
bit, ta sc dung tham so chung N de
chi so bit clm m<;lch c(mg va tham
so chung M de tfU'ng cho hanh
vi thea dien bien thai gian clla
th6. Trang truling hqp mo ta b
I' L'J I I
I --,-_I l,
C
out
I I C
m
'--1-' ,[-
S3 I S2 !S1 Iso
Hillh 6.3 dien cua bb
cQllg b5n bit.
cng bon bit, ban dau N dUQc k:hoi t<;1o gia tri 4. Trang qua trinh ma phong
tong hqp gia tri clla C,i . tham so chung co the: thay doi tuy
thea nhu duo D0<;1n chuo'I1g trlnh VHDL sau cho la vf dl,l me ta c(mg
bon bit.
139
www.Beenvn.com - download sach mien phi
entity ADDER is
generic ( N : INTEGER := 4;
M : TIME := 10 ns );
port ( A, B: in BIT_VECTOR ( N - 1 duwnto 0);
Cin: in BiT;
SUM: uut BlT_ VECTOR( N - 1 duwntu 0);
Cout : out BIT ) ;
end ADDER;
2. Cae kie'n true
Sau khi cae phan tir Im.teh <lllCJe mo tA III ngoai b[mg cae khai hao tbl!e
the, 1l1(:l1 nhifu c;J.eh tlwe hin phfin tu sc dvqc mo ta hang cae kien true.
Trong ngon ngu VHDL. cae kicn truc eung eap cai nhin ben trung eua tlllse
th0. Kicn true eua tlWe xac <linh m6i quan giUa cae driu vao cae
driu ra etla Ihl;fe Iht: va eo the bieu dicn thea hanh vi, then dong ehuyen
ria hO(K theo etiu true.
Kien true xac cae eh(re nang eua tbl!e tht, Kicn Irue ehu'a phfin khai
b<.io, trong do hao gom cae khai bao eua tfn hiu, khai bao kieu, khai bao
htmg, khai bao cae philn va cae ehvong trlnh COIL Theo sau phfin khai
h;.lo la phan than eua kie'n true, Trong phan than eua kien true chua ei.l.c eau
ket diu thl!c dong thai. Cic kct c[iu thl!c hin <long thai eo the ia: eae
khoi gan tin hiu song song, cae kh6i Cil'u true va de thanh phan khai t\lO,
Cac kG't cAli tlwe hi11 dong thai the tinh chat thve hin <long tho'i ella
cae thi:tnh phan pilan e(fng !rong thie't kc"khi e6 Sl.f thay doi tn..mg thi.ii tin
tae dng vao ITIi..leh. Cae kCI cau thl;fc dclng thai tuang tae v6"i nhau
thong 4ua cac tin hiu. Moi kct c[iu tlwe hin dong thai xae d!nh ITI'.)t phdn
tu t[nh tmin. PhAn tu nay ctQe tin tlWe bien cae phcp loan t["en cae tin
hiu Va gan !lhling gia trj tinh dUlje eho tin hiu. Cae kct du nity hieu
e,k kh6i phan cling va deh Ihuc lien kct giD"a l'hClllg theo di.\llg diu truc
theo dang htUlh \'i. Btl11g cal 11 do de ket e<:iu thl!c d6ng thai SC 1110 ta
tdng the nYu true va hAnh \'i eua thVe dl(0C thier ke. Cic ket efiu dong
tho'i duqe tlwe hin song song khong pill;! thuQe vao trlnh tl! xu[tt eua
ehung trong mo ti kie'n true.
Kicn true dU'qc mo ti.l theo quy tae eu phap sau,
140
www.Beenvn.com - download sach mien phi
architecture (('/I kh'll (nle of {('II flllfe fhc'" is
.- - --
{ plul'lI_ kiwi _/l(fO _ 0;(/ Ji61_fnic 1
begin
\ dC_AC"_uill_tIIllC_'IICII_J{JI1I; fh/ii)
end [ fhl_ki(lll" fnie ];
Trong do. f('/I_f!t(i'C_fh/ la ten eua d6i lUq'ng sc xay dl,mg. Ten nay phili
trung vai ten eua tlll,rc the: luong ung vai kien true cia khai ban trong phiin
khai ban thl,rc the:,
Phan khai bilo plu/l1_ f.:.flw'_/)(IO JI';(I __ f.:.i6/_fnic ehu:a nhling khai bao trong
tna ttt kicn true. Hai uau \ 1 eo nghla la eo th6 kh6ng chua ll1l)t khai bao nao
ho.;te eo chua nhicu khai bao.
Phiin flam gina hai IV kho.-i begin va end,
('(Ic_UI_uill_tlll./'('_hi(;/I_d/mg_fhrfi xae dinh d,e kh6i phlln eLrng Iheo di,lt1g
eau true ho(\e hanh vi. Cae Ihanh phall signals dl:lllg de ket n6i nhii'ng klloi
rieng eua kien Irue, Moi tfn lUang ung vai m()t kieu dO- lieu. Cae
kieu do x,ic dinh eua dfr duQ'c Iruycn Iren de dlfong lin hiu.
Mt thl!c eo the co nhicu kien Irue, thict ke co the Xlly ch;l'ng m6
hlnh thet k6 SLr dl:lng eac pbuong phar thl!C hin kh<ie nhau theo cae gae d()
4uan sat khae nhau 6 cae IT1UC ell) trvu tI1<;mg khac nhau. Th6ng thlfll'Jlg,
ta eo the bieu dien kien true cua m(H thl,l'e the: d ba phuong din: phlfong din
hanh \'i, phuong dong dfr va phuong din ciiu tnk. kiell truc
cung c6 tilt eo hon hQ'p d ha phucmg uin bieu dien thiet ke. Ta xct \'i
dl! bieu dicn kien true thiet ke eua 1TI'-.lCh e()]Ig 1m)t bit. Theo vi. m'-.lch
cQng eo the UU'(1C dicn nhlf mc)! ham Il'lgie t<ic ch)ng lcn ba bi(Yn d()e
Itlp la A. I3 va Cin de hlnh thanh cae tin hiu ra la Sum va COUI. Then deh
dlcn bill1g dbllg dO- mi..lch ct)ng Ilwe hien c[le tac d()ng.
A J --- ,Sum
; 69 C9
n
9 J ,c'e'
Hanh vi
4-/---
B. G
m
)
Begin
End


Dong dCr I
lieu T
IS<=Axors!
Sum <= S xor C1n
I
after 5ns

--------
----
------___ true
ll'inh 6.4 1.\ tllLIe the mach e6n.Q; va cae kicnlrUc.
_.J
\4\
www.Beenvn.com - download sach mien phi
a. dien kien true thco vi
Bie'u dien kicn truc ella thl!e tlH!f thea himh vi Iii ma IiI chue ning elw h
thong wong tlJ' nhu d.e chuang tdnh phan mem btng cae qua tfrnh tinh to{lIl.
Trong bieu dien nay la khong cung ctip chi tict thl!e ,hiel ke. Trong
ngon ngli V HDL, de bicu dicn kicn true theo hanh vi, diu true ehfnh eua
htlI1h vi sc Iii qmi lrinh. M9t qUii tdnh c6 the eoi nhu Iii rnQl chuang 11'll1h va
dW1e xiy dl!ng lu nhU'ng cau true thu IJ,lC va co Ihe: eho phep gC)i cae chuang
tftnh can gi6ng nhu lrong cae ngon ngO' qp trlnh Ihong.
Ta hay xet vi rno ta kien true cua m .. ct)ng mOt bit bang hanh vi.
Trang m6 lit chua ml qua lrrnh voi ba tham s6 iu cae tfn hicu A. 8, Cin. etc
tin hiu mi.y ctuQ'e chua trong danh saeh cae tin hiu t<k d9ng 'laO qua trlnh.
thl!c hin qua tdnh sc dung neu khong eo de Sl.t kin x<iy tra Iren
de tfuong tin xuiit hin tmng danh siich hay noi mQt each kh{IC 1;1 cac
tin hiu lrong danh siich di.e tin tae dQng khong thay doi gia Ir!_ Moi
khi c6 mQI slJ' kin x:'y fa lfen cac duong tin hiu, qua trlnh siS dU'<)'e kieh
va d.c cau Inh ben trang cau trlie se duqe thl!c tuan II!.
142
architecture BEHAVIOR of FULL_ADDER is
begin
process ( A, 13, Cin )
begin
if ( A = '0' and B = '0' and C = '0' ) then
Sum <:::: '0' :
Caul <:::: '0' ;
elsif (A:::: '0' and il :::: '0' and Cin :::: '1' ) or
(A:::: '0' and il:::: 'I' and Cin::::: '0' ) or
( A :::: 'I' and B:::: '0' and Cin :::: '0' ) then
Sum <::::'J' ;
Cout <:::: '0' ;
clsif ( A :::: '1' and 13 :::: 'I' and Cin = 'I' ) then
Sum <:::: 'J' :
end if
end process;
end BEHA VIOR;
Cout <:::: '1';
www.Beenvn.com - download sach mien phi
A
--
B
J
C,n--

F.A
-c
'"'
S
S=A8JB8JC
m
C,,", = (A 8J Fl)C
m
+ AFl
A __ t--
B ___ I -:L./
II

:: '---
}"/'j
S::: A(fJB ex:
mnh 6.5 ('[ill (rllc viI hilllh VI b6
con)?: lll('lt bit.
.,' I
, ',j II
C
,n
I _____ J
C :::
"" out
J
)--

MI qu<i trlnh m6 d. h;mh vi ella mQt phau tOlln b(l thiet ke. Qua
trlnh xuc uinh d.c khoi rna luan tv uQc Cae khoi nay co the duq'C
klch ling voi cae bien u6i thai eua lin Khi co nhicu han 1l1l)t
qua trinh trang kien true thl nhung qua trlnh do tIm;)'c tlwc hi911 u6ng thai.
i. Mu hinh hanh vi
Thie'! ke' so dU<;1c md hinh hoa nhu mQt nhum cae phep lOan lac dng len
cae gia Ir! du qua h thong. Trang ma hlnh htll1h vi ella VHDL
Qua trinh i Qua trinh k
._-
-- -- I tin hleu
-L /'
'Tc----\ )-
I '- II
i
tic h;" I ._ I

tic h;', r---""
rL I tin hi$u
L- _ ..
--
Qua trinh j
I
M6 hinh cua qua trinh
II'inh 6.6 }'fo hlllh hoa! d(ll1g cua mot qua Irlllh process.
143
www.Beenvn.com - download sach mien phi
mOL phcp loAn dugc gOi mOl qua trlnh va cac gia du di qua h
Ihting duOc gOi Iii. tin Mot M thong c6 the coi ia trip hqp cac qua tdnh
cac qu<.l trinh tucmg tac voi nhau thong qua cae tin Tilt d. cae qu,i
trinh trong mo hinh tlwc dong thai va cac tin Olf(jC oung ot dlnh vi
cae qua trinh song song.
Chung ta co the coi vic thlie hin mt?t qwi trinh nhl1 I119t vi:mg vo
iwn. Y DOg nay bAt driu tli vic tlwc hin oong lnh dilU tien. Lil) lugt den
dong lnh thu hai, tht'r ba, ... eho den oong \nh cuoi ClHlg va li.li quay trt) Ii..ti
d{)ng \nh driu tien. tlwc cae diu Inh tmng qua tdnh duqc th!!c
hin cho dc'n khi g0P dIU wait. Khi bj dling 10-1. qua trlnh e6 duqc
tkp tl]c tlwc hin tra Jai. de qua trlnh thl.!C hicn Ira L ..ti phl] thuQc
vao thoi gian thai gian ngung cl.!c tmng ctlU lnh wait tn)i qua. Trang
ohicu tnIang hgp qu;.l trlnh c6 the dU(jC kich hOQ.l tr6 1;.\1 ILl)' sl.!
thay dbi tqmg thai clla d.c tin tac d9ng khi cac dieu ki9n Ia
dugc thoa man. Ngoo ngu- YHDL cung dip kha nang ml'i nhung tin hiu
tac dng vao GtC qua tdnh bang oanh sach cUc tin tac dtjng. Khi gl<.1 tri
Clia dc tin hiu trong danh sach tac di;mg hi thay d6i. qua trinh dlf()"C kich
hm'lt va biit ddu tlll!c
1'r011 hinh 6.6 ta C(S 1116 hinh kien true clla h thong gom ba qua trinh i,.I,
k. Moi qua trlnh i va.i e6 hai tin tic d()ng con qua trlnh k ch"! c6 m(l\.
tXiu fa cua qua trillh i n6i voi duu van eua qua trinh k va dau ra n\a quci.lr1nh
.i noi Vl1i dAu clla qua trinh i. Ca ba qua trlnh clmg tlll.fc dong tlll1"i \'it
de tin hiu tac dl)ng dung de kiem soat tien trinh t1wc hi(:n cae qua trinh.
51.! thay doi gia tr! tin llhung thai dicm tho-i gian X<l.C duqc
the bi9n qua "thai diem mo ph(mg" eoa th6ng. Thoi di6m ml'i phong trong
ngon ngu YHDL la thoi (hem tQ..i do C() cae sl.! xuat hiQn tfen duang tin
hiu. Khii nim th0i diem m6 phong tren thue te la khac voi kh<ii nim Ihoi
gian dflng ho I1Qi ti..li. CJc qua t1"il1h lrong YHDL dW1c kich l<'.li moi hhi
e6 slJ thay doi gia lr\ cua tin hiu trong danh sil.eh tin tac d(mg. Khi qua
tr"hlh nen gla Iri eho tin hiu (Uu fa, hl' thong m() phong se chi oinh luqng
ttl th(11 gi<l11 trU"tl'c khi gia p.' dU(K gih ra dau ra. LLie do ta noi rhng mo
phong hin vie c IUC1l1g trillh ch,) glao tac sau thai diem ma phong
xac dinh. H thong ma pho,tg clla YHDL cling cho phep dinh chuang tdnh
144
www.Beenvn.com - download sach mien phi
cilo tn()l s{i btll k5' de glao lac d6i
\,()'i duO'ng tin ra. T(lp hqp t[11
d dc giao tac d6i v6i tin hiu
Irollg llH)1 qUi' Irll1h gql hi
VI-IDL eung cf\p m6 illnh hal giai
do"m: elm Irinh tnt) phong.
Trang giai doan 1: gia
Cllil c,ic 1111 "t:; lIme
1112n giao dich lrong thi)'i
gl<ln hin t',li dune Illln 1116i
Trong gi<li do'.HI 2: nhilng
process nh(ll1 I'h(mg lin tai
Ilhung till hicu laC d()ng sc
tIme hin Ilnll tn,in ello leii
khi bi Lreo. Kel thue gJai
aat crall rna phung
Chu trinll rna phong
GI8i doan mot
crlp tin 'hieu
Giai tlai
Thlle !lIen Ifnh toan
khie';n tieh trinh
cae qiao dich
Ket thue rna phong C
lIinh 6.7 ChUll'inh m() r1l(ing ell;! lrlilh.
dO<,lII 2. Iht)'i diem me) phl'Hlg se nh:ln gJ<.l tq m(ti va eilu tdnh tll\rc
IHn h.li.
Nh:1 thie't kl:' co Ihe chi dinh tho'j gian tinh ILr thlJi tho"j gi<i
Ir! SC du\l'C glri It'li tin hiu ra trong dc du g(m lin hiu. l\eu lrong du
12nl1 giin lin hicu kh{)ng chi r6 gl(1 Iri IhO'i gJan tn: hofle gi:.i Iri ni:IY b{lng '()'
thi Ihi.'!'i giaD Ire dPlh delta eua bO nH) ph('lI1g duqc sir ciL,mg dillh
J.ich Irinh cho Ihao 1<IC. Thb'i gian nay khong thay doi thai :,\u(t't hln
tin 11lu d(ing 11<.) 1110 ph(lllg nhulIg dUo'e dung kel Ihlic m()t rilu Ir'1I1h
mll phong \':1 btll (till m(ll elm trillh 1116i. :.Jc'u gia Irj mai duqc g<ln cho 1111
hiu kll,ic \'6i gi;t If! cu. Ircn dU'CI1l2 lin hiu hin !...icn.
Phong deh me) 1<\ kic'n true th("o dim!; liCf lil:u dfIC III 11 th6ng nluf Gil'
song Clla Ci\c dim!;! du hu Vi:I dilllg dc tin hiu dicu
Then plllMng ph,ip ni:l)' cbllng ta 1116 1:'1 dc cii:Jng th6ng lin. h.lnl1 vi lUiln
ehuy0n e:.ie dong dCr licu 1hen Ihtl'i gian eua dc hi:lln 16gic 10 hlJP nlw 1\1i.lCh
e(lllg. mi,leh so m'.lch gi,'li ma de pld.n ILr IC)gic eo S()'. Vi du, la et'l
th0 lilcn kic'n Irue cua n1<.lch C(Hlg FULL_ADDER then phong dell
dClllg dO- liu nhu "'-Ill.
145
www.Beenvn.com - download sach mien phi
architecture DATAFLOW of FUll_ADDER is
signal S : BIT ;
begin
S <:::: A xo .. I3:
Sum <:::: S xur Cil1 alTer IOns:
COlli <= ( A and I3 ) or (S and Gn ) affer.5 I1S :
end DATAFLOW:
Trong yf dl,! nay la co ba bicu illl.Ic gall lIn hiu song song. Moi hicu IhLIe
g,;in nay c6 Ihe: hieu nhllll1QI qua Irlnh ,,6i lIn hiu "c' ben ph,ii ella phcp g,ill
cae lin hiu ntnll Irong danh sach dc lin Ik dng eua qu,i Irlnh. Vi
cll,l, Imng phcp gan IhLI ni1{l\' duang lin hieu S se nh(lIl giJ If! A xur B sau khl
c6 Slf h211 XU.r1 hin tfell c1u())1g A, Iren du(mg B IreB cil hai dUi\ng
A \",1 B. 6 dily chung la kh6ng gi<.i. tLrO'ng minh ella IhO'i glan Ire. Do do
de 111l) phong tic'n lrlnh thVe hin qua Irinh theo thai giall, he thong Sll (h.ll1g
Ih(:1i glan tre m(lC dinh delta. Trong phep g{1l1 thu' haL duung tIn hiu Sum
nh;)n gi<.1 tri hic'u thu'C S xur Cin sau IOn" so v{ii Ihi:J'i dIem c{l cae Sl! kin Ircn
uic dill "ao S On cu,\ phfill tll XnL Cling tU'C5ng tu nhu v(ly. dueing lin
hiLl COlli ,,is nh.)n gi:1 Irj
A and B) or (S and Cil1)
sau :'i noS ",0 y6i Ihbi diem co sl.r kin treB cae throng lill hiu A, 11. S ho,)c
Cill.
Cic htlllg sC:i co sa eo the dvqc dung nhu cae Iham so Ire. Trong vi
Illty, ehting ta Ih[iy cae khai bao ella tham so tre cua ph:in khai bao tlwc tile
\'ll vie slr dl,!ng chung lrong kicn Irllc.
14G
VI dl.l.
entity FULL_ADDER is
generic ( K TIME := 5 n.'i
port (A. 11. Cin ; in I3IT; Sum, COlli: uut
end r-ULLADDER;
architecture DATAf-lOW or FeLL_ADDER is
signal S: BIT:
www.Beenvn.com - download sach mien phi
heg,in
S <=A xUI'll :
SUI11 <= S xor Cill Hiler 2*N :
Cout <= (A Hnd il) or ( S Hnd Cm ) affer N ;
end DATAFLOW,
e. meu dien ki,Yn truc hang cau true
Phong e:."teh 1116 t:.t kie'll truc th6ng lJua c:lu tr(ie x,ie d.illh call true eua
thue e:le khai 0,10 ella c:."te pldn tt! thlll1h ph[ill \'il cae phicn b,111
eua cae phiin tu thill1h phan. Cue mel hi cau true eh{ra danh ",:.\.ch eae philll tu
hO<)t d<)ng c[{)ng thoi Vi:l licll ket giil"a ule ph:ln tu do, Vi Ul,J, khi ta m6 1<"1 C:'\U
truc cua m<wh c<)ng m(ll bit FULL_ADDER. M:'.lch feLL_ADDER dti'(/e
Ihi6"1 ke tren co' s('j c:te thLll1h pllan Ii:I HALf< _ADDER viI OR_GATE. Clu
true dlfl/e thie't he' ella m:.lch I1H)t oit chua hai m:.lch nt'ra tong \'il llH)t
phiin tU'logie eo ball OICGalc, C.i.c phiin tu nily licn kc"t voi nhau btmg e.:ie
tin hicu.

'0
HA2 Sum
-. -->
- i c
'"
Il"Ilih 6.N CIU In.k (', lillIe kitn (nk
m;.lch C011i! 1l1(1( bit ell<! h,1I till hitu.
architecture STRUCTURE of f<ULL ADDER is
component IIALF _ADDER
}lort ( L ILl: in BIT;
Carry,Sum : out BIT );
end compunent:
compunent OR_GATE
147
www.Beenvn.com - download sach mien phi
hegin
port ( LJ. L2: in nIT;
0: uut IllT ):
end component
signal N l,N2.N3 : BIT;
HA I : HALF_ADDER port map ( A, 13, N L 1\2 );
HA2: HALP _ADDER port map ( N2. Cin. N3. Sum ):
ORI : OICC;ATE port map (NL N3. Cout):
end STRUCfURE;
Khi d(l phlie li.\P ella thie"1 ke' lang iC:n. ni1a thiet ke tlllIong ph[m Uieh h
th6ng nhung h thong con. eie h Ih6ng con Ilay kc"t ch(lt ehe
theo ehCre n[lllg lrong thanh phiin eua h thong t6ng the. Moi h thong con
le.ti Cl) dtfqe pilan tach thimh nhu'ng phan h 6 111ue th,rp h<Jn niJa. Trong
ngoll ngu' VHDL o' mCfe eao nh:;t eua Ihie"t ke nguai la slf dl;lIlg m6 hinh kicn
tnk theo ['hong deh du true eua tlWe Ciu true nay se g01l1 t;)p hqp
phi":n lxin eua de ['him ke"! noi v0i nhau bang de dU'ung Illl hiu.
Moi phien bi111 ella Ih;'lllh phiin mi.lCh du\l"C m6 t.i b,\ng de h(lp den trong
hicu lhell e[iu true \"(5i e<.te licil klYt cUu v;:\O lien kc"! (bu ra du"U"c 1116 Iii ro
rimt-!. eic phien IX"1ll thilnil ph:in ph.-li lUang thfch \'6i e.te t1We th':. Cic time
the ni\y -.;0 mi.) lei de chu'e nimg eua plliln mach btmg cae mil hlllh ki61
Iruc theo bi':u e{lu Irue hO(1( vi. Vi du, d6i \"()'i m<..\Ch u)ng m(ll bit
ella hili tin iliell. ta co m'.leh eQng "i,: dU"eJe X[IY dvng tu Iwi 1n'.lCh !lila tClng "il
In(lt phrin tu OR. Khi d6 theo de m() 1,\ kicn true ella cae Ihve the bang e.ie
m(l t,i cAu true. thve the H;LL_ADDER c1uqe t,.\O b()'i hili lime the
HALf_ADDER lll(lt tlH.re the Ol-COATE. Trong d{l tlwc til':
HALF_ADDER co the c1w;/C xtty dL.rng til cae philn tu XOR AND.
\IJ{) t.l HALf_ADDER theo cflu Irue tu de rlltin Ill" AND "i\
XDR,
148
entity HALf_ADDER is
purt ( 10, I I : in BIT: S, CO : out IllT );
end HALf-_ ADDER;
an:hitecturc STRUCTURE of HALf_ADDER is
component XOR_GATE
port ( III. I I: in IlIT; 0: uut IllT );
www.Beenvn.com - download sach mien phi
begin
end component
component Al'!D2_GATE
purt ( 10. II , in IllT; 0; uut lllT );
end component:
U L XOICGATE port map ( Ill. I I. S );
\]2, AND2JiATE port map (IO.lI. CO);
end STRUC[URE;
Moi thimh ph.'in cua tlwc the' neu tren co the xu)' dl!ng tu cae the
kh,\c m6 1,'1 de chue nang ella cluing. Vi dl,l phiin tt'r XOR_.GATE etl the
dll'9\: m6 t:1 theo h:mh vi nh\1 sau.
entity
port ( 10. 1\ , in BIT; S. CO ; out fliT );
end XOR_GATE;
architecture BEHAVIOR of XOR_GATE is
hcgin
0<= 10 xor 1\ arfer \0 ns:
end BEflA Y[OR;
Bicu dicn e:'lu true cua Gte phii.ll cap thiel 1<.6 <'mh hu'ong tai qua trlnh
phan tach thiet kc. Di6u n1.),' XUi!1 phat tu e{le diem ella Ih6ng ctlfl)'e
thiet kc. 6 tn('11 tnue phan dlp b[il
k)l, thong c1u'ge dlu t'.IO bdi de
lien ke't ella nhCrng Ih111lh phfin 6
mue dang xc\. Bi6u elien cUu true
ella kicn true ehu'a danh siich cae
h('1p (kn. 6 Illt:l'e thfip nh[it ella qu,i
trlnh phall t{lch. ta ph:li 1110 la h:l1lh
vi Clla cae ph fin It'r nam trang thiet
kc c'5 muc nay. Qm\. Irlnh phan cap
co the bieu oien dU'oi d'.ll1g cay phan

,
M6 hinh hoa
hanh vi
Hinh 6.9 ely p\l,ln dp dlC11
kic'n Inle ella JllO hl11h tl11CI kt'.
c:t'p ( hinh 5.9 ). T'.li mue Ihap nhfit ella phan cap, ta phli 1116 hi hi1l1h vi ella
cae the thea trinh tl! ma 1116 hinh lTIi.ICh ,..,e dU\1C mo ph('mg.
149
www.Beenvn.com - download sach mien phi
3. Cae g6i thiet kc
dfch ehfnh ella cae g<11 la 1(lp h\1p cae phan tll' C<1 the dllllg chung
giiJa hal llOZIC nhicu c10n vi thiel kc. Mt)t goi bao gom hai phan: ph{in
khai h,io goi va ph[in Ih[lll goi.
Ph[in khai bao g6i chua tXt ca cac khai hao cua mqi tcn. (\;"hLrng
len nily .'ie dm/c cae don vi thiet kc dung den khi SLf dung g6i.
Tht'mg tlnii'mg: ph[\n khai h<'lo chua m(lt so clt11iu chung, ceie
ht1ng 1;1 ella dc chVtmg tdnb COil.
Ph:ill lh,tn gai baa gom eac ph,ill th,in CU<.I cac cilu'tmg trlnh CO])
ilia t,i trong ph[in khai hao goi. Phan thfm :in d6i \'oi hen
Phi\n than ella goi khong bftt hut)c ph,li co n6u khong e(l
ehvOIlg trlllh con dU\1C 1116 1:1 trong g(li.
Vi cll.t, ta co khai bao g6i nhu sau. Cioi nay khaJ hilO StS
hic'n, h,lng V,I chunng trinh con.
pllckage EX_PKG is
subtype INT8 is INTEGER range 0 to 255
constant ?ERO : INT8 :=0 :
(.'onstant MAX : INT8 := 100;
procedure Increemcnt ( variable count: inout Il'\T8 )
end EX-PKG.
Do trong khai h'\.o co thu lL.lc Inen:cll1cnt nell 1<1 dn phili e6 th{lll ellil g()i
tuong ling v6i khaJ hilO trcn.
1,0
package body EX-PKU is
procedure lnercemcnt ( v41riable Dala: inout INT8 ) is
begin
end if
if ( Count >= MAX) then
Count := ZERO:
else
Count := Coullt + 1
end Incrccm..:nt;
end EX-PKCJ:
www.Beenvn.com - download sach mien phi
4. C{lC cau hlnh
M{)t tlwe the co the: co mot Y(li kicn tnk. Trong qu,i trlnh thle"1 k0", la co
the" dn phai Iht1 nghim llH)1 \"ll.i bicn the elld Ihict kc btmg deh slr te
kien true kh{le nhau. Ciu hinh 1& thtmh phtin cd b:m ella dan V! thiet kl:". elll
hlnh eho phep gtm de phien ban eua thl!C the yito nhCrng kicn Ink kh,\c
nhau. Glu hlnh el"mg eo the Sli" dung de thay the" me)t deh nhanh ch6ng
de ph;\n Ill' ella thlle the trong bicu Jicn cau trlK ella thic"t kc'.
CU ph,ip ella 1116 ta eAu 1Il11h:
'(;/I_cditldll/l of f(;/I_1Iu/C_lh(;" is
\ pltci"ll_ khoi -'h/O _Clio _ uilt-'u'nh \
fOI' title ,(/ oi(l kh()"i
\ m(lIlr_d(use I
{ ((ic_pllltll 1/1 (11(1 C{]II-'Iillh I
end for;
Vi tu pillin Jlul/Ju/o _ Clia __ c(/'lI_hillil eho phep du hlnh Slr dl!ng de
phfil1 tll trong de goi vii de tlnl'vicn.
Vi tv dlk rd Cli(/JI/()I" X<.lc d(nh cau hioh eho hin Irl\C eoa Ihue
Vi
Configuration FADD_CONFIG of FULL_ADDER is
[or STRUCHJRE
for HAl. HAL HALF_ADDER
use entity WORK.HALF _ADDER(STRlICTURE)
[or ORI: OR_GATE usc entity WORK.lJRJiATE;
end for
end FADD_CONFIG;
hung cau hlnh nay, chung ta thay:
STRUcnJRE ehi to'i kien true eoa thl!c Ih6 FULL_ADDER dUde (Ut cau
hlnh.
HA 1 V:l HAl: Iii cae t1we the gan voi thl!C the HALF _ADER ella kicn
tn.k STRUCTURE Hong thu WORK.
151
www.Beenvn.com - download sach mien phi
Phltn ban OR I gtlt1 \'6'i tlu,l'c IhJ OICGATE lrong tilu Yin WORK.
Ph:lt1 Itch VIIDL Ii'! qu{t Irlllh kicll1 Ira Ihi':t kc' VHDL eho dllllg Cll ph<.ip
<I nglf nghTa. Sau khi phtlt1 Itch VHDL, d.e don \'! thiet kC' sc dUQ'c luu giu'
trotH! C{tC thu vitn de sU: dun" sau Tim \'len thiet kc co the chefa nilu"llo
. ' c _, c
phein tLr tilu \'in sau:
Goi : i:'lllhlrng m() ta, khai b,io clU'0e clung chung.
Tiwe the: Iii nhling me) ta thic'l kc dU\K dung chung.
Kic'n true: nhlrng thie1 k( chi tic't dlfqc dLlng chung.
C\u hinh : [;1 nhu'ng phien b,in ella thlle thc du(}"c dllng chung.
eic don vj tilu' \'ien Iii dIe e<.'iu true YHDL co the dUQ'e ph,ln tfeh rieng r0
thco trlnh 11,1' nh[11 d!nh. Vi dl.l, tlwc the ph,ii dUQ'e ph,ln tfeh truCic kil'"n true
eua chung: dc gOI phai dU\1C ph:111 tich truCK khi UU\K dan v1 thiet kc su'
clL,mg.
Trong ngon ngiJ' VIIDL co 11m Ihie't kc' hi';l eo tcn IZI "WORK".
Khi chung la hien d!ch m61 cillwng Ir'inh viel tren ngt'm ngli VHDL nhung
khong chi r6 thlf dtch, chucmg trlnh nilY sc dU\K bien d!ch Vi:I eh(ra vilO
11m vin "WORK". Vi knh
\iC My-Design.vhd
sc tra eu phap cillrung trinh ntun trong tp "My-Dcsign.vhd", d1eh

-+
tfch _
[
j library-1
[IEEE
-
[ STD
-
WORK
Thllv,en
[
-
omop ong
VHDL
9 tong ap
VHDL
lIinh 6.10 So lui hie'll rlicn qllli lrinh bi':n dich va m6 phon12 Ili' cillt(/l1g trinh lhimh
e<ic lhlr ella ngon ngfr VHDL. sal! d{) nle t!m "icil dU'(ie dli'<t \'ilO!J': me) p!JClIlS_
cillf(mg trlnh clo r()i ehlb vilo thu vin "WORK". Illnh 6.10 chi ra de
phU'o)lg thue slr cll;lllg cae Ihu' thiet trong ngon ngiI VHDL.
www.Beenvn.com - download sach mien phi
l\gon llgU VHDL c6 ba di,mg d6i 1Lf9'llg: bien, tfn \'il hi\ng, Phfl!l khai
lxio lrung C{lC du truc ng6n ngCi so: ke cac deli tWl'ng s2 Sif (iL,mg. CiIC klCll
ella dc d6i IU'0ng do \'J. giii tri ban d:iu mil Ch(lllg sC nh(lll lrong qua trlnh I1H1
phcmg.
1. Cae d6i tut,mg du
Trong ngon ngCf VHDL nglf0i 1<1 p\},ln hi1 ha loai d6i 1lH,:ing dCi htlllg.
tin hiu. Cac d6i 1L1l)'ng du'C)'c c1[\C 1<.1 dl,ra V:IO C<IC tlf kllOa. NhCing ILl
kho{1 n:l)' xufit hin 0 rhfln (HIU eua rlhin khai bao cloi tL19'ng.
a.
Htlllg b el6i Ilf9'ng dl!9'c khc)'i tao btl11g nhCing gia tr! nhfit djnh khi dl!0'C
1'-.10 ntn trong qu<i Irinh thve va sau d6 gi,i lri clla h,\ng khong lhay
Htlllg co the dL10c khai b{1O trong cUc gai. timc Ihe, kie'n truc, cillf(mg lrinh
con. khoi Va qu'.l trlnh.
Cll ph<.ip kiwi bao hung:
constant {ell hiing . fhl hlillg I: kic'll 1;-::: i..;/(i fr! J:
Vf
constant CIIAR7 : BIT _ VECfOR ( 4 downtu 0) :-::: "00 III ";
constant MSB: 5;
b. Bien
Bien HI d6i tU(Jng du' liu dung clitIa nhCfng kc', trung gian. Bien
chi c6 the dm!c kiwi o.io ben Irong cac qua Idnh chuang trinh con. Bien
\uon eli doi \'6i kiC:u. do d6 bien rh,'ti dlt'(K kiwi baa kiC:u. xac d!nh khOi.lng
gi{)'i gia kh{)'i ban dall. M0t d.ch d!nh, gi,i Ir! kh(')'j 1:,10
www.Beenvn.com - download sach mien phi
eLla hic'n El gicl trj thiip nhiit trang cae gici. trj thu(lC mit:n x.;ie dPlh ella ki6u.
BiC:'ll eo Cli pllclP khai belo nhu sau.
Vi
Co Tin hiell
variable Temp: ilIT_ VECTOR ( g duwnto 0 )
variahle Delay: INTEGER 0 to 15 :=0:
Tin hieu dtii w0ng du liu dung de ke't n6i gii!":l cae qua trlnh ho<)C
d6ng b<,') de qu,i trinh. Khai 1xio tin hiu :0.13 1<.10 tin hiu 1116i c{) GIC gi .. l tl"!
ella ki6u x.ie djnh. Tin hill c6 thc duqc khai b.lo tfOng ph,\n khai b,IO g6i
( khl d6 tin hill sc Iii tin Cl.IC ). khai b,io tlll!e th6 ( khi do tin hiu
b tin toan CL.lc eLla tht!c the l. khai hao kicn tnk ( tin hiu sc 1;1 tin hlU
clie ella ki6n true) V;:I trung khai. Cae tin hiu c6 thc dU\K SLf dung
nlurng k.h6ng the (ILrqe khai bao trong c:ic qu.i Idnh \';1 dc chu()'ng trlnh con.
Co the gi,:ll thieh dieu n;IY nhu sau. dc qua trlnh va chuaHg trlnh con 1:1 Gte
thanh ph.i]) e0 sa eua m6 hinh \'il. dU0e coi 1<'1 e.:ie hl)p den. Cite tin hiu -;c \;ic
ch)ng V;IO c.ie ht)p elen do tv ben ngoi:li. Cae d.ip ung Cll.1 hl)p den SC (ll1h
hU'l'mg de'll dlrCil1g tin hieu fa. Cic tin hieu c{l Cll phci.p k.hai b.io nhu' sau.
signal Ikcp: BIT:= '0';
signal Res: INTEGER range 0 to Ion:
2. elK kiClI dj1
M9i dai tut:mg dfr liu trong ng6n ngfr VHDL deu ph .. 'll dm:c d!llh nghia
boi c .. le kicu di}' Iiu. Ng6n ngiJ VHDL eho phcp sir dYllg CilC kicll co sO de
t,-.l0 ncn de doi tLr0ng pi1(fe t'-.lp han.
154
www.Beenvn.com - download sach mien phi
KiC;u ph<.ll du\1C khai h,ia tmoe khi Slr dl.lOg. Khai h<to kie'u x<tc c1inh t(:11
kitu vi\ mi6n x,\c dinh eua kieu. Cae khai baa kicu e6 the n:111l troug plHin
khai b{lo cLla g6i. khai b,\o Ilmc th( klUli hell) kicn true. khai h,IO ehuD'llg
!rlnh con vii kiwi b,.lO dc qu<.i trinh.
Cae kicu dCr ehinh trong ngon ngCi: VHDL:
Kicu ki1 kt.
Kieu so nguyen
Kicu du'CJe djnh nghia tru6c eua VHDL
Kicu lm'tng
K ieu h,in ghi
STD_LOGIC.
SIGNED va UNSIGNED.
Ck con.
a. Kicu kc
Kieu lit ke dmJe dPlh nghia hilllg c{IC!1 lit ke t[{1 Cli cae gia !rj e{) the e6
clla kicu. CeK gi<.t !rj nilY do nguoi Slr dl,lng x.ic dmil \'a c6 tile la c;ic kn hO;lc
nhung ky' q.J'.
OJ ph<.ip clla klCU li1 ke
Trong ngon ngCi: VHDL kit'll li1 kt co diem kh;ie \,{1i kieu li('\ k0 eLlil
de ngon ngiJ 1(lp trlnh khae. M{)i gi,i tri trong thimh ph[in ella kicu e6 tile
xuii! hin trong hai nhicu hon kicu lit kt.
Vi
type Color is (Red, Orange, Ydlmv. Green, niue, Purple):
type Light is ( Red, Y cllow, Green ):
STD_LOOIC is CU', 'X', '0', '1', 'z', 'W', 'L', 'If, '.'J:
yariahle X: Color:
Y: STD_LOGIC:
Tron
o
cae n"(ll1 l1"illap trlnh !ruven thOll", cae liet kc khong dU(1C phcp
eo e e . e .
ch(Ta nhCfng phan \\i gi6ng nhall. Trong VI dl,l tren, tmng cac ng6n ngiJ I,)p
1:')5
www.Beenvn.com - download sach mien phi
Irlnh Iruycn Ih6ng de khai b,io hai kitu "Color'" viI "Lighl'" iiI sai ell
ph,ip. Dicu do do m(lt hien X co kicu 12t "Colm". thl khl thl.fC
phcp g,in
x ,= Red:
ehuong trlnh dich se kh()ng xac dinh dLlqc gia Ifi 'Red' Ihuc)c mien x<.le dPlh
cua kieu Neu gia tr! 'R.:J' J6 thU(1C kicu "Color" Ihl pilcp gem lit dung.
Tl'Ong trlfong hqr gia trj 'Red' thu(le "Light" thi phep gem do Ii:I sai.
gi,ii LJuye'( tinh tri..lllg m(lp ma do. tl'Ong ngt'lll ngu YHDL ton 1'.11 co
ehe dinh kieu. Co ehe nay cho phcp xae dinh mr)t each tU'ong 111mh giet tri
dang xcI Ihu(le kleU n(lo.
M6i d6i IU(,mg xuilt trong kicu co mc)t vi trf nhflt dll1h \'il duqc Xi.le
dinh b6i trtll tLl xu:it hien. :\1(lt c<tch mac dinh, doi ILlqng dtiu lien c6 \"i trf O.
deli tuqllg tiep theo c(l vi lrf I. v.\'. Trong qua trlnh tcing IWp phi."in clrng,
kfch thude ella tin hicu ho,)c bi61 klCU lit kc dUQ'e x<\c dinii blri so Iwmg bit
nh() nhSI de mJ h6a s6luqng de gia tr! li1 kc.
h. Kicli s(i nguj"cn
Kicu nguyen Ji:t xac dinh eua dte so nguyen. Ttlt Cit c.ie plIcp loan
to .. il1 hqc th61lg thu(l'ng deu.up dl;lI1g dur/c eho so nguyen, Cal' phep to;in
hill tren kic'u nguyen IiI: +. -. *, /. Quy ti.k Cll pilar kilai hi.lo kicu nguyen
co dang nhu sau:
type U;/1 ku;;{ is ran<>e mU;/1 \() Il(l{/\C/I .
.
mi/II \(j' IIglI\(,1I mien can eua t(lp hop s(j nguyen.
Vi dy,
t,ype is rangs -2 I 474R3047 to 2147403647:.,
t)'pe Twos_complement_Integer is range -3276R to 32707:
c. Cae kieu duoe dinh nUh)a tru6c tron" VHDL
. .
Tileo tieu ehufin IEEE 1076 - 1987 ngu'()'i ta x<\c dinh hai gOI ehutin: g6i
Standard goi Textio Irong tim STD. Moi gOI chua t(lp hqp eic kicu
toan tLe. Trong gOI Standard chua tat d. cae tp nguon elm ng6n ngiJ' YIIDL
l:So
www.Beenvn.com - download sach mien phi
v(1i cae [In. Sall d:IY \,\ m(lt s6 \";iC:u ehu,-In dlHl'e 1116 ta trong g/ll
Standard.
Bouh'<ln: kiC:ll lid kc c6 h,-11 giCI faise vii true \/ii qLlan <
trul'. Ck phcp t,:i.e dQng kn doi tlf()'ng Uoolcan ;;\ rh0p lo,ln
16gie vtl quan he. Cae phep toan If,-I h.li gi.1 tri ki0u Boolean.
Bit: ki0u liet ke \'(ii hai giCI Iri '0' vii 'J'. C:IC phcp 10:\11 16glC c6 Ihe
Ilwe Iren de Mii tu'qng kieLJ Bit va Ir.l h.li gia In kiell Hit.
Character: kicu \..;C veii mien \.ac d!nh 1:1 hnp die k;' Ill'
ASCII, eic Ill' kh(mg hicll du(.'iC dien billlg 10;1 eht'l'a ha k)' ILL
Cae k)' It.r hlnh dlf<,'IC bicu dicn giua hai da'u lm'lc do)).
kieu so nguyen v6i nhullg giii trj dlfong ho(tc [l1n. Mien Xiie
dPlh Cll,1 lfOng g6i Standard la: ILl' -2. [47.41)1.647 d0'l1
2.147 A!n.G-l-7, Ck phcp to.ln tren C<IC dc'li IUcl'ng kicu I ntcgrr Iii UtC
phcp tmin so h')c: +, -. ;" ,/ '
Natural: III m(lt kiC:u COil clla kieu ,,,6 nguycil \':1 dltng de: chi utC ,,(l
ngu)'C'n kh('ng [lin - ,,,6 IV nilien.
Iii ki.::'u con clm so nguyen sir dung de hieu dicn c.\c s6
duong.
Bit vector: Iil. kicu bicu dicn 111,'lIlg eae Bit.
Strill;:!;: kieu ch-[' bao g6m ndng cac Charater. M()I glcl In KIC:U
dWl'C chl'l'a Hong m()t cap m6c kcp.
Real: mt'l 1,'\ GtC s6 tlwc Irong gi6i h'.ln: tu -1.ClI--: + 38 (kn I.OE + ::IS.
Phy-,icaltypc Time: kieu Time dU9c Sll' dy.ng de' hie'u uie If!
gl<111 dLll1g Irong qua Irinh m(i pbong,
d. KiC'u
Tlflmg 11.1' IIbll' trong etc ng(lI1 ngCf trlnh truycn Ih6ng. lrong ng()Il ngCr
VHDL. phiin Ill' kieu m:ing 121 nh6m cae phfin tLI' clll1g kicu vi:t duoe truy c:tp
\l'ri nlHr m(ll d(ii Ph:in ttr kieu mang trung ng6n ngi.1' Vl-IDL e6 nhCing
dae dlcm nhu
CCIC ph,-\II ILl' clla m:l1lg c{l Ihe lit l119i ki(:u lfOng ngt'lllllgt"i' VI-IDL,
SCi ill'(!ng e.ie dl! s6 ella m.ing ( noi deh khat 1<'1 s6 ehicu cua 1l1,-'llIg
e6 Ihe' nh:lIl 1l19i gi.l Ir\ dll'ong.
Nting chi cl'i m()t va chi m(lt chi s6 dung de Iru)' Ill'i ttl'.
!'i7
www.Beenvn.com - download sach mien phi
Mien hie'n lhien elH' ehi s6 xilc dinh s(i phan tu cua llliing hu6ng
:\c'p chi ,,6 trong 1l1ilng: llr c,ie chi ,,6 eao xu6ng c,\c chi so lhftp
ho\\C nguoe 1'.11.
Kicll elm chi s6 co the' 1;'1 kitu nguyen kicu lit kc.
Khiie \'{j'i de ng6n ngu IZlp trlllh tru),cn thong, trong ng(lI1 IlgU" YHDL rn;ing
dw)"C cilia Uun hai lo".li: m<.lng c6 bU(K \';'1 m .. lng kh6ng rllng bw)c.
M:.ing c6 hU(le III milng lrong d6 kie'u eLla chi s6 e6 mien xac
dinll dUlye quy d!nh mQ\ d.ch lU"i".mg Inlllh. Dicu do co nghTiI Iii ..,au
klu dU<;Ic Khai ])(io, mang co Krcll thw'K c6 dinh. 'llnr y,Jy m:"lng c6
r:ltlg hU(K tHong II,!" "oi doi tllvng kltu lll<.ing Iiong ele ngllll ngCr li)p
trlnh Iruycn til6ng.
eli phiip eua 11l,ing co fling bUi)e:
t)PC ICII_lIhllIg is array (Alw(f!l'.;_ (liil_( 11I_.\() ) uf Alell (1l0-.1!/itlll III
Trong (h).
/..:/i()(iIlX_( ,i(/_cJlI_,wJ'];'llIlicn con clJ<1 kitu nguycn kicll lil:! t..l:.
/..:/(,'u_Cliu-,)Jh'il_,/ila kicu ella phfill lU m;ing.
vr dl.!, khai b<.io mlmg ella 64 rhfin lU- nguyen.
type Arl-is array (0 to 63) oflntcgcr:
Miin!.! khl')'l!! rllll!! bw.)e III ki0u milll!! Iron!! d6 mien ""ie dinh elta
... ...' L. ... L
klCII chi Stl \';1 lur6ng s{lr xc'p ella de chehi sli kh()lIg \"iie dinh illae
ell! s6 IU\l'n,!! chil'u ella lll:ing (tWl"e chi roo \'!m \'ity (kii Ill"ting llliing
kh()J1g r;lIlg bU(le eo the e6 s(i !UO'llg ph:11l Ill' :>;;Il' dlllh. 1\6i
c .. iell kllile mill'g kh(lng ri\ng hU(K' e6 "(1 kich IlJU\l'c bll,'n Ihicn 'lIm
\'<.)y dung lu\mg btl nho slr dung Chll lllr)1 ll1,ing kh(')llg rilllg hill'll" e6
the thay doi tU)' theo nhu cliu slr ell.mg, Dlcu nlly khae \ 6"i de ng(l/l
ngLr 1(lp tflllh lruyen th6ng nlnr C. Pa"eal. .
ell phiip eua m<.lng kh()ng riltlg hur)c:
/(;II_"hing is ( 1(;/1 /..:/{'II ('hi ,I/! n.ll1gc < > ) of
Ai ('II -.1)1111'11_1/;'.
Ihl /":/(;11 ('/11' sli'lll kicu eon xile dinh chi sCi.
www.Beenvn.com - download sach mien phi
Vfdu.
t.ype B1T_ VECfOR is array (i'atUl'HI < of BIT:
type String is array ( pustiy(' < > ) of dwractc,,:
;-,uhtypc B4 is I31T _VECTOR ( 3 down to 0 ):
\.'ariablc V5 : BIT_VECTOR (4 downtn () ):
rv1ang IlllJ'tillg dl:ll1,!! tl2 bicu call Ink luyc'n 1111h nhu tl1a1111 gill.
RAM. ROM. eic phfin ILl' m;lng dune d;inh dja chi hang de elll :-;6. do del
chi <;(i ella m;lng ph;l] co n\i 1"<.1t: nl11i kicu :-;0 nguy0n. kil:U kc.
Vi (IL.I: m6 I;; Ix) Ilh6'c6 kich Ihu6c 16 x 10:
suhtypt, int--J. is Integer range 0 to 15:
;-,uht.\pc ImlO is lntcgt'r range 0 to 1023:
f)'pc \1ClIIllfY is array ( Inl--1- ) of Illi [0:
Ta e6 the truy c;)p t6"i de phfin Ilr cLla thco chi :-;6.
Vi LtC Iruy e(lp lai de ph;"in IU eLla tn,ing X thea ehl :-;6 l.
variable X: Mcmory:
variable 1: int--1-:
variable Y: IntlO:
Y:=XI ]+3 I:
l\gClllllgO" VI-IDL elm phep kh;\i b;Io de 1l1;lng nhi(:u ehicu. Vi dl,l \'c truy
c;)p mang nhi(:u ehicu duqe dua ra du6i day.
Vi til.l.
type T\\oarray is array ({) 10 7. 0 to 3) of BIT:
constant 'l\nlROM : Twoanay:=
11'11', 'U', '()', 'I' I
( '(I', ' (' , '()' , '(' I
( '0', '0' ,']' ,'(' I
( '1', ' I' , '0' , '1 t )
X := TwoROM (2,3);
('0' ,'0' ,'(' ,'(' I,
I '0' , ']' , '0' , '(' I,
( '(' , '0' , '0' , '(' ),
('(', '(', ']', ']'));
www.Beenvn.com - download sach mien phi
C. Kicil ban nhi
"
Rill ghi 1;\ ullom eua m()t nhieu phill tu' thw.)e nhO'ng kh.ie
nluLI dLil.K truy dp tdi nhu 1l1t)1 d6i tI1Q'ng. Rill ghi e6 nhung d,)e dicm
saL!.
NMi ph:in tLf eua \xill ghi duq'c truy nh(lp t6i then trl1()'ng.
eic phiin ttl ella Ixin ghi co the moi kicll ella ngbn ngiI VBDL
kc C<.I 1l1<"lIlg yil \xln gill.
VI kiell h,ln ghi:
type Datc_ Type is ( Sun, Mon. Tue, Wed. Till'. Fri. Sal ):
typc Holyclay is
rccord
Y car : Intc!!;cr rangt' 1900 to
Month: Integ:cr ran!!;c I to 12:
Day : Integer range I to 31
Date : Date_Type:
end record:
eic phfln ILf eua h.ln ghi dU(Jc tru)' nhttp theo ten b<.'ltl giti \':1 ll'll 11 LflJng.
Hai tklllh [1h,'ln ng[1ll deh nhau h()oi d:lu ',' .
Vi
signal S: Iioliday:
variable T I: rangc ( 1900 to 2099 ):
variable T2: Datc_ Type:
Tl := S.Year:
T2 : = S. Data;
f. Cae kicli trung goi Standard Logie
n.:" Il1d hinh hOii uic till C() tile: nh;:1ll nhicu hO'n 1w.i giii tr!, IlUn,g
ngon ngO' VIIDL X{IC djnh gl)i Standard Logic ( tuong ling voi chu[ln IEEE
](iO
www.Beenvn.com - download sach mien phi
Std 1164 - 19(3). Hai kicu dG' liu co Irnng goi nay I:l STD_ULOGIC va
STD_LOGIC.
STD_CLOGIC xac djnh dlilLu grim chin giii II"!. etC gi<.1 tin hil:u
klc'u STD_ULOGIC kh6ng tham gia \'110
dlc pbcp lOitn logic so hQc co h,in. Trong
tru'cmg hOp 1<1 ph,ii 1l1(:i rong C(IC phcp
so hQC va logic C0 b,ll1 bang each cung Ci;IP cac
h,1111 quye"t dlllh. Trong nhling tru'ung IWp khi
lin hiu tren Im''lt dUi'J"ng co the nh,)n duoc til"
nhieu nguon lin hi0u kh(lc nhau, chung ta
cOng ph,'li cung eilp dc kiln quycl d!nh. Vi
dy., trong Iru'ong hqp tr0n hinh 5.11. dlf()"Il,g tin
hiu s Iii kc"t n6i eLliI hi.!1 dLfCl"ng tinl1]l.::u d(lC
SI \"i\ s] , khl d6 t<.li diem kel noi cll<\ hai dU'o-ng
tin ta ([in ph<li xac djnh giu tin hiu tren
s
DlI:ll1l1;ll 1Iilll1 quycI
dll1h In lin
mnh 6.11 Trlrollg hOp ,Lf
dung hiltll quyfl ,1mh.
dlfCl"llg s sCi nh[ln throc tu gi<.i Irj lIn trcn duo-n,g "I \',\ S, nhu Ih6 n\O.
Thong thu'cmg giil trj Iren duong S X(IC djnh de phcp loCm OR,
AKD XOR. Trong Irll'bng i10P gi,t Irj CUi.! tin hiu duqc 1110 1,1 m(lt
lrong chin gi;'t trj CLla kicu STD_lJLOOIC. ta din phai Im'1 rQng cac phcp tocin
\('lgic cha dc giAlr! khong IhuQc I(lp hqp { '0', 't 'J hay I 'Irue' 1.
NIlllng phcp to,in m6 rng d6 duC)'c bieu dicn htlllg dlC hilln lJuy6t d.ll1h.
Cae gia If! clla kicu STD_ULOGIC:
type STD_ULOGIC is ('U', 'X', 'I)', ,\" 'Z', '\Y', 'L', 'H', '.' ),
STD_LOGIC lil dU'\JC x,-ie d!nh. Cae hiun quye't dinh cua kiC"u
du"Oc cung dip hlii g6i Stand and Logic.
Tunng tll' nhu' nIT V;I nrr_ V ECrOR. ngu VHDL CUllg cap hai kl,-'u
STD_L'LOGIC VECTOR V" STD_LOGIC VECTOR.
Vi dL,l.
cntity ADDER is
port ( A. It in STU_LOGIC YLCfOR ( 3 0 ).
Cin : in STU_LOGIC:
S ,out STD_LOGIC_ VECTOR ( ,downto () .
Coul, (lut STD_LOGIC: ),
end ADDER;
161
www.Beenvn.com - download sach mien phi
g. Kicli signed va unsigned
e6 d:ill signed va kh6ng dflu unsigned dLIqe sir dl,lOg doi v6i nbu'l1g
d6i tuVng sc uuqe truy C(lp t6i nhLI cae bit va nhLI d.C s6 nguyen. Hai
nilY' dLIQ'C djnh nghLa lrang hai g6i va NUMERIC_STD nhu'
"au:
signed is array (natural range < of BIT/ STD_LOClIC:
ty'pe unsigned is array (natural range < of BIT/ STD_LOGIC:
Vi dl,!.
Card_Input: unsigned ( 3 down to 0 );
Score: signed (4 downto 0) := "00100";
cae phep toun so hqe dlIqe Ina rllg eho nhling dO' liu nilY vii dlIq'C
1116 ta trung cae goi NUMERIC_BIT va NUMERIC_STD. Cie d6i tuVng
ki6u unsigned duqe bieu dien nlllr cae so nguyen nhj phflll kll6ng drlll.
h. Kicu con
Cae kieu COil IlH?t t(lp h(,ip COn eua de kicll drr dU'<;K djnh nghLil khtic.
Phep kiwi bao con c{) the ntul1 a 1l1i,li \'j tri cho pllcp J...hai baa kicu. SI!
kh.ic bit giua kiell can vii kieu la 0 ella kicu con chi la t(lp con ella m{)t
hOZlC llH?t kieu con diJ dinh nghia trltlk.
Kicll can Ihuang dung dC gioi IWIl c,ie dang du' trong de phep gem va
Ir-:n de duo'l1g dn liu. V6i \'ic khai b,IO de kicu eOIl, cac (h.Ulg du c6
the dLIqe nh(Ul c,Ie gi{l tri eo nghL<I. Cte kieu con k6 thLra I11qi Imln tll" vii
ehuong trlnh con tae dng len cae ki6u eha. Vi Ul,!. eile kicu natural
positive iil eSc con eua kicLL nguyen integer. Ck kiwi bao kicu con cll
dc.lllg sau:
suht)'pc Int4 is Integer range 0 to 15:
subtype IlIT_ VECTOR6 is IlIT_ VECTOR \ 5 downto \J):
6.4 Toan hi va hieu thuc
Trong ngon llgU VHDL. de hiell thue lil C<l.e eong Ihuc. Cile et'lllf! therc
nay xac djnh cae tae dQng linh toiln ICn cae doi 11I(jllg du lieu. Cie Ihue
in2
www.Beenvn.com - download sach mien phi
tlll.rc d.c Iinh IO(lIl so hqc \"it l{lgic su dl,lI1g Gic c.ic {O.in IU \'6i m{)1 s()
uic toan h'.lllg. Cae 10(111 tll" trung cho phcp lo,in se duqc t1wc bi2n cem
de loan hi..mg lil de ngu(ln dl1 liu eho de phcp toano
1. toan tit
Cae loan Ilt dlH1c pl1flll chia theo dc !TItfc dc) uu lien vii tl"(11 tinh lOall.
Trong b,ing 5.1 dU'a ra dc nholll phep toan YlJi tnii"c L1<) ltU lien t[mg d.-in. Clc
quy lfOC ve 1r(lt IV t1wc hin cae toan trong oieu thue dltqe the nlm
sau:
Trollg bicll thll"c cac phep lo,in e6 tnt1c dl) lfU lien IOn han 'Ie dWl"C
tlwe hien truck. C.ic d,lu dem cUllg giup x(\C djnh dung tr[\1 tu
tinh 10:.1.11 oieu thuc.
Cae toan lrong nh{l!l1 YO'j Cltng 1Il(1\ mlte d(l uu !i':n -;c dU"<;J"C t1wc
IV Ir.ii 4ua ph:li trong d.e oieu thu'('
Hang 6.1. Cae Imln tit \'A !l1(i"c d6 uu lien.
Gic Io.:in IOOle and, or, nand, nor, xor
eic phcp lOan quail he
:::, I:::, <, <:::, >, >:::
Cae phcp loan c<;Jug +, -, &
Toan Ill" d,lu +, -
Gie toan !lh.-1Il
,
, /, mod. rem
Cae phcp loan kh,ie
**
. ao", not
a. Cae I)hcp tOlin lllgic
Trong ng()n ngl[ YHDL dc phcp toan \6gic gom eo and, or, nand, nor.
xur not. Lie phcp tn{1ll ntlY Ille d(lIlg lCn d.c dlt hu BIT, Boolean
V;I 1l1,ing m(ll de BIT. 86i Y{ij cae phc:p lo{m hai ngoi and. or. nand,
nor. xur. Cilc lo(m h,.mg ph.ii eung kieu. Trnng trl10ng hop de loin hdllg \a
de ]11,llIg mc)1 chicu de BIT thl de mang phai co eung d<) clai. Cae phcp
!Oitn nh! phan xae dl11h cae ham trcn e{lc bil eua nhil"ng ph:in tu ll1ilng
cung chi so. kCI qUi.l 1;1 !1lQI m.ing c() cung d() d;\i \';1 gi6i h . tIl cae chi
Ph0p tOal1 illQt ngoi not xac d!nh phcp dao oil doi \'oi loan h'.l1lg eua II,.
Trong twang iwp lOan h'.ll1g lil m1 mang thi phep toan not lik: d<)ng 10n 1M
ci de ph{in IV CLb. mango
163
www.Beenvn.com - download sach mien phi
Vi ell}.
signal A. n, C: nrc VECfOR ( 6 down to 0 ):
A <= 13 and C
h. Cae phcp tmill quan
Cae tmin tlI quan h g6m co cae phep toan sanh ":::" . "/=", "<" . "<="
, ">" . ">:::". Cae phcp loan so silnh 1,1 dc phcp toan hCli ngoi efc to{1ll
hi..ll1g ph,i.i co clll1g Kct quit so s<lnh nh(m kicu lloolc'lIl.
Phcp tOi.\l1 ":::" kic'm Ira quail "hJng": "/=" - kie'm tra quan he "khi.le" .
"<" - quail "nhl) han": "<=" - nila hlm hO(lC b,l.l1g , ">" - "k'm hll"il" ,
">=" - "16"11 hem KCI 4Ui.\ gia trj true I1(U quail h6 dW1c
nghim dung vi:t gl{l false lrong Info-ng h0P ngLI0c i'.H.
e{\(: quan h "=" vii "/=" xac djnh w1i 1119i kicu da liu. Glll cac qwm h
con 1\li "<" , "<:::" , ">" , ">=" chi xae c1jnh vCti cae kicu lit ke, so nguyen.
l1le'll1g l11t ehicu cae lit k(: so nguyen.
51! sap xep G'te gia 1r! Irong mien xae dinh eua kicu xae dinh k61 tjUet cLla
cae phcp Imin so :-.anh. Cie :-.6 nguyen duqc StlP xcp theo IhCI \tf II! III
Gie s6 ,1m deil cae so dtlung. Cie m.:tng st: so sanll hilllg dch '>0 s<inh
lirng ph::i11 Ill: clla btl{ di.lU lu dn Ir{li ella mien xac chi ,,() m,'lllg.
VI cit,!,
signal A. B: unsigned ( 6 down to 0 );
signal C. D. E: Boolean;
C <= ( A = Il l:
C. C{IC phcp toan c(mg
Ciic pbcp t0611 cng bao g6m "+". "-" . "&". Cae pilip to,in "+", "-" time
trcn de d6i ILH,mg kicu integer. Phcp loan noi "&" ap v6i cae d6i
Ilf\mg la mang thanh ghi. Phcp to,il1 nay xily cl\fng ll1<.'mg m6i ballg dich ghcp
noi hai 1TIi.l.ng nam trong toan b;:.mg Vo\ nhau. Mc)i toan ella phcp tOil.ll
"&" co Ihe' ia mt mang hO(IC philll IL1 ella m..tng. Cae phcp loAn Ili'ty cling
thlfc v{)'i cae to<l[1 h . mg c6 signed Yll. unsigned.
164
www.Beenvn.com - download sach mien phi
signal W: BIT_ VECfOR (3 downto
signal X: integer rangc {) to
signal Y. Z: unsigned ( 3 do".'nto 0

Y <:::: Z ( 2 down to 0 ) & W( I
d. Cae phep toall d!llh d{iu
Cie phcp loan lTI(lt ngbi "+", "-" , "abs" tlNc hin vtii d.c to(lll h:.\llg
d,.mg so va tni I'.li gia tri elmg kieu.
c. phcp toan nhan
Cic phcp toan nh,l11 "*'*" , ,,*" . "r , mod, rem !lwe (rC'1l cae kicll
integer. Pllcp (OUI1 .. ,:,*" the hien phcp nang 1011 Ill}' ,,*" - phcp nh[\ll;
"j" - phcp chia: mod - Itiy m()(lull: rem- lAy ph:ill dlL
Vi dl,l,
2. Cae tmin Iwng
signal A. B, C: integer range 0 to 31
A<=Il'Il;
D<:::: E mod 4:
, ,
Trong !1l0t bieu thue, cae Imin tiI dung de tmin h'.lll; ;ti11'f4 ,,--elC Ir!
clla chung. Thl'Hlg thuang trong ngbn ngCi: YHDL c6 nhlcu d,.tng tmill Il:mg.
Cac loan h,.mg cOng c6 the In. ehinh cae bicu thue.
Cae dang loan han
o
tron" noon noCi: VHDL baD gam:
. . 0 0 0 (;:-
Cac hang, ky nhu 'x' , "1001" , 345;
ale ten. d!nh danh;
Cit chi
165
www.Beenvn.com - download sach mien phi
Cae tcn ngin \'a biet danh:
- Cae ten thU(lC I[nil:
Ciie I1h6m:
Oic thli'c d!nh kieu:
Ole phc'p goi
Cae hieu thlfC ehuycn deli kieu.
Cue htlllg ky' yi\ gJ,i 11'\ k5' hO(IC ia gia Ifj gia Ifi k)' IV, cae gia If!
ii! kc , c;.ic gl<i tfi xau.
166
eic gi;i Ir! so lil. c<ie lli\ng gia Ir! nguyen. Cae gi<.1. Ifi so dL19'C
di.211 tU)' thu()c Ihen h e(l so l11a gi<.\ Irj diS hieu (hell.
Trong h6 cO" sci mui".l'i cae gia II"! duQ'c yie1 nhu blnh Ihuang.
Trang co s6 khae 111 2 ---)- 16, ta \'i2'1 du6i d,.mg
((1_"\0' # gl([ 1/1 /Ulllt;
Vfdu, 2# 1101
Cae htll1g ky IV de ky It! fieng Ie dU'(1e vicl Hong hai ngo(lC do'n.
V[ ell:'. '1.'.
Cae h,\ng li1 kc iu cae hang xae djnh Irong djnh nghla kicu
kc. Trong ngon ngil' VHDL cae gi,i If! licl k0 ella cue k0
khcic nhau co the Irung nhau.
V[ du,
type Color is ( Red, Green, illuc ):
type Lighl is ( Red, Yellow, Green ):
eie gia Jrj X[IU ky It! la bicl] dicn ella mung ky III me)! chicu dc k}'
It!. C6'tul( d,.mg hilng x{iu: de xilu ky It! \'3. xiiu de bit.
Ck x{iu ky tL.r Iii ehu6i de k)' tt! ntllTI giua hai driu moe b:p. V[
d'-:l, "Demo", ''100lO01''.
X;lu cae bil duqe bicu dien IL1o'ng II! nhu X[tll ky II!, nhlIng ph[m
bit c<.I.c de ch.tng xflu nhj phfm. oclal \'a hcxa bitng de k)' h.r chi
he co s6, Vf du, B" 10011 ",0 "277", X "4C",
www.Beenvn.com - download sach mien phi
h. C:ie ten ,"';} d!nh danh
Ok dinh uanh d6i khi con g9i 1m)! cach don giin IiI t2n. Dinh danh li\
uk !en deli \'6i htmg, bien, tin hiu, ella d.c ding, cl1l1O'ng Irlnh COil
\'(1 ella cac khai baa tham so. Cae IV klloi\. trong IJgon ngCf VHDL ciing cae
dinh danh. Cae ten phii btlt dau Itl chiJ cai va chi chua chi.1 GiL ehiJ so (Hlu
gi..lch noi '_'. D[llI noi '_' kh6ng la ky tI! sau cung trung llH)! d!nh
danh. Trong ng()]l ngi.1 VHDL cac tcn va djnh danh kh6ng ph[1ll bit theo chu
hoa \'U chiI !l1lfOllg. Mt)t s6 djnh danh nhl1 entity, port, is \'tl end de ILl'
khoj trong ng6n ngu VHDL. Moi tv khoa eo 5' nghia xac djnh trung ng(m
ngu vii khong the su dl,Jl1g trong de 1lll,lC dfch kh,k.
e. Tell du''lc chi s6 hlHl
Nhung len nily x<.le djnh m()t phfin tu ella doi luqng Inelng. Cll philp m6 I,i
cLla ten chi s6 nhu sau:
Trong do, hilll_ll!(((" ph<.ii tra l<;Ii gia tfj lu chi so clla phfln tt! lTIi.ing
trong mien x<.tc djnh clla chi s6.
Vi dl,l,
type Memory is array ( 0 to 7 ) or intcj:!;cr range 0 to 1023:
variable Data_Array: Memory:
,"'ariablc ADDR: integer ranj:!;c 0 to 7;
,'ariable Data: integcr nlllge 0 to 1023;
Data :::: Data_Array ( ADDR ):
d. Ten ngan \'U danh
Ten ngltn x,\c dtllh chuai eac ph,ln lU eua d6i tuqng m<.lng. Huang Clb
uic chi s6 phan tu ll1,ing la to hO(lc downto. Tuy nhicn chieu chi so cll<1 len
nglm ph,ii luong thlch voi ehicu chi so cua milng tuang LIng. Ten ngtlll
co the st'r (h,lllg cung \'oi cac danh (alia'> ).
167
www.Beenvn.com - download sach mien phi
Bit danh (,,\0 r<'l 1110t ten ll10i elm ll1Ql ph:in be) mango danh
eung Gtp m(l( co che truy khCle Wi phein tir eua m;'ll1g.
V[dl;!.
variable Org : BIT_ VEcrOR ( 7 down to a ):
alias AI : lllT_ VECfOI( (0 to 3) is ORG ( 7 down to 4 ):
alias 1\2 : BIT_ VECfOR ( 107 duwnto 100) is ORO:
alias 1\3 : BIT is ORG ( 7 );
e, nUHk tlnh
Thw.)c (fnh ];\ <IiI Itu gan !Jen v6i d(ii tuong (rong ngon ngCr VHDL.
Thw)c tinh trong ngCm ngCr VHDL ella de hic'n hOile tin hieu llf(mg ung \'oj
nhiJng gi;.l(r! Cl.l the \'ll duqc xac d!l1h Iheo lJUY tac Cll ph,ip sau:
Cae Ihu(K t[nll duoe dinh nghia trude trong ngon ngu VHDL iiI left,
right, luw, high. range, reverse-range. length.
168
Cae thu(K tfnh left hO;IC right tni. l<:ti chi clla phi.ln Ilr ben Inii ohAt
[m(lc hell pll,lj ella du
CCic thU(K tfnh hij!;h, low tnt 1;.11 chi so ella phiin lit can ni1ft( hO(lc thllP
nhflt cua kicu dG liu.
CCic thu()c tinh range VlI reverse range dinh kho:lllg ella chi st).
- Thm)c tinh length dua ra so luqng cac phfill Ilr eua !1l()(
IlIT_ VECfOR.
Vi elL,l,
suht)'pe Index_range is integer range 10 downto 0:
\'ariable Veetl : BIT_ VECfOR (lndx_rangc);
khi do ta St e6:
Veer J' lert f-) Indx_rangc' left:::::::: J 0;
Veetl' right f-) Indx_range' rij!;ht:::::::: 0;
www.Beenvn.com - download sach mien phi
VectI' high B Indx_runge' high == 10;
Veetl' B Indx_ntnge' low == 0;
VeetI' range B Indx_rangc == 10 downto 0:
Veell' reverse_range B Indx_range == () to 10:
V eet l' leng;th -t 11.
Gic thu()c tfnh event stable chi co d6i vO"i e,le tin C[IC tbUl)e
tfnh 11;11' chi rtmg tren duo'ng tin dang xd c6 xu[it hin sV kin
hay gi,i tf! trell duong tin 6n djnh t<.li th()i diem hicn t'.ll. Trong
qua trlnh long hqp 1l1<.lCh, cae IIllle tinll nily thuang dll11g vO"i de
lenh wait ";1 lnh if,
Trong ngon ngiI VHDL con e6 mt so thuQc tinh ltuQ'e djnh nghla trudc
kh[lC. Ph[in 16n d.c Ihu9c Ifnh nllY duqc dung eho qUii trlnh \TIc. phung. Vi
nill!" d.c Ihu9c tfnh delayed, activc, behavior. structure, last_cwll.
l:lst acth..-c.
f. Cae nh(lI11
Cae I1hom kCI hqp mC)1 hO(lC nhicu gia tl"j vao 11l1 gi[l Ifj kCI hqp ella kicu
Im'tllg ho(1C kitu han ghi. Nh6m duqe dung de gun gi<\ Irj eho deli tU'Cfng kicLi
m:lIIg ho(1C b<.ln ghi khi kh6i t<.IO hOZlc trong de bieu th(J'e gan. Vie d.lnli chi
so de ph,l.n Ill' duQ'c d(1C t<1 thea t6n Illeo \'! Irf.
8(lc 1,\ Ihea len: sl! luang {fng giiJ'a c<le phan tll' clla nht'1l11 pilan Ilf
ella deli lu'(;mg gall dLfQC chi 1"0 thea ten ella tlrng ph{in Iii <I gi'l
tr! clb chung.
Vi dl,l,
t)pc Coloclist is ( Red. Orange, Blue, White ):
type Coiocarray is army (Color_lisl)
of I31T _VECTOR ( I down to () );
variablc X : Color3lTay;
X := ( Red => "00", Elue => "10", Orange => "01", Whit(.: => "II" ):
169
www.Beenvn.com - download sach mien phi
Dtle t,i thea vi tri : m6i philn tti n l l ~ n gi,\ tri ella cluing trong bicu thue
then trM tt!.
vr ell.). nhu tren tru phcp gan eu6i :
type CoIOl'_list is ( Red, Orange. nIue. White );
type Colocarray is array (CoJoclist)
of BlT_ VECTOR ( 1 downto 0);
variable X ; Coiocarray;
X ;= ( "00" . "10" , "0 I" , "11" );
K hi gan giii tf! eho nhom. ta e() the' kh6ng can thiet ( t ~ l t gia trj eho tAl dl
de ph:in tu ella nhom.
Vi dl,l.
subtype BV7 is I3IT _ VEcrOR ( 7 duwntu 0 );
variable X : BV7;
X:= ('0'. '0'. '0'. 'I'. 'I'. 'I'. 'I'. '1');
X '.= ( '0' . '0' . '0' . others => '1' );
X := llvT ( '0' '0' . '0' . others => 'I' ):
g, Cae hien thue djnh kieu
Oie bieu thuc djnh kicu la !lhiing bi2u thue hO(IC nh6m ciLlIlg de xac djnh
fa nilling tlnh tr'.U1g m ~ l p mo. Vi d!.J. nhu trong truong hQ'p hai beu lit k6 co
nhling gia tfj lit ke gi6ng nhau. CU phapcua bieu thCre dinh kieu nhu sau:
hi/If flll(e phili co kieu trung. vai fl'J/):.ii;'If.
170
VI dl,l. tmng truang hqp hai lit kc co nhOng gia tri giong nhau.
type Color{ is ( Red. Orange, Yellmv, Green, Blue. Purple);
type Color2 is ( Green, Black. White. Yellow);
variahle X: CaIOlI;
www.Beenvn.com - download sach mien phi
X ::::: Color!' ( Yellow);
Uia tri 'YeUO\v' dU(jc XdC djnh tuong minh la thut)c kicu Colorl.
Nhu v(ty phcp gall (r2n lit dung \'6i cu phap.
h. Phcp ehuycn dui kicu
Phep ehuycn u61 kicu cung dip phuong tin biC'n gia tq ella nht1ng
doi tU<;l'ng Ihw>e nhGng kieu e6 quan ehe voi nhau \'i dy nille kieu rca I
va kieu intej:!,cr. CU ph,ip ella bien doi kieu nhu "au:
VI tiy,
signal X: STD_LOGIC_ VELIOR ( downto 0);
signal Y: STD_ULOUIC YECrOR ( 3 down to 0):
Y <= STD_ULOGIC YECroR ( X ):
Cae e:lu true tmln
Trang ngon ngfr VIIDL, kie'n true Xil.c djnh eh6e nfmg ella timc the:.
Trong kicn true chua ph[in khai IXlo cae d.e I[n hi2u. cae htll1g. dc
thanh ph[in va de chlfo'ng trinh con. 111(.:0 sau phAn khili bao li\ eAc C{lll Irllc
tlu.re hin dong thoi. Cic eau true thlfe dong thai co the: iit de bi0u tbue
gall tin song song. de khoi \'a cae !<;nh kll{1i tao phien ban cUJ. Ihanh
phfin. Cae thy"e dong thai ket noi veti nhau hang nhG'ng I[n
Moi khoi ICnh tiwc dong thai trong mt)t kit'n true xac dinh In91
don yj t[nh toan baD gOIl1 de thao t,le tfnh toan nhu: dQe tin hiu \,ao. tlwc
de tfnh toan trcn cue lin do va gan nhnng gia Iri tfnh dUQ'C cho de
tin ra.
Trang ngon ngt1 VHDL, I1H?t cau true thy"e d6ng thoi II qua trlnh
( pruees.." ). Qua tdnh Iii. lTIt)t du true quan trQng dV(je su tlL.IIl); de m6 1:1
171
www.Beenvn.com - download sach mien phi
hill1h vi hOi..11 dong cua mi..LCh. Trong ITIQI kien lr(lc, 1[\1 ci dc qu,\ trlnh sl'
dW1C hin d6ng thai khi ma phung.
\11)1 qu,\ trlnh duC)'c xay dl!ng tll" nhl1ng cfiu Ink lu,"in IV - hay 6lJl Iii
c,le \nh tufin tlr Trong thoi gian ITIO phlmg, cac IU(1Il IV Irong ]lll)1 qll'.\
trinh sc ctuae tlll.rc hin \fill IUQ1 trong 1l1(1\ chu trinh VO \wn hM dfill Ill"
thCr nh:it (k"n 10:nh lhu /I va sau do vic thvc qua trlnh 1<.li quay In)" t.li
knh cti.lu. Vic Ilwc Il1Ql qua Irlnh trong qu,i trlnh m6 phlmg ngon
ngiJ VHDL hi dung h.li khi gZtp diu wait va duC)'c kfeh hoat I'-.Ii khi c() su
thay cit), tn.Lng thai eua it nh[it tnQt trong cac tin mCuTI Irong danh sitch
die till hiu I(IC d(mg.
Cac Inh ttHIn IV trang ngon ngCr VIIDL gum eo:
Gill \nh gan eho hien;
Gill Inh gan cho lin hiu;
Cau Inh iF;
Gill 11111 case;
Gill rcng null:
Cic Inh \'()ng \(tp.
1. Phcp gan bien
Trang ngon ngu: VIIDL, phep gan bien co lac \u"lmg II! phcp gan ().
cae ngon ngil" 1(lp Irlnh truyen thong. Phcp g .in bien IhleL \(\p g[,\ tr! m6"i cho
hilYn. Cu. ph<lp cua phep gan bien nhu sau:
bit';11 := hilll tllli't":
Ve" tnti eua phep gan phil! la bien da dUQ'c khai bilo tir truac. Ve ph,ii ella
phcp gan Ia bieu thuc. De phcp gan co the tlwc hin duqc, bieu thuc d \'C trai
va bien ave pil,ii clLa phep gan phai cung ki6u,
Klli mc)t bien dU"qc gan gia II'" phcp gan duqc Ihl:re hi11 vl:ii tl1(\i gLan rno
phimg bimg. khong. DieLl d6 e6 nghia IiI sl! Ihay do, gia tri cua bien ctuqc xu)'
ra ttk Iho-i ngay t:'.li thui diem rna ph6ng hin tai.
Cac hic'n chi dU"<;1c khai bao trong cae gu .. !. trlnh ho<:tc ChU0Jlg trlnh con va
duC)'c slr de Iuu tnl cac ket qua trung gian. Mt bien dU"q'c khai [)iio hl':n
trung m(ll qua tdnh hO(lc chuang trlnh con se ton bl) lrang qua trlnh
chuong lrlnh con do va kh6ng the dU"<;1C truy 16i Ilr cae truc :->ong
song kh .. "ic.
172
www.Beenvn.com - download sach mien phi
VI dV ph{;p gan bien,
suht."pe Intl6 is integcr rallj!;c 0 to 05635;
siglwl S1. S2; In116:
(iT: boolean:
pruccss (Sl, S2)
variable A, 13 : Int16:
COllstant C: Intlo;= 100;
bcgin
A + I:
B 52 *2 - C:
GT <= A > 13;
end pl'ocess;
2. Phep gall tin hieu
Trong ngon ngi::i' V HDL. tin hiu lA m9t clui tuVng d[\c bit. Ph{;p
gan tin dung de tbay doi gia tri eua tin hiu. Die tin hiu lu6n c1uo'C
dicn kc't hQ'p v6i dicn biC:n thui gian. Phcp gan tin hiu thay doi gi{\ II'! clla lin
tl(ang lrng thea thui gian va plw thu<)e vao de mo hlnh qua Irlllh Ire
Irong d.e phfin Ill' mi..leh. Khi tin hiu citlqe gan gia Ir!. gia Ir! m6i clo kh(ing
dWK g.an \"()'j 1111 m()\ deh IU."C Ih,1i mil. plliii sau 11191 thoi gian duq'c
Ijeh lrlnh trude trong Iho'i diel1l m6 ph6ng tiep then wang lWg v6i 1110 hlnh
tre. Phep gall lin eo eu ph{lp nhLf sau:
fJ/c"II_lfllk xJc djnh dlc gia tri gall. Kieu clla bu;'u_,IIII"c ph"li trung \'6'j
ella
IfuJi_Ulal/ iii. bieu thlIe C() kieu TIME.
Trang 1119t qu,i trinh, vic gan gia Iri clla thu'c cho tin sc throc
lam tre khi chu trinh mo ph6ng diUlg thlfc va dUQ"c kiem soit bo-i loan Ilr
wait. Chlmg ta hay xct VI ve phep gan tin trang dOi-.U1 chuC1ng I["[nh
sau:
www.Beenvn.com - download sach mien phi
proccss

end;
S 1 <::::: nut eLK after 30 ns ;
Sl <= DIn aftcr 0 ns ;
S3<=SllmdS2;
wait on eLK;
Trang doc.lrl chuang Irinh nay. Ihao tac g.ln kc'l qua c.:ua phcp tO<Ill "not eLK"
cho bien SI 'iC chI9c I1wc hi91l 30 ns tlnh IU tho'j Illt) phong hien lai.
Trong Call \nh Ihtt hai. thai gian tre clli.l phcp g<.in dU"(fc clio Ix\ng '0', khi do
nc gtlll gi,i Iri ella lin hiu DIn cho tin hiu S2 s0 du"(1C tln.!"c hili sau
khaang thi:1i gian delta tlnh III th(:ji diem m6 phc'mg t'.lI. Tm111g !L.r nlllr
v;ly. t!"ong ph..'p g.,in lIn hieu 1\111 ta. kct qu<.i ella phc'p tOiln IC)gic and \11lK
hin Ir0n cac gia tri hin tho'i cua lill hiu SI d S2 Sl: dlf0c gan cho tin hiu
Sl S,tU khming tho'j ginn delta tinh IV Ihui diem 1116 ph()ng hin Ih('ii. Khi
XU<lt hin st! tren dU'ung lin hiu eLK ( gi,i tri duang tin hi9u eLK
chuycn tLr '0' sang 'I' ), tat d. cac giaa <.tJ dU(Jc dillh lich trlnh Inf(k
thai di6m Xuflt slf kin sc dUQ"c tlwc hin b6i h0 m() ph()ng.
Khi tin hlU dl:qc gan gi,,\, Ir! trang qua trinh, phcp g{m s2 :.;.{tC dinh m6t
h<,1 dieu khien lin hill. Trang me)t qua trinh, I110t tIll chi co the' nl 1n01
clicll khien, dicu d6 co nghlu Ib. ben {rang lllQt qua trlnh tin chi e6
xu[it phat til me>1 ngu()ll. Neu tin dU<;1c gan gi{1 II"! trong nhicu qua tl"lnh
... h;lc !lhau, cllling ta 1161 l"t'ing tin c6 nhi6u dieu Trong ng{)n ngu'
VIIDL, lrong true\ng lWp m()t lin hiu c6 nhicu dicu .klllen, cae lJu),ct
duc.K xily dl;rng xac d!llh gia tri cae tin eo llhiC:u cilCLl khic;n
J"\hu' (1;1 de e<\p ltii Irong c!lll"ong ha, Irong !...c' m'lch. ChLlllg la
bai h<li d:'.l1lg thai gian In': khi ta dicu khien d.c Ihao t,IC Ircn Illl 11Iu thc()
Ihi\i gian: IhCii gian Ire qu,in tfnh \"it Ih('ii gi':'lIl Ir(: Ian Iru)-'"cn.
174
Thll'i gian quan 11nh (hwc the hin m[tC djnh lrung ng()n ngCr
VHDL. GlCt thi:1i gJan qU{ll1linh lit dt) dili gitii han dn Ihi(,'1 u'la
tin hicu tAc dong thiet c6 ph,'tn (rng vc1i sV xufit Ifn hiu
d[iu vao. Neu thai gian 16n I,.ti cua tin hiu dfiu \'ao khong \"lI01 qua
gij trj thl:fi gian In! qu;in tlnh thi lTIi..lch sc kh6ng phan lJng v()'i Ihay
dOl ella tin hiu. Th()ng s6 thai gian qu,in tinh dU'<;1c sU: dl;lllg lrang
www.Beenvn.com - download sach mien phi
quS trinh 1116 hinh hoa cac thiet bi so loal Irir xu[.t hi91l nhOng
xung 11hon \'.li dflU
Thdi gian tre Ian truycn Ja thai gian In'S xual hien khi tin hiu di qlla
ll1'.Kh.
TiI khoa transpOI't dV<,"ic dung lrong twang hQ"p thai gian 11\': lrong phcp
g<ln lu tho-i gian Ian Neu khong slr dl,lng tiI khoa transport trong
phcp gall tin hiu. thai gian tre se dVQ"c coi lil. thai gian tre qUiln tinh 1l1()\
each null d\llh. SLr dl,lllg tre quail I[nh Vll Ian truycn tao nen nhung
hiu Ling khi\c nhau len qUi} trlnh !TIO phong. Chung ta hay xct qua trlnh sau:
sij.!;nal S: BIT :::::: '0';
prol'l'sS
hegin
S <= ' I' after 5 ns ;
S <= '0' after 10 ns:
end process:
Trong c:tu Inh thCc nha!. he thong 1110 ph6ng se djnh I\ch lrillh cho ph':p g(ll1
tin hiu S gi,.l tri 'J' saL! 5 ns linh tir Ih(1i mo phong hin thai D6i \'(1i
cflu Inh thCr hai, h 1116 phcmg se djnh Ijeh trlnh eho phcp giil} gli.'l If! '0' eho
tin S sau 10 ns Ifnh tir thai m6 ph6ng hien thai. NhLf V(ly, du lnh
thCr hai sc xoa b6 kct qua ella phep gan thu nhAt bui VI gi<.1. Irj thai gian tre 1;:1
thoi gian tre qUiin tfnh. GIU Inh thlr nhat chi ra rtmg thai gim1 tre qu<.!n tinh
ella phcp gi.1.n 1;:1 5 ns, trong khi do cflu lnh tht! hai khil.ng djnb thai gian
qUol[\ tinh ella giin 10 ns.
I\'eu doi cl10 hai phcp gan trong ctO'.1I1 chuong trlnh tfen, ehllng la nh(lI1
dU\1C qUe! tdnh duoi day,
signal S: BIT:= '0':
)11'Ol'l'SS
begin
S <= '0' after [0 ns:
S <= '1' after 5 ns :
wait on ClK :
end process:
175
www.Beenvn.com - download sach mien phi
Trong trueillg hq'p n:t:', du ICnh g<in thu nhat se X,-lc dinh IDQI gan gia
tri '0' eho tin S sau 10 ns trnh tu thai di6m IDO ph6ng hit;'11 thl1'i con
phep giln th(i' hai ;.,e :de d!nh thao t<lC gan gia tr! '1' eho S sal! :) ns so \'l)i
tht'J"i (ticll1 hien Ih{ii. l\'hu khi h6 IDO phong htlt dUll thlfC hin, d.c giao
t,.i.e eua phcp g<.in thll hai c1U<,K t1wc hi9n Ihay eho cac giao tik ClW phcp g{1ll
thll" nhtit. Dictl do c6 nghl<l Iii, chi c6 phcp gan gia tr[ '1' cha tin hiu S tal
thl1i diem:) ns tfnh tit thai diem mo phong hin th0i se c1uQ'c hin.
Nc'u lrong dOi..lt1 clllwng lrinh lren. chung ta Ihay 1h0i gli.\n tn: qu<ill tfnh
bhng thoi gian tre Ian truyell nhu Irang cta'.H1 clllf(1ng trlnh duai day, k61 qu,i
thlle hin cua qua Irlnh siS thay dOl,
signal S: nIT:== '0';
process
hegin
S <:::: transport' l' after:) ns :
S <:::: tran!olport '0' after IOns;
wait on eLK:
end process;
Trong do . tIl chuang trlnh nay, vic gan gi,i tri '}' cho tIn h!u S se lilm
tre :'i ns tlnh tv 1h(1i diem m6 phong. Con phep gem tin hiu t11lt hai se t11f'm
m()t thaD tae m{1i cho dieu khien eua tin S. Dicu n:1Y co nghla LI '>C Cl)
hai thaD lac cluqc IhLJc hien: mot Ihao 1.le gall gi<.i Irj x,iy ra ,>au :) ns linh til
th0i diem md phong vii 1hao t,k gall thu hai se du:(}c hin sau 10 os linh
tLl" thoi diem 1116 ph('mg, Kct qUt'\ I:t lren du'ong tin hiu Sse XU[lt m()\
XUllg 'I' co ell) did:) ns th(1i dic'm:) ns Ilnh Itl" t!1('1i die'm hi1\ th('}j.
176
Trang VI tiL!- dang xct 6" In.':n. Chlll1g la d6i ch() hai phep g,in nhl! sau:
signal S: BIT :== '0':
process
hegin
S <== tnlllsport '0' after lOllS:
S <== transport' l' after 5 ns ;
wait on eLK;
end process:
www.Beenvn.com - download sach mien phi
Trang qua trll1h m6 phong. phcp gan thu nhat xac djnh thaa tac gan gia tri '0'
cha lin hi9U S sau 10 ns tfnh til Ihoi die:m m6 phung thai. Trang khi d6,
phcp gan Ihu hai se cha tin hiu S gia tr! 'I' sau 5 ns tfnh tu thai diem 1\10
phong hin thoi. Nhlf v(ly. Irong qua trlnh rn6 phong, dc thaa t,te thlfc
phcp gall tht.'f haL se de len de than lac clla phep gan thl1 nhat va qmt tdnh
tren se Wong t.'rng v6"i vic gall eho tin S gia trj 'I' sau 5 ns tinh tlr thai
diem rna phong thai.
Dc chi r6 W klul.c bi1 giiJa phep g,il1 tin phep gan bien. eh{lllg ta
hay xct vi til.l dOl.1Il chuong trlnh sau,
signal S 1. eLK: nIT ;
Main: process
begin
SI <='1';
SI <= '0';
wait until eLK'event and ClK = 'J';
end process Main;
Trong clll.rang tdnh nay. c;'le diu gan gill til 'I' va '0' cho tin hi"ll
SI dl.IC)"e d(lt tru6"c cau wait. Phcp gan Ihu hai sc bi) tlic dng clla
phep gan thl1 nhat va do d6 tin hiu SI se duqc gan gia '0' sau khi qua
trlnh mo phung th\.fc hin wait. Trong chuang trlnh tiep thea dU(1i
clay,
signal S1, S2: integer;
Main: process
begin
SI <= 5;
wait until CLK'event and ClK ='1';
SI <= Ill;
S2 <= SI;
wait until CLK'cvent and eLK = '1':
end process Main;
thaa tAc gan gili let '10' cha tin hiu SI duqc dinh tru6"e \'6"i thai glall Ire
delta tfnh Ilrthai diem ma phong hin Trong khi do phep gan gia trj hin
thai eua SI ( bang '5' ) cha tin hiu S2 dut;1e dinh tru6"e v6"i tbai gian Ire
177
www.Beenvn.com - download sach mien phi
delta tinh tir thai mO'phong hin t<,l.i. Nhu sau cau Inh wait thu
hai, cac tin hiu SI va S2 se dm;)'c gan gia tr! la '10' va '5' rnt each tuang
Lrl1g. do co nghia la tin hiu S2 se duCJc gia trt bang '5' - gia tTj
eua SI twoc khi phep gan "SI <= 10;" duCJc thlfc hin. Chung ta xct them
m(>t vi dl;! nua voi dO':ln chuang lrinh sau,
S2: integer;
Main: process
begin
variable VI: interger;
VI 10;

wait until CLK'event and eLK = 'I':
end process Main;
Trong vi dy nay, kili phep gan "VI := 10;" duCJc thyc hil1. gia tr, 10 se duqc
gan cho bien VI Int each tuc thai thai di6m rna ph6ng hin ".li. Sau d6
thao tac gan gia trj cua VI eha tin hiu S2 se dL1CJc djnh Ijch trlnh va sau khi
di.u Inh wait duCJc tht!c hin. tIn hiu S2 se duCJc gan gia tr! bang' 10'.
3. Cau if
Ci1u Jnh if t<;1o nen phfUl nh<.lnh (rang khi tlwc hin chuang trinh. Tuy
thea ket qua cua bicu thuc dieu ma co rnt so Inh kh6ng
colnh nao se duCJc thlfc hin. Cilu Inh if co cau truc cu phap nhu saU:
178
if < dicill_kin > then
{< ciiu)nlt_wclll_tl/ > J
! elsif < die'lf_ ki1I >then J
{< Ciill_fel11! _fudn tit> J
[else! < > J]
end if;
Trang do, < dill{ hell> Ii'!. bie:u (huc kitu boolean.
www.Beenvn.com - download sach mien phi
Twng ffi('ii nhanh eua loan tir if co chua m(lt nhi6u cau lnh tu,'ln
II!. Dau tien 'hue (hrqe 'fnh to,in, neu ke", qu,\ ellO gia trj true,
cae eflu Imin tl! narn sau IU khoa then sc dueye thl!e tue thai. Trong
truong hqp nguqe l'.ti, ne'u bicu thue dieu sau IU kh(ia elsif eho gia Irj
true. cae cau lnh luGn tl! sau lU khoa then tiep theo sc thlfC hin, ... vv.
Drn.m chuang trlnh du6i day su d1,lng cau lnh if rna t,-l phan tu AND vbi
hai dau vao:
signal Input 1, Input2. Output: STD _LOGIC:
And_process: process ( Input I, Input2 );
begin
jf Input 1 = '0' or Input2 = '0' then
Output <= '0';
elsif Input I = 'X' or Inpul2 = 'X' then
Output <= 'X';
else Output <= '1':
end if
end process;
4. Call Case
Crill lnh case duqe su trong truong heyp c6 tnQI bitu thue M kiem
,>o,it nhicu re nhanh lrong chu"0ng tdnh YHDL. Cae lUang I1ng voi t11Qt
1rong cae il!a ehQn sc dUQ'c thl!c hin n2'u bicu thue ki6m soli! co gi,j Irj bill1g
gia Ir! luang ung eua 11,l'a eh(:)I1. Cau Inh case co C<lu true eli phap nhu' sau:
case < hilll {llIrc > is
when < h/il_ch()I1 > =>
{< rdll_h'lIh IlIdn fl(>!
{ when < 1(/"il_ch()JI > =>
{< ('tlll_'fnh _WGII_fl/ >}
end case;
179
www.Beenvn.com - download sach mien phi
Trong d6,
< bit/II > sau khi tinh phai eo nguyen, kie:u ke hO{le mang m9t
ehicu de ky tl,l nhLl BIT VECfOR;
< ft/(l C/l(JII > III nhung bicu th(rc eo djnh ( vi dl,l nhLl hung so ) hO(lC
mOt khoang eo dinh;
moi gill trj trong mien xac djnh eua < bi("11 ,Mer> phJ.i ctuqc phu boi mOt va
chi ll1(lt < >;
< > euai eung eo the la others. Tu kh6a others lUang (rng v6i tAt
c,i eae trui:mg h9P eon eua < > trong mien xiie dinh ella hieu
th(re kicm soaL D6i voi cae < It/aJ!/(:m >, ngoll ngu VHDL dLl<l ra cac gi6i
sau:
180
< > phili e6 ellng kieu voi < >.
m6i gia trj clla < hi/II ,llIr( > ph"l.i dUge bicu dicn bang 1l19t va
chi mQt < >.
neu khong e6 h!a ehQn others thl mQi gia tri e6 the co dUQ"c ella
< > phii dUQ"e phu bai tat c.i dc khii nang e6 the C()
eua < h{a chol1 >.
Vi dl,l Slr dyng cau lnh case,
signal S1: integer range 0 to 7 ;
signal II, 12, D : BIT ;
process ( S 1, II, 12, 13 )
begin
case SI is
when 0 I 2 =>
OU <= '0';
when 1 =>
OU <= II ;
when 3 to 5 =>
OU <= 12;
when others =>
OU<=B;
end case;
end process seJeecproecss;
www.Beenvn.com - download sach mien phi
5. Cau rbng null
Clu lnh rang c6 eli rhap nhu SilU:
null;
Trang ngon ngU VHDL, khi chuang trinh InO ph6ng CflU lnh null,
no se he, qua lnh nay va thlfC hin tiep sau. Thong thuong. cau Inh
null dung chi truang hqp kh6ng thlfc Inh InQt eel.ch luang mLnh khL
co d.c dicu tn\. l<,li gia Ir! true. Do do, C,lU lnh null thLfong dW;K dlmg
trong dc cau case dni vdj nhung h.ra chQn kh6ng can thao taco
V'd1.:l.
variable Sel : integer range 0 to 31;
variable V : integer range 0 to 31;
ease Sel is
whenOtu 15=>
V SeI;
when uthers =>
nulL
end case;
6. Cae Inh v6ng
qp luop chua than vong baa g6m day de c1u lnh sc dU,!e
Ihl,l'C hi1l kh6ng nhieu hin. au loop c() quy It I< .l phap nhu SilU:
[< nhdn >:] l< sU_dd_/(1p >] loop
{ < /i'Il/1 Wan tlf >} I
. - -'
{next [< !l1J(11I > ] [","hen < diell_ ki{'JI >]; } I
I exit [< 111/(/11 >] [when < die'lI_ ki{;/1 >];}
end loop [l1l1all ];
< 1111(111 >: nhii.n ella vong Ii).p va thuang dUQ'e dung de xay dl,l'r1g
nhiing \'ong 16ng nhau. trong 1.16 mOL vang ducyc ket Ihue
b6'i tu khoa end loop;
181
www.Beenvn.com - download sach mien phi
< sa. dr)' ItJp >: trong ngon ngu VHDL co m9t so vong
vai cae sa do khae nhau nhl1: vang v6i sa d6 for, vong
while, \'a vong khong ehua sa do
Vai nhiJng vong h}p kh6ng chua < S(I do l<lp >, cae lnh trong day
tu[in 1If sc du<,1c thuc hin cho toi khi duq'c ngit bbi cau exit. Trong
ng6n ngu VHDL cau lnh next eung c6 th:: duqc dung de thay obi tdnh tt!
than ella vong (giong cau lnh continue trong ng6n ngu C).
Vi dl;!,
Count_down: process
variable Min, Sec : integer range 0 to 60;
begin
LI : loop
L2: loop
exit L2 when ( Sec :::; 0 ) ;
wait until CLK'event and CLK = 'I ';
Sec := Sec - I;
end loop L2;
exit L 1 when ( Min:::; 0 );
Min:= Min -1;
Sec := 60;
end loop Ll;
end process Count_down;
Yang chua < sd_dr)'_I(/p > for la mt di.wg khac cua vong
Yang l(lp for la du Inh tuan It.! nam trong qua tdnh process, va cho phep
Ihan cua \'ong tht!c hin theo so luqng xae djnh cae Ian l(lp. V f dl:\,
for I in 1 to 10 loop
Lsquare ( I) ::::; I * I;
end loop;
Bien dicu khd::n \'ong 1 kh6ng ctin thiet ph,ii khai hao tnrac va kh6ng the
dl1q'C gan gia trj trong th[\I1 vong Trang nhung tru0ng hqp de chi ra dmg
bien khoang lui. chung ta co the si'r dl;!ng tlr khoa downto. Vi dL.1.
182
www.Beenvn.com - download sach mien phi
for I in X downto Y loop
Csquare ( I ) I * I;
end loop;
SCI do ",'hile la sa do trong do qua trlnh dllQ'C thlfe hien neu
bieu thuc dieu kin nh(tn gia tr! true. Vang sc dung li,li khi gi.i tr! ella
bitiu thuc dicu kin trO' thanh false hoi!.c qua trinh th,!C hin than vong
lnh exit. Cling wang tl,C nhu vong for, cau lnh next cung co
dllQ'c dung M thay doi 11,C VI dl:l.
process
variable A, B. C, D: integer;
begin
while ( ( A + B ) > ( C + D ) ) loop

C + B;
next when (B < 10);
B - D;
end loop:
end process:
86i v6i cac vong trong cac nhanh chua phep gan tin hiu phai co it
nhat lTI(lt du lnh wait. Neu khong th6a man (lieu nay, qua trinh mll
ph6ng c6 the: khac tli. Chung ta hay xct vi dl:l dm.l11 cJ1llCfIlg trlnh sau,
signal S: integer range 0 to 10;
process
variable I: integer 0 to 10;
begin
wait until ( CLK'event and CLi\ = '0' );
0;
while ( I < \0 ) loop
S I;

end loop;
end process;
lR3
www.Beenvn.com - download sach mien phi
Trang vi dl,l nay, tin hiu S duqe 10 Ian trong vang l(tp. Hanh
vi se khong duqe b(> mo phung hin. Tuy nhien, cae ket 4u;i tue thoi
co the dUQ'C thi lrang qua Idnh !TI6 phung ke't qua tdng hqp mi..leh.
7. Call next
Lnh next chi dung trong cae vong Lnh nay co tae
bo thl!e hin uk c;.tU lc;nh nam giUa eau next va cuoi vong
h)p khi dieu kin lrong can lnh duqc nghim dung ( tuang tl.r lnh
continue trong ngon ngfr C ). Lnh next co eftU truc cu phap nhu Si.lU,
next [< nhiin _w)ng_/(lp >][ when < di/I1_ kin > L
Trang twang hqp co dc Yang lOng nhau thl vic th!!c lnh
next sc duqc xac mQt cach tuong rninh htmg < nhilll_\"(Jng_'{/jJ >.
Neu khong c6 nhLin vlmg trong c<tU lnh. lc;nh next se lac dy.ng len
vong trong cling chua lnh next. Vf dl!,
L I : while I < 10 loup
L2: while J < 10 loop
next Ll when I = .I;
end loop L2;
end loop L1;
8. Cau exit
Cau lnh exit co tht duqc dung ben trang cae \'oog "JP. CflU Jnh nay e6
t[le dl,lng b6 4ua cae lnh con !i..li cua vong l(tp va th!!c ngay Jnh tiep
sau vong vua ket thuc. Lnh exit e6 clu true Cll phap nhu sau,
exit [< J/J/(JI1_l'img_l(ip >][ when < Ji["u_ ki(;/1 >];
9. Call wait
Lnh wait dieu khien bl) 1116 phong ngilng vie tlwc hin cae qUi.i trlnh
hO(lc cae chuffilg I["lnh con eho 16i khi dicu ben trang cau duCjc
184
www.Beenvn.com - download sach mien phi
dung. Ta co the noi dlng dieu trong diu wait chi co the
duqc dung khi XU[lt cae sl! tren dl1ang tin Nhu
Ulc doi tl1qng tham gia trong trung dieu kin phui HI cae tin hicu. etc
dieu kiGI1 de wc qua trinh bt dung co the duqc bicu thj duai ba d'-.mg sau
day trung ngon ngQ VHDL:
wait
[on < {(ill {/II 111('/1 > \' < {ell fill IIh'JI > \ J
- - . - - .
l until < hilill tM(c fo,<ic >]
- - <
[for < bi/lI_flufc_tllrfi_MiulI >1;
Gill lnh wait on: chi cho chung 1a danh stich dc duang tin hiu
ma b(l mo phong sc cila sl! ( sl! lhay d6i thai cae lill
hiu ). Vi dl.l, doi voi cau lnh
wait on A.B;
qua trinh rna ph6ng sc dUng l<;li eho den khi c6 xuat sl!
tren dUOng tin A, hO(lc B. Sau do b(l rna ph6ng se tiep Il,lC
thl!c hin C[IU lnh dung sau \nh wait.
Cfm wait until sc dil'tlg vic thl!c hin qu,i tdnh cho tai khi
logic gill trj truc. GIU wait Im.li nay se ra
mQt danh saeh ng,im dinh dc tin hi9U lac dOng trong bitu lh(rc
logic. Moi kili co h[it ky mQt Sl! xu[i't hin tren duang tin
hiu trong danh sach bieu lillIe 16gic se dm.K tlnh. Trong
twang hgp lnh wait until khong chua bieu thuc l6gic, chung ta
hieu rtlllg cau se la wait until truc. Vi dl,l Slr dl,lllg lnh wait
until,
wait until x < 10;
qua t["lnll tlwc hin se dung cho tai khi x < 10.
Cau lnh wait for se dung rn6 ph6ng qUit trlnh m()t thai gian
bAng gia tri thai gi,m duqc chi djnh ben trong dieu Sau
khoang thai giun duqc chi dinh, h9 rno phong thl!c hin Inh tic'p
theo sau lnh wait. Neu bitu thue thai gian khong co, chung ta
hitu ding lnh wait c6 y nghia nhu sau:
wait for time'high;
185
www.Beenvn.com - download sach mien phi
dieu nay co nghIa HI. chung ta kh6ng co chi dinh wang minh ve
thoi gian eho, Vi dl,l ve Slr dl,lng cau Inh wait for,
wait for IOns;
Cac hla chQn tren co th6 duqc Slr dyng dong thai. nhu tcong vi dy
duui day:
wait on A, B until ( x < 10 ) for 10 ns;
diell nay co nghIa la b m6 phong se eho khi c6 thay doi tin hill
A hO(lC B va se tiep tyc chi khi ( x < 10 ) t(!.i thoi xufit hin
sy' kin, ho<!.c eho toi khi 10 ns da troi qua.
Trong qua tclnh m6 hinh hoa Im,lch, lnh wait co tht' dtrqc dung t1e thief
J(tp dong ho cho che d dong b(>, Trong cac rna hinh thiet k6 bang ngan ngfr
VHDL. Joh wait ogling qua tdnh thl!C cho lui xuat hin suiYn dutmg
suiJn am lren duemg tin hiu. Vi dy, khi rna hinh hoa phan tir triga D
lam vic trang che d dong b thea suan duong, chung ta co the' nh(tn thrqc
dm,lI1 chuong tdnh VHDL nhu sau.
entity D_FF is
port (eLK: in BIT; D: in BIT; Q: bulTer BIT);
end D_FF;
architecture Behavior of D_FF is
begin
process
wait until CLK'evcnt and CLK = ' 1 ';

end process;
end Behavior;
10. Phcp gl)i chuang trinh con va return
Trong ngan ngiJ VHDL co hai chuang trinh con:
186
www.Beenvn.com - download sach mien phi
Thu tl,lc: procedure co tni.l:;ti nhieu gia trj:
Ha111: function chi tnt mQt gia trj va co th6 tham gia vao cae
bi{;u thue.
Cau lnh return dung de ket thlic hO<;l.1 dQng eua cae clllrtmg tdnh can va
chi duqe Slr dl.mg trang thu tL,lc. D6i voj ham, Sl.1 co eua
return la bal bu()e con trong thu tL,lC thl khong bat huge. Lnh return co diu
truc eu phap Bhu sau:
6.6. Cac call true song song
Trong ngon ngu VHDL, 1119t kicn true c6 the ehua mt nhieu ci.k
eau true song song. Moi eau trlie song song xae dinh Jon vi tinh toan
baa gom cae thaa tae JQe tin hiu, thl,l'e hitl eae tinh tmin cae gia tin
va gan nhung gia Iri tlnh dLIqc eho tin ra. Cac cau true song song
xae dinh cae thanh philn va cae qua tdoh lien ket nhung thilnh phan d6 bttng
nhilng cau true va hanh vi eua cae thl;Ic the. Cie diu true song song se duqe
thl;Ie dong thai trong qua trlnh mo phong khong pJW thU(lC VtlO Ir(l\ II!
xuat hin eua chung Irong kien true.
Trong ngon ngu YHDL e6 cae eau true song song sau:
Qua trlnh process;
Cae phcp grin tin hiu song song;
Phcp gan tin hiu eo dieu
Phcp gan tin hiu eo Jl!a eh(:m;
Khoi;
Phep gQi chuang tdnh con song song.
1. Cac qua trinh process
Qua trlnh tinh loan process duqe 1<).0 thanh tll m()1 li!-p hqp cae cuu lnh
tufin II!. Tal d cae qua trlnh process Iml1g 111(H Ihicl ke duqe Illlfc hin m91
each song song. Tuy t;:ti 1119t thai diem xae djnh chi co 1111 cau Inh
187
www.Beenvn.com - download sach mien phi
tuAn tt! dUQ"c tlwc hin trong moi qua trlnh process. M<.)I quit tflnh process
lien kct voi phan eon h.ll eua thlet ke' thong qua cae thao tac dc:)C ciie giil trj tit
dc tin hiu d:iu \'iI0, cUc c6ng dU"9"e khai bao ngoili qUii lrlnh ho(1C ghi gia tri
vito eac tin hiu, cong 06. Mt qua trlnh t[nh toan process dUQ"c rn6 Iii theo
quy tac cu phiip sau:
[< 11/1(/11 >:] proccss [ donI! sdch ctic tin {(Ie J()J/g >))
1< pll(lllJlwi_lhlo > I
bq!;in
1< /11111 _tllclll_/l/ > J
end process [< I1hiln >];
< pll(ln Jlwi _btio > oinh nghia cac doi tlIQ"ng ton {<.Ii el,le b6 Hung
process ban gom:
- Cac khai ban bien, khai baa hang, khai baa kitu, kieu eon;
- Than chvang trlnh can, khai baa cae danh. use.
Neu qua trinh chua < > thi ILk 06
qua trinh nay se tuang ttf nhv qua trinh kh6ng chua danh sueh tin hiu
tac dng nhung li;li chua lnh wait a tri cau Inh cuoi cling trong qua
trlnh:
wait on < dal1it _"'rlch _ c(/c_till_hill_t(lc_d()/lg >;
Nhung qua trinh d6 kh6ng can c6 sl! xuat hin tuang minh eua Inh
wait.
Vic thvc hin mqt qua trlnh proccss baa g6m vic thtfc hin h.ll dc
c[iu true wan ttf eilIIa ben trang than qua trinh. Sau khi c,lu lnh tu,1n ttf cu6i
cling dugc tht!c \'ic md ph6ng qua trlnh se dUQ'c bAt dau I\li tll C[IU lnh
twin tl,f dau tien eua qua trlnh. Dieu nay lam cha 1116 ph6ng hm.it d(mg
cua qua trlnh giong nhll Ill')t vong Iij.p vo hi..1ll baa g()m tat d cac du lnh
tWIn tV bcn trong qua trinh. Vie thtfc m6 phong qua trinh process e6
the bi dung Ii.li bang Cil.U lnh wait va co th6 dl1gc kich ho;;tlI;;ti khi xu[it hin
sl! kin trcn cac duang tin trong danh such tIn hiu hic ong. Chung ta
hay xet vi dl,l mb r,lnh hoa b') ma hoa Qua trlnh bi6u oien b ma hoa
nay se c6 danh sach tin tac dng g6m cae tin hiu y I, y2, y3, y4, y5,
y6, y7, Dicu nay eGng lUang duang voi m<.)t qua trl11h khong c6 oanh sach
tfn tac dllg nhung chua cau lnh:
188
www.Beenvn.com - download sach mien phi
wait on y I. y2, )'3, y4, yS. y6, y7;
t<.li V! trl cftu Inh cuoi cimg trang 4U;'\' trlnh. BQ ma haa 8 -> 3 duqc 111(1 t,'l
bang dm.1l1 ehu'ong trlnh VHDL duai day:
entity Encoder is
port (yl. y2, y3. y4, yS, y6, y7: in BIT;
Vec: out BI1''-VECTOR ( 2 downto 0));
('nd Encoder;
architecture Behavior of Encoder is
hq!;in
process( y I, y2, y3, y4. y5. y6. )'7)
begin
if( y7 '1') then Vee "III";
clsif"( y6 ) then Vee "110":
elsif ( y5 = '1' ) then V cc <= " JO 1 ";
elsif ( y4 = '1' ) then Vee <= "100";
elsif( y3 = '1') then Vec <= "all ";
elsif( y2 = 'I') then Vee <= "010";
elsif( )'"1 = 'I') then Vee <= "001";
else Vee <= "000";
end if;
end prol'css;
end Bcha\'ior;
Chung ta xct 111Qt VI ve 51! tuang tac giua hai qua trinh. d dfly chung ta co
Il1Qt qua trtnh gt'ri thong tin va qm!. tr"inh thu hai nh(lll thong tin. Qm\. trlnh glfi
thdng lin co danh soiell tin hiu tae dQng g6m hai tin hiu CLK Ack. Qu.i
trlnh nh(Ul e6 hai tin hiu trong danh sach tin hiu lac dC)ng eLK Ready.
Hai qua trinh nilY dong b(l hoa dQng cua chung bAng lnh Ack vit
Ready. chlIang trlnh VHDL dlIai day m6 ts. hm.H dQng ella hal qua
Irlnh n6i tren.
11-)9
www.Beenvn.com - download sach mien phi
190
entity HandShake is
port( eLK : in IllT:
DIn : in integer;
DOue out );
end IlandShakc;
Data in
Process
A
-
Ready
Data
Process
out
-

B
ACK
- --
HLnh 6.12. },if) hlnh tLrOng tac gWa hai qua tdnh proc('ss.
architecture Protocol uf HandShake is
signal Ready. Ack: nIT;
signal Data: integer;
begin
Send: process
begin
Ready <;::::' I';
Data <::::: DIn;
wait until CLK'cvent and CLK ::::: 'I' and Ack ::::: '1';
Readv <== '0'
. .
w'lit until CLK'event and CLK == '1' mul Ack == '0';
end process Send;
Receive: prucess
begin
Ack '0';
wait until CLK'evcnt and CLK == '\' and Re:'ldy == '\ ';
DOut <= Data;
Ack<=='J';
wait until eLK'event and CLK == 'I' and Ready = '0';
end process Receive;
end Protocol;
www.Beenvn.com - download sach mien phi
2. Cae phep gall tin song song
M9t ch.mg khae ella phcp gan tin hiu trang ngon ngu VHDL lu phep g)n lIn
hiu song song. Phcp gan nay dm,1C sir Jl,lng ben d,e process v(\ ben
trong cae kien true, D',mg dan gian nh:ft ella phcp g,-ln tin "ong song: eo
eau true ell pil{lp nill]' sau:
trong d6, < till_hrt(II_Jicl! >la tin hiu nh*,n gii tri eua < bie"lI_tJI/(C >. Cling
gi6ng nhu truang hCfP phep gan tin hiu luan tl.!. after se duge b() tcing
ll0p h6 qua.
Phep gan tfn hiu song song tlJang chrong vui m()t qua trlnh process d1lra
phep gan tin Chung ta hay xct vi dl,l v6i hai phcp gan tin hiu song
song trong !nt;it kiei1 true.
Vi
architecture Description I of Example is
signal II, 12, 13, 14, And_Out, Or_Out: I31T;
begin
And_Out <= II and 12 and 13 and 14:
Or_Out <= II or 12 or I3 or 14;
end Deseriptionl:
Uoan chu'ong tdnh nay tu'ong duong vai cillrong trinh VHDL vai cae
process chua de phip gan tin hiu tuUn tI! sau,
architecture Description2 of Example is
hegin
signalll, 12, 13, 14, And_Out, OcOut: mT;
,
proccss( II. 12. 13. 14 )
begin
And_Out <= II and 12 and 13 and 14;
end process;
191
www.Beenvn.com - download sach mien phi
proccss( II. 12. 13. 14 )
begin
Or_Out <= I I or 12 or I3 or 14:
end process;
end Description2;
Dm.ln ehu'o"ng trlllh lr2n cOng lU(l'ng ctuang yO'i do'!n chuang tdnh citr6i day,
trong do cae qua lrinh kh6ng co danh sach d.c tin hiu tiic d(mg
nhung chua cac Icnh wait.
architecture Description3 of Example is
signal 11, 12, 13, 14. And_Out. Or_Out: BIT;
process

And_Out <== Il and 12 and I3 and 14;
wait on ll. 12. 13. 14:
end process;
process
begin
Or_Out <== 11 or 12 or 13 or 14:
wait on II. 12, 13, 14;
end procl'ss;
end Description3;
3. Phcp gao tin co dieu
Phep gan tIll hiu co dieu kil1 ia cUll Inh song song thlle hi11 phcp g,ill
gi{1 If! eua cae hicu Ihlrc rho ll1t tin hiu dich IUY then ni.l' dieu ki11 ra.
Trong cac bieu thtl:: cua gan. ngo;;ti tri:r hieu thlrc elloi cung, nhi1ng bieu
Ihue khae c1U9\: di kl:i11 \'(1i dieu g{m. Khi InQI nao do gia
Irj bang true, bicu Ihue IHong Crng \'(1i dieu sc duqe h!a cl19n va kct qua
eLla \'ie Ifnh bieu IlllJ'c sc Juqe gan eho tin dfeh. T;:.li 1l1()1 thoi diem
th.oi gian, chi co tn(ll thli'e duqc 'jl( dwng eho phep g,in. Phep g,in tfn
hiu co dieu dU'{;Jc m6 t,i theo quy t{1C ell pluip sau:
192
www.Beenvn.com - download sach mien phi
< till hi;!!/ dieli > <:::: {< hie"11 tluce >lafter < [Jlilt tilde fluli gum >1
--'-- - ----
when < > else f
< billl_fJl/rc>[after < hilH_tlJlCc_th(h_gwll >j:
MQi phep gan tin c6 dieu tuonE: ducmg vOl mQt qua tr1nh chda
lnh if. chuang trinh duai day cho ta vi dl,l \'6 slJ luang duang do.
Vi dl.l,
architecture Description 1 of Example is
signal A, B, X, Z; BIT:
Z A when (X> 10) else
Bwhen (X> 5 ) else
c:
end Description\:
chuling trinh nay se wong duong voi qua trinh process sau:
architecture Description2 of Example is
signal A, B, X, Z ; BIT:
constant C: BIT::::: '0' ;
process ( A, B, X )
begin
if ( X > 10 ) then
A:
elsif ( X> 5 ) then
:
else Z<;:::::C;
end if
end process;
end Description2;
4. Phcp gan tin hiu theo h,l'a ch;ln
Phep gan tfn hiu theo tlJa ehQn tlll,IC phcp gan eho mot tin hiu dich
193
www.Beenvn.com - download sach mien phi
\"O'i biC:u thuc with. Gla tri eua bieu (huc Ilfa ch911 nam sau III kh6a with
(ILr(K Slt dyng gi6ng nhu lnh case. Phep gan chrqc thy-c hin moi khi c6 xual
sy- kin hun thay doi gia tft clla bieu thuc ll!<l chqn. eu phap cua di.u
lenh dUCK bi6u dien nhu sau:
with < hiilll_,lute It/a chqn > select
< 'il1 __ > <= 1< hi/ll_flllte >[after < hi(;lf tlllt(_,h/fl_gWIl >J
< gitl_tr!)l/u Jh(J1l > .. J
< hi/l/_tllli"c >[after < hi/If_tllll"c _tl/{}j_giall > 1
whcn < gui frI h(a _( 11011 >:
Phcp gan tin hiu then chQn luang ductrlg "oi In'>l qua trlnb process
chCra crlU lenh casco Vi dy, phep gi.ln tin hiu theo Jl!a chQn sau day se luang
dUO'llg HJi m()l qUi.l tdnh process chua Jnh case,
with Sel select
Z <= A when 0 I 1 12,
I3 when 3 to JOO,
C wlwil others:
Cil\l In:lc cua prucess luang dUring:
194
pro.::css( Sci. A, B, C )
begin
case Sd is
when 0 11 12 =>
Z<=A:
when 3 to 100 =>
Z <= Il :
when others
Z<=C:
end case;
end process;
www.Beenvn.com - download sach mien phi
5. Kh6i
Khoi bao g6m mt hl.fP cae cau lnh song song. Mt kic"n true co the
duqe phun tach thanh m(}t so d.c cau truc logic. Moi kh6i hieu dicn m(lt
thanh phi.ln cua mo hlnh va thuang duqe Slr dl;L g de t6 ehue l11t hqp cae
cAu true song song philn cap. Khoi (hrQ'c bieu dicn theo 'luy Ute cu phap sau,
< /li/(711 >: block
{< phJ'n kiwi hao > J
begin
I < call /illl! SOli!.; S(lli Ii> }
-' _ '- <
end block l < IIhdll > J:
< phdl1_khai_bao > x<ic dinh cae doi tut;mg ton t<).i el,1e bl) trong kh6i va co
the co cae d.,lIlg sau:
Khai baa hang, kieu, kieu can va tin hieu:
- Thfm chuang trinh con;
Khai baa cae oanh;
Khai baa cac' thanh philn;
usc.
Trinh tl! eua moi < cclll-'l1hJong_sollg > trang khoi khong quan tn,mg
bai vi d.e call lnh luon dUQ'e kfeh ho,:,-1. Cae < Cdll-'lIh_sOllg_SOflg > luon
thong tin thong qua de tin hiu. Cac doi tuqng oU'Q'c khai bilo trong
1119t kh6i block Ihi xae d,nh trang toIm be> kh6i, bao gam d. cae khoi con.
Neu trong n1t khoi con co khai baa ffit doi tuqng trung ten voi m! doi
tuqng 6 khai bao n{), khi d6 khai baa eua doi tuqng 6 kh6i con sc ehe hip doi
tuqng a khoi ben ngoai. Chung fa hay xet lTIt vi dl,l,
architecture Behavior or Example is
signal Ou 1: integer;
signal Ou2: BIT:
begin
Bl : block
signal S : integer;
195
www.Beenvn.com - download sach mien phi
end Behavior;
begin
Oul<:::S;
end block HI;
B2: block
begin
Ou2 <= S;
end block il2;
6. Goi chu(fng tdnh con song song
Phep goi ChL1mlg lrlnh con song song luang dL1mlg vai cae qua t([nh
process bao gam dc phep gQi chuang trlnh con luan II.! tL1ang ung. Mbi
phcp gl)i chw . .mg Idnh con song song tL1ang dumlg vai m(ll qua Idnh process
khong chua day danh sach de tin hiu tac d(mg, phin khai hao rang va ph all
(him chua mQI phep gQi chuang tdnh con, tiep thea la m!?t cau wait.
6.7. C:'tc chu'ang trlnh con va de goi chuang tdnh
1. Cac cilll'ong trlllh cun
Trong ngon ngil VHDL co hai di..lOg chuang tdnh con Hi procedure va
function. Cac chuang trinh con co the duqe Slr dl,lIlg t<;li mQi vj tri trong mo
la VHDL Cae goi chuang uinh xac dinh ten cua mQi d6i tUQ'l1g co the dUQ'C
chia se giila cae thl!c Ih6.
196
Ok Ihu Il;lc procedure se dU0e gQi ue'n nhu mQI Call lnh \'J. co
tnt li;li gia trio MOt thu t!,lc procedure dUQ'c thay
doi gi<i tri cac d6i tUQ'i1g wang ung v6'i cae tham so hinh thCre ella
procedure. Nhu cae tham so eua mQt thu tllc procedure co
co d,c d<J.ng in, out, va inout.
- Cac function se dUQ'e sir d!,lng nhu mOl bieu thu:c va chi
duqe phcp tra l<J.i duy nhat mQt gia trj. Ham function Ihubllg
www.Beenvn.com - download sach mien phi
dU<;ic Slr dl,lOg de tht!c hin tfoh toao treil cae gia tri eua th,\lli Sl)
va kh6ng c6 dich lhay dbi gia tfj cua cac doi tuqng duvc g:m
ket voi tharn so. Do d6 cac tham so cua phai co di.\llg in
thuQc ohorn cae tin hiGu signal hang constant. Doi vdi hfun
function, chung ta ph,ii 016 tit kidu eua gia trj tra l';li.
M()t m6 tii. chuang trinh con dUQ"c phan chia thanh hai phau: pb:in khai
bao chuang trinh con va than cua chuang tflnh con. Phan khai 0,10 duu ra cae
th6ng tin ve giao cua chuong trinh can ( co nghia 1a cae dAu vao va dau
ra cua chuang trinh con) va phan thfm chuang lrinh con 016 ta cae chlrc
nang cua chuang tlnh con.
Phan khai baa chuang trlnh con duqc rn6 ta theo quy tfic cu ph,ip sau:
< kllUi_/J(j() (""wIng trillh (on >:::::::::
- - -
procedure < Ihl_flnl_/lIe >< danh __ wicft _fhum Jr'/ > I
funtion < fCIl ham >< danil sacll filUm s{y' >
- - -
return < kiAI_gici_fILtnl_f9i > :
< dallh __ widl_,lwm .wf> ::::::::: lclass] < dmlh __ W1ch_thl >
lmode] < kiell >[:::::::: < biel'_tll/it > J:
trong d6,
nham class: constant, Yariable, signal;
d<:tng mode: in, out, inout.
Neu kh6ng dugc chi ro, tham so se duqc COL ding c6 mode 1a in mt
each djnh. Cung tuong tt! nhU tham so co in se c6 class la
hang constant lTIt each djnh va tuang ullg cua out vii inout Ia
bie'n variable_ Vi dJ} duai day eho chung ta thay khai bao cua chuang tdoh
con,
Vi dl,l,
funtion Increrncntef ( Count: integer) return intf'gcr:
Philn than eua ehU'ong tdoh con dugc rn6 til. theo quy tac eli phap sau:
< klwi_bao _ chu(f!1s_trillh_ con> is
1< phdfl_khai_hao JIIU JllI(cf/1g_tllnh_con > I
197
www.Beenvn.com - download sach mien phi
begin
{< lnh_tl/(ll1_W >}
end [< ten JhJ((/!1ti_fn'llhJol1 >1;
Vi dy sau day eho fa thay ma tti ella th,1n chuang trlnh con. Chu y rang. khi
g9i ham function, phcp gqi ham se b! ng.it khi thl!c den cflu
return. Cau return xac dinh gia tfi tra l<;ti cho phep g9i chuang Trinh
can. Vi ely,
Funtion lncrementer ( Count: integer) return integer is
variable Temp: intcger ;
bcgin
if ( Count >= 255 ) then
Temp :=0;
else
Temp := Count + 1 ;
end ir;
return ( Tcmp );
end Incrementer;
Khi g9i chuang Trinh can, cac doi luqng thl!c te wang u-ng voi tham so
hlnh thue lop variable pMi la eac hien; wang u-ng voi lop constant phai la
hang so bieu thuc va tu{mg ung voi lOp signal phai Iil tin Ok
hang so va bien duq'c thea gia tr!, con tin duq'c thea dja
chi. Do do doi voi lOp doi tlIq'ng tin thl mQi tae dng len tham so truyen
vao than chuang Trinh can cling chinh Iii tac dng (fI!C tiep len tin dUQ'c
truyen vao. Chung ta hay xet vi d1,l chuang Trinh con sau:
198
Vi
procedure Send ( signal CLK: BIT ; Data: integer;
begin
signal Ack: BIT; signal Ready: out BIT;
signal Wire: out integer) is
wait until ( CLK'event and CLK = '1' and Ack = '1" );
wire <= Data;
Ready <= '1 ';
www.Beenvn.com - download sach mien phi
wait until (elK'evcnt and eLK = 'I' and Ack ):
Ready <= '0';
cnd Send;
2. Cae ham quyet
Cluing ta bittt d.ng, moi tIn hit?u deu XUilt piull ttl mt)1 n,gu(lIl. ,'\l6i each
kiuic la moi lill c6 mc)t Trong truang ILl/p. VI dl,! nlm
khi cae duang tIn hiu bi ch(lp tin hiu eli ra kh6i nut du'<,1c
hqp ttl cae tin hiu di nut theo m(H xac dinh. Trang trliang hqp nilY,
chung la noi rang 1111 hiu di fa khoi nUt co ditu khi6n. Ng.6n I1gfr
YHDL cho chung ta c6 xic dinh ca.c lin xuii! phat Ill' nhieu
nguon neu su- dl,log nhung ham quyel djnh. Nhung ham quyct dinh nilY dung
de xic dinh gia tI"! cua duo-ng tin hiu tll nhilng gia trj duqc III
nguon dicu khi6n. Y i dl,!,
Qua trinh i
Tin hieu
Ham quyet dinh
Tin hll3U
( Q""ieh k
--------
Qua trinh j
Tin hieu
Tin hi$u
lIinh 6.13. Sd do hallh vi clla cae qua trlnh sinh ra tin hieu co nhicu dieu khie'n.
Trong vi dy. nay, d. hai qua trlnh process i va j cung
mt)t tin hiu, do do chung ta can c6 ham quyet dinh dt xae djnh giri tr! cho
tfn hiu dlI,!C.
Doi voi qua Idnh mo phong, cae ham guyet dinh (.;0.) the ,,' mQi ham bao
gum cae cbuang trlnh viel tren ngon ngil YHDL. Trang nhLrng thtJc.
ket qua el\a cae ham quyet la lien ket cae tin hiu va cung cho qua lTIt
phan tu logic bit c6 mt dau Ca. Trang ky chi co mt so gi{ji hqn
199
www.Beenvn.com - download sach mien phi
cae Trong cae phall eUng chi c6 mQt vai thl,Ic hin cae ham quyct r.1jnh
nhl1 lien ket Or, lien ket And lien ket ba thii
( hinh 6.14).
Lien ket Or Lien ket And Sa thai
Uinh 6.14. Cac bieu (lien phan tung Clla de hinn quyct d!nh: harn quyc't dinh d,!-ng Or.
dang And viI ba trang IIia1.
Cac tin hiu dugc cac ham quyet dinh ra neu khai bao cua tin
chua d cac ham quyet dinh ho<!-c khai bao can eua tin chua
quyet djnh. Vi dy,
signal NODE: WIRE_AND BIT:
subtype RESOLVED_STD is WIRE_OR STD_ULOGIC:
Trang vi dy nay, khai bao thu nh[\t cho chung ta lhay tin hiu NODE la tin
dugc xae dinh \'6i ham quyct dinh la WIRE_AND. Moi khi xual
sl,I tren duang tin hiu NODE, ham WIRE_AND duqc gQi va tnt li.li gia
II"! BIT - l.1 gia trj cua t[n hiu NODE. Khai baa thu hai xae djnh kit!u
con duqc quyet dinh RESOLVED_STD. C<ic tin hiu dW1C khai bao thuQc
RESOLVED_STD Itt nhung tin hiu dU'qc quyet dinh. Duai day chung
ta thay each de x<ic dinh va sir dl:mg dc tin hiu duqc quyet djnh:
- Xac dtnh tin hiu ( neu can thiet ):
- Xac djnh ham quyet dinh. Ham nay sc cac lin hiGu vao va
tra I'!.i tin hiu thuQe kieu dii dUQ'e xae dinh;
- Khai baa kieu con cua tin kern v6i ham quyet djnh;
- Khai bio va Slr dt:lI1g cac tin hiu dugc quyct djnh.
Trong vi dt,l dttai day. chung ta thay tin Z dttCJe khai bao Itt thuQc
dttqc quyet d!nh WIREOR_STD, trong d6 quyct d!nh cua kitu tin hiu
la WIRE_OR. Trong kien true, chung ta co hai phep gan tin hiu dong thoi.
Cii hai phep gan deu gan gia trj cho tin hiu Z. Nhtt tin hi9u Z se nMn
200
www.Beenvn.com - download sach mien phi
gia tr\ dl1qc x<ic d,nh qua phep lOan or giil:a hai dau vao cua ham quyet djnh:
( [j and 12 ) vii ( 13 xor 14 ).
Vi dl,l.
architecture Resolved ArchofWOR is
begin
runction WIRE_OR( Din: in STD_UU1GIC VECroR )
return STD_ULOGIC is
begin
return( Din( 0 ) or Din( 1 ;
end WIRLOR;
subtype WIREOR_STD is WIRE_OR STD_ULOGIC;
signal II, 12, 13, 14: STD_ULOGlC;
signal Z: WlREOR_STD;
Z <= II and 12;
7. <= 13 xor 14;
end WOR;
Cac goi chuang trlnh STD_LOGIC_1164 cua tim IEEE chua cae
kieu dl1 liu duqc quyct o,nh la SID_LOGIC va STD_LOGIC_ VECIOR.
quyc"t d(nh cua kitu STD_LOGTC lit d<;lng quyet ujnh thea ba
thai. Cae tin hiu co nhieu nguon uleu khitn se duC}c gan eho tin hiu -kitu
STD _LOGIC gia tri duqc quyct d(nh boi cae ham ba tr<;tng thai.
3. Cac g6i ChUling trinh
Cac kitu dil: liu, hang s6 va chuang trlnh can co the: duqc khai hao ben

baa nay ta cl,lc nang nhil:ng kien truc wong ung va thlfc thc' kluic kh6ng
the truy t6i nhung doi tuqng do. Tuy nhlen traog truong hqp
nhung kie"o truc khac nhau cung co the phai chia sf: nhung doi tuqng chung
nao do. Gic goi chuong trlnh ( Package,> ) trang ngoo ngu VHDL eho phep
cluing ta thl!c cae khai baa chung :ho nhicu thlfc tM khac nhau. MQt
goi chuong trinh eua ngon ngu VHDL dugc biofu uien thanh hai phan:
khai baa goi va than cua goi.
201
www.Beenvn.com - download sach mien phi
Phan khai hao goi m6 ta cae giao di-<?n cua goi va co cau true eu ph{Lp
nhl1 sau,
package < /('IlJ/la_g/ji > is
{< cdc_ khm _ h(l() _lltl/O( WJ/ > I
end [< lell_g6i >J;
Cae khai b,lo ti1Ue g6i co la cae :
Khai bao kitu con, tin hiu, h,ing, bit Janh:
Khai bao thanh phan, chuang trlnh can;
Lu(tt use:
Va c6 the ehCra d de package khae.
Otc kiwi bao tin trong g6i ntn m<)t so van trang qua trinh
tong hqp b6i \'1 Illt tin kh6ng philn phoi giO'a hai thl!C the.
Cl.ch giai quyet thong d\lng van de nay la tin hiu sf: dL!(l'C khai baa nhu l11<)t
tin hiu cuc h9. Noi each kh,ic, neu hai thl!C the SLr dl;!ng cung m<)t tin
lrong gol, moi thl!C the sc duqc mOt phicn bun sao chep CLIa tin
nay.
Vi dl;! \'6 khai bao goi:
library IEEE
use IEEE.NUMERIC_IJITaU;
package Watch_Pkg is
subtype Mouth_type is interger range 0 to 12;
subtype Date_type is intergcr range 0 to 3 !:
subtype BCD4_type is unsigned ( 3 down to 0 );
subtype BCDS_type is unsigned ( 4 downto 0 );
constant BCDS_I: BCDS_lype B"O_OOOI ";
constant BCDS_7: RCDS_lype B"O_OOOI ";
function .BCD_Inc ( L : in BCD4_type) return BCD.'i_type:
end Watch_Pkg:
Than clla l11t g6i xac djnh dc vi cua g6i. Than cua mQt g6i iu6n
ph,ij cung len voi khai h,}o goi. Phan than g6i duqc hat d,lU b6i Ill: khoa
package body. Cae thong tin trong trong thin cua goi kh6ng nhin th,iy
202
www.Beenvn.com - download sach mien phi
<luqe tu cae thiet ke' tlwe the sir dl;lng goi do. Nhu IU cac thiet ke va
eae thvc the chung la chi co the truy tai cac d6i lUang trong g6i thong
qua cae giao din duqc xUc <l!nh trang philn khai bao g6i ma khong the' can
thip trvc tiep vao ben trung goi. Noi mQt dch kh;k goi hi. mQt hQp den vai
cae giao din <luqc dUa ra trung phan khai bao. Than cua goi duqc 1116 tii
thea quy tic cu phap nhu sau:
package body < len nla g/n > is
{ < cacJhm _/)(10 _tl ollg_t}uln grJ/ >}
end [< thl_CJ/(lj;6i >J;
Trang phan khai bao trong than goi co chua eac:
Khai ban kieu, kie'u con,
- Than ehuOllg t.-lnh can;
- usc.
Vi dl,! <luai day cho chung la thay m6 tii than cua goi trang ngon ngu
VHDL:
package body W A TCH]KG is
function BCD_INC ( L: in BCD4_ TYPE)
return RCDS_ TYPE is
variable VI, V2, V: BCDS_TYPE:
begin
V I := L + BCD5 - I :
V2 := L + BCD5 - 7 ,
case V2( 4 ) is
when '0' => V:= VI;
when 'J' => V := V2;
end case;
return ( V );
end BCD_INC,
end WATCH_PKG,
Cae phdn tu duqc m6 ta trang pha.n khai bao g6i kh6ng the nhln IhAy
duqc mQt each tv dQllg tu cac thu khac. usc dung tmae mQI dan \"!
chuang tdnh se lam cho lal ca cac pl,3n tu trang phil.Il khai hi.lO goi e6 the'
dUQ'c lruy Ci)p tu dan vj chuang trlnh d6. Vi dl,!, gi;i thiet rang, goi chuong
20]
www.Beenvn.com - download sach mien phi
ldnh WATCH_PKG neu tren dUCK bien dich va dua vao thu vi9n
MY_LIBRARY. De: co the: si'r dl,lng cac khai bao trong goi WATCH_PKG,
chung ta can dua chung vao thu vin chuang tdnh Ihai SLr dl,lng
sau:
library MY_LIBRARY;
lISC MY_LIBRARY. WATCH]KG.all;
HQi dong ChLl<1n hoa IEEE xac djnh hai thu vin cho ngon ngi1 VHDL ia
STD va IEEE. Moi thu vin chua rnQt so goi nhu:
STANDARD va TEXTIO doi vai thL( vin STD. Goi
STANDARD xac djnh cac kieu du quan tn?ng nhu integer,
boolean, BIT ....
STD_LOGICI164, NUMERIC_BIT, NUMERICSTD doi ,oi
Ihu vin IEEE. Cac goi nay chua cac kie:u va nhfrng haITI quan
tr",ng eha qua trinh tcing hqp va rnb phong bang ngbn ngiJ
VHDL
Trong chuang nay c1lling ta d1t khao sat nhung die:m co ban eua ngbn
ngu VHDL - lTIt ngon ngu rno ta ph<1n cling dien hloh. Ngon ngu- nay dUQ'c
dung de: 1110 hinh hoa trong cbng ngh thiet ke, ehe h.\O l11<;lch voi d
tich h0P eao va sieu cuo. Nhilng Ihinh phan chfnh eua ngon ngil nhu cac
lnh tu.}n IL!, cae lnh song song, cUc chuang tdnh con, cac phu"Cing pluip 1116
tA thL!C the va phuong phap bicu dien l11<;tch theo cau chue, hanh vi
theo dong dfr liu drr dUQ'C de t6i. Trong chuang tiep theo chung ta <;Lr
d'-:lng ngbn ngil VHDL de Xi)Y dc mb hinh nhilng In'-.lch logic cel ban. '
204
www.Beenvn.com - download sach mien phi
Rai tap cho <'hU'o'ng 6
2. Hay mo I,} vi eua b(> giai ma 2 - 4 bang cau casco
3. Hay mo ta hanh vi cua bQ gdi rna 2 - 4 bang cau Inh if.
4. DQ ALU 8 bil thl!c hin cac toan:
phcp cQng khi d,lu vao dieu gia If! "00";
phcp tn! khi (I,lu dicu khi6n gi,i tf! "01";
pbcp tmin and khi d,lu dicu khitn gia If! "10";
phep tmtn or khi dau dieu khi6n gi,'i tr! "11".
Hay viet chuang trlnh Iren ngan ngu VHDL rna hi hanh vi cua bo t[nh
toan so hQc -logic noi tren.
5. Viet chm1ng Idnh In:n ngon ngu VHDL mol,} ctiu true va ChlIC nang ella
h6 (Uli ma nco thanh hien thi 7 - rn,lnh.
6. Hay viet chuang trtnh con Ihl!c phep cQng hai so thea ma BCD.
7. Hay viet chuang trlnh con Ira tfnh chan Ie cua chuai 16 bit.
8. Viet chuang trlnh con tlwc d6i so nguyen trong khoiing
tLr 0 (ten 255 Ihanh vecta 8 bit va ngu'qc l<;li.
205
www.Beenvn.com - download sach mien phi
CHUONG VII. MO HiNH HOA BANG NGON NGLr VHDL
7.1. :\16 hloh hoa trcn mtle call true
Cae 11li.ICh .... 6 lhuang hiJu (lien theo tn1 cAu Inic phil.n cAp Gle
th':11lh phfin. Moi thi:mh phAn c6 ht,1p cae crlng d6 lien ket \'oi d.c thanh
phan klute. M(lt 1hi61 kc haa g6m mot t(lp hap nhling phi0n h,in cU.;! m:.teh xci
Hen nhCing muc 09 chi lict khac nhau. MQt phicn b;111 ll1i.\ch tren ml?t mlfe chi
tie"! Cl,l the Cll<1 thiel kf duVe tao tit de mCl tii nhung phdn It'r !ren mtle
cbi tiel d6 va nhD'ng ph,i.n tu nity dU<;1C lien ket Ih()og qua cae tin hiu \'.Ii de
cong ella chung xel !rcn mile chi tiet nilY. Khi ruo 1a nwch ng6n ngt1'
VHDL, ph{lIl cap Ihiel ke duae tlwe hiGH thong qua c{le khai hclO th;lllh
ph;i.n nwch va cAe bieu thue m6 ta phien bAn ella thunh phall.
Khi m6 til. hanh vi ella nwch. thanh phiin Cd s0 ella hilnh vi Ii\. de call
\<';nh m6 ta qua tdoh ( process ), cfm khi ma ta dIll true, don vi co so' se
dc cau m{l til phien bAn ella Ibanh ph,in. Nhung cau Inb ma I,i qua
Irlnh d. cac CUll Inh ma t.1 phicn bi.ln Ihanh phfin dn phi.1i dUge \'ito
lrung Ihi.ln ella mt'J Ii.i true. CAe m6 t.;i. kien true sc co ehue nung phfm
tach d.c dan \'j Ihi-:t le. MQt trong nhung d(tc tll1h quan tl"9ng ella VHDL li\
ngon ngu nily eho phep 1116 hinh hmi Ihit't kc treo nhung mlre d6 trLrll Ilt'<:mg
va chi tiC:I khae nhau. N6i mOt d.ch khac. m(lt bicu kie'n true c6 the haa
gam c;le dll m() t:\ lJml Irlnh. cae GIU ICnh ma I,i thimh philO.
1. Khai hao thanh pluln
M()t kicn true co sU: d\,l11g nhi1'ng tiwe the da dUQ"e m(') ti.'t m()t Ci.tch d(lC
NhLrng tl1l/c nay dU0c chua trong tlllI thiet ke co the d1\'(/( Slr
dung Irong de khai bao phal1 va nhLmg cau m6 ti.l cac phi":n bi'tn
cua thZll1h phAn. Trong phtin m6 tii eua thiet kc, moi cau khai h<.lO Ib:mh
phAn 11J'l1ilg {t'ng \'('ii m(lt thlfC the. e6 Iht ma phong t6ng IH!P Il1QI
thie"t kc min d6, va cae m6 til ki6n true ella tfil d. de thanh ph[ll1
lTIi;leh phi.li duqc bien tru6c trong tluI vin thiet ke.
Trang lrinh It! 1116 phong ho,Jc t6ng hQ'p kc. Ihl!c vl\ m6 lil kicn
Irue dcii voi n19i thanh phall ph<ij dUQ"c bien d(ch trang tim vin Ihiet ke.
207
www.Beenvn.com - download sach mien phi
Cach thuc 1116 t,\ cau Irue eua thanh phan eling tuong III nhu each thlre
1110 t,illwc Ihe. Truac het de 1116 ta cau tnk eua thanh philn, chLing ta phui
xae djnh r6 cae giao din eua thimh phan. Cic giao din nay chinh la eae
chrbng tin hiu vao vii ra khai thanh phan. TrLfCte khi duqe su dYllg, cae thanh
phan phai khai bao !TIt eaeh tuO'ng minh thea quy eu phap nhu sau:
component < ten_lhanh_phan >
[port khaLbao_cong_cl)c_ho 1
end cumponent;
Vi dl:!, ehung ta m6 t;i thanh ph,in eua h C(lllg d<.iy du fullAdJcr. I3Q
e(mg Jay uu 1l1(;>1 bit ell ha uUdng tin hiu vao:
Duling vao :\, n Ia uuong tin hiu tUdng LIng voi hang ehtl s6 1\)11' k
ella hal so h'-.\Ilg;
DU'dng \'i:10 Cin Ia duong tin hiu tuffilg ll'llg \'6i hi:mg gia Ir! nh6 tv
hang ehu thu k-I vao hang chu so thu k;
va hai uuO'ng tin hiu ra:
DU(J'ng tin hiu Sum Ia tong cua cac duang tin A, B, Cin:
Duong tin hiu Coul 1a hang gia trl nila Ill' hang ehtl so thtl' \'210
hang chu s6 thd k+ I.
Ye <.:ilu true. neu xet tren mile 16gic, b cng d,Iy du I bit du('ic \,.10
thanh tu cac philn tLI' AND, OR \'a XOR. Trell sO do cau Irue, b(l ujng 1121:'
(hrqc 1110 ta trcn hlnh 7.1 b. Nhu v,)y, b eQng g6111 eo hai ph,in tu X()R, hai
pb,tn tU' AND va Hlt phfin tu OR. Cae ph<in tu nay III nhu'ng phan tt"r <.;6
hai dAu VilO va mt)i ph,tn tu logic se (tuqc !TI6 t.\ btll1g cae khai bao th2mh
phan nhu ulf6i uflY.
B
Cill
bl
208
www.Beenvn.com - download sach mien phi
component Or1 ___ .f!atc
port ((0, I \: in STD U)(jIC; 0: out STD_LOCilC);
end component:
compullcnt XOl'_g,I\C
port (iO.II: in STU UKiIC, (). out SID_UKiIC),
end component
component Alldl_galc
port (10, II: in STD_LOCilC: 0: out STD_LO(iIC);
elld C0I111101H'nt:
('<Ie th;'lllh ph:IIl 1ll() [,'t Irong \..i.Yn Ink ell the (hfoe l,.h('ji lao h:lI1g (,Ie (,Ill
k'nh 1'.lll pilie]} b,'llI Ih:l1lh T,li CIC \'1 11'1 dunc 1',lll ra. phlen h"lll ella Ih:llIh
dl(l/c hi2u Ih(mg 'ILIa (;IC Ihu(lC tinh hen ng():11 nhL!' lcn, kieu Iw,h.:
illf('mg llll lal de e(lng \'iHl/ra. llhung de 1111 hl0u hen Ir(lng th<lllh ph'\ll
klH)llg dune hieu dien Il!'(\ng 111ll1h. Cic e,"al kh('l'i [,.10 ra de ph!Cll
hall ell.! Ih:lll!t ph;\n \':1 kc't n(li ch(illg d2' 1,.10 nell tnl)1 danh li('ll kd L"ll,l
'1]11::" 1..0
Chl knh lao phi('l1 h,lll Ih,lllh ph:ill e6 C[ILl Inie eLi phjp nlHl' ":IU':
< nh;'in_klHl'i_ tao >:< knJh:lllh_philll >
port map ( [ < tl:l1J()ng _ttl..:_ hn > => [ < hleu link>
1, [ < tL'II __ ,,'(lllt:_CllC_h(:l > ---,-> 1 < bi2u th{re > \ ):
Trollg d6, < llh;"in_khuL_ t:lll > 1:\ 1011 ella phicn h,in \'Lra llLrqe lao r,1 ula
th:l1llt ph:\Il. Mtll C.'lll 10nh qo phll'll h:tn Ih:lIlh philn IUllll ph,'li (1uae kl-'1l1 \'('ii
lll(l! nh:ln _kh(1i_-,ao. eie nhJn 11:1) s': dU\K 111;1111 eltie'tl 16i Imng de (ill! hlnh
eua Ih:lllit ph:ll1.
CYu Ink port map ,ll1h :-"'.1 cil' cling cLla tlr \ilo dlc lin Anh ,'<,1
n:IY c{l Ihe !tiel! nhLT \'IC 10..0'1 n6i c6ng luang Ll'llg eLla ph:in ILl' \':10 dUt)'ng lill
hieu. ek e{)n& du'(!c m() 1,\ Irong kiwi h:IO Ihi\llh phein 1:\ nilll'!lg e6ng cue hll.
Trnng l,.!ti lttl, nhfrng UlJ1g (I'ta de phi2n h,ln th:l1lh pi1,-ln clLro'e goi 1:1 cl-ing
lOt)
www.Beenvn.com - download sach mien phi
Iln.rc. Trong C.lC cilu lnh 1, .. 0 Ixin thanh ph'lll, ci'u tnk pOl'1 IllHp <1(11
IU"lmg Crng mbi Cl)ng tlll.re eua phien h,'l11 v6i mol cl'lng cuc b() clla Ih2lnh ph:in.
i'-1lll c(ing tlurc pllai Iii c16i lUQ"ng dang f{1I Trong ngl'lll ngO: VHDL clua
I"a hai phuo'ng phCtp ,inh xa de C{)ng eye h \';10 e,le eong ,Iuh X,_l then
trf ,inh Xii then ten.
a)
b)
Cin
A .. c)


Sum
lIillh 7.2, ClLl tnie pil,ill C[lp ell,\ b(l e(lllg mol hJt: <1) tnie DO 1l1f.\ tlillg IlH'1t hll.
h) \:1)-" dUllg be) c(JIl day elll llH')! bit tir e,ie b6 Illfa tong; e) elll tic'"1 h(i e(lllg day till
lll(ll hit tlf de pkilllLf AND. OR. XOR.
Khi slr ch.tng anh Xl.! then vi Iri. chung ta dua ra danh s,kh C,IC tin
tU{ll1lhco dung l11a ecing dUQ"c kh<u belo.
Doi v6i tru6ng hcm unh xa theo len, chung ta SLr dl.l1lg cfiu true ,\nll xa
tuang minh d{lt tlf(ing ling moi c(:)ng w'.li d.e tin hicu tlll.rc:
< kll_cong_cuc b(l>::::> < ten tin_hleu thuc >.
Chung la hay xci VI d,1 ml'l d. c,i'u true cLla 11I1a tong 111l)1 bil. trong d6
h c(mg duqc lao thanh ttl de philnllt k)gic AND. XOR Iheo s(1 cl6 Ircll hlnh
7.2a.
2 III
www.Beenvn.com - download sach mien phi
an:hitl'l'turc Insl of IlallAd(\.:r is
componcnt Xnr2
port ( 10, II: in STD_LOGIC: 0: out STD __ L()O\C ):
cnd component;
component And2
port (10, ll: ill SrD LUCile. o. out STD_LO(IIC 1.
end cumponenL
hq,!,in
(II: Xor2 port Illap ( A, n, Sum ):
e;2: And2 port Ill<lp ( 10 => A, II => It 0 -=> COlli ):
l'nd In,,!:
Trong VI dl,l 11;1)', rh1Cll Ixtll Ci\ clla ph:il1 Ill' Xor2 c6 CitC ct'ing dw,J"c ,tnil
\',1 Ihl'O \'i Iri \'ito dc dUCfng lin hiu A. B \',1 Sum: c6n phicn b,in (;2 CUd
ph,\l1 Itl' And2 ,inh xa wong 1l1111h Iheo 10n ella e(;ng n,lC hCl \'it dll'(\ng
lin hicll.
rhCmg 111tfci11g, m6i th:lllh rh:in ,,13 Ihe: hien 111\)1 Ihut Ihe' d6c \;1r cLla Ihll,'t
k0', nlm CitC rhicn han eua th:lIlh _"l'- lao nell de kip ph:ln C[lp ClI,l
111lel f..('. Dlcu nil)' ChHlC 1l111lh hOd tren hlnh 7.2. Trnng VI lil.l chung IiI
IllSy du Inlc ella Ix) (hlY (hi mr)1 hft du'Ot' xtty ch..rng Iren cO" _,,(')' Clla 11(1
!lira IC'i ng 1ll1)1 bil d ph:lllILt' OR ( hlnh 7.2b ). Trong kill d6, b6 nU'a IC)ng Illll\
hit cling l'6 Ille du'()C wi 1:\ m()1 thue tile del( I:tp \',\ C() d"u tnk' (hl'()c \,.10
th:lIlil 11'1' dc ph'lll tlf A:-.iD \':'t XOR ( hlnh 7.2i1 ). NhtI \',:IY thi61 ke' hi:) c(1I1,g
l'() Ille dlf(lC hieu dl':n Ihen 1()'[1: 16p c.:iL- ht) Illl'a t(ing v6'i ph[in Ill' O[{ \':\
kyp clll 11(,'t \'6i CiiL- phdl] l\f AND, OR, xnR.
Clll kllh kitui (:.tll G:Il<..'rall' 1;\ dLl 1llh song ,,>Ollg dll\K d!nh b'::n
trung de ki('n Irlic d dU'CK dllllg ,1': 1116 I ell' pllil'n ball thilllh pll[il1, Cill
knh Generate c6 C;tll Inlc ell ph;ip nhll'
< > : < so __ d6_khi1i __ 'i.IO > generate
I < > I
t-'nd generate [< >\:
211
www.Beenvn.com - download sach mien phi
Trong ng.{lIl ngl-r Vl-IDL. e6 hai loa] so (U) kh(1i t'.IO: s(1 do kh()'i tao for \'21 sO'
(It) kh<\'i 1,.10 if.
212
So' d{; for tilIng de IllO t,i dc ei[U truc co tl11h quy IU':It. SCi till 1121)' (ilra
ra cue tham ,,6 kh()'i 1',10 \'21 ute bU{J"c Cie tham :0,(\ khc)'] {'.Io kh6ng
c:in ph"ll khai \XIO \ru'6e. (ji,) tri eLm chung kh(lJlg g,l11 ho(1C
ra ngoill c:iu In'lc kiwi lao 11l'l.C till VIIDL eho phcp sLf dl,lIlg dU'lllg.
hen Celli laic.
('oUI

FA(3) FA(2)
Z(2)
FA(1)
I
!.II)
FA(O)
/.(0)
lIinh 7 .. l XiI)' dll'llg h6 C('Il).!. b<lll hllllr c,ic btl (LIY tiLl l\Illl bit
'Il'
Vi duo h0 Cllng h<"in bil co dU'i)"c x,'ty dung Ill' h6n b() u)ng d:i.y du m(\1
hilll1l'o d{) IrCll hlnh 7.3. l\6u slr dung du kllh kh('l'i (an \'6i (ki for
I'll trele elta h(l U:lllg b(ill hll so: dlf(iC 1116 1,'[ nlur sal!:
architeclun: (iell hlr of FuilAddcr4 i!';
signal X. y, Z : STD_LO(iIC_ VECTOI{ ( 3 downto () ):
C"a' : ,m 1/)(,1(,:
,<;ignal fmp . STD_J.DCiIC_ VECTOR ( 4 downto 0 1:
component full:\ddcr
port( A, B, C: in STD_LOCiIC:
S. CO: out STD_LOUIC):
end component:

Tmp ( 0 ) <= .()';
li: for I in 0 to generate
FA: fu!!Addcr port map ( X( I ). Y( I ),
Tmp( I ). Z( I ). Tmp( 1+ I ) ):
end gener<lte;
Cout <= Tmp( 4 );
end lien For
www.Beenvn.com - download sach mien phi
Thhng IlllfiJ'ng Irong 111161 ke xu:;! hiC'n ,) li0n gJCra dc
ph{ln Itr kh()ng Wan theo 'Illy IU:I! 1(1)) giang lrong \'l til.1 lrl'l1. t)6i \'(1"j
nhll'ng Inro-ng hq'p ng(m ngCi' VHDL cung dip kll.'l nCtn.:-: Ill() IiI
mach dl,lJlg S(i de) if. Kh,\c \'(ii du ICnh if tu{in du lC:nh if kll(',i
Ian khClIlg cllli'a cal' nlHinh cls(' \'J. dsif. n:I.Y c('i the hlL:lJ dLf(/C \,1
lrong m(lt m',lch ph:in cung xac d\nh kh6ng the' n:1O t(ill tal hfa l'llOIl
cfu truc dl,fa \':10 dCr Itu hC'1l ngoili.
Co
'"

IV"
FA
I
I YI" I Xi2, I Yi2l
FA

I
IXil
l I, 'I (I j
\ \((11 \ YI
II,
FA
1<-
HA
I
I
/(1) Z(O}
lIinh 7.-1. Xii) dUllg b(l hl'in b11 III h:1 b() c(lIlg d,ly <Ill lll()t bit vii 111(11 h6
nLra t(i ng m(lt hit.
Vi dt,l, chung la x,ly dung he) e(lllg h(in hit Ill: ba b(l C(lllg (tty dtl IlH)t hil
\';\ 11l9t he) I1lra U;llg. Chi true fU'ong e:lu true Iren hlnh 7.:'. chi
U.;c 1'.li m()1 diem lit khollg c6 dolu \';\0 '0" ellO ph.'in III lien. Nc'u SL!
dung e<fu [rlle if, du Irue clla he) U?llg h6ll hIt sc du'q'c m6 t,-lllhu' salt:
architcctUf(' Gell_for of FullAddcf<+ is
X, Y. Z: STD_l(XiIC_ VEL/OR ( ) downto 0 ):
Cout : STD_LOGIC
signal Tmp : STD_LOCiIC_ Vr:crOR (4 downto I ):
componcnt HalfAddcl"
port( A.ll: in STD_LOCiIC S, CO,, out STD_LOGIC}:
cnd component:
hl'gin
GO: for I in 0 to :. gcneratc
G I: ir I::;::: 0 gcncrate
HA. HallAddcr port map ( X( I I, V( I ),
/( I ), Tmp( I + I ) ):
213
www.Beenvn.com - download sach mien phi
l'nd gelll'rate:
(;2 : if 1> 1 and 1<= 3 gelll'rale
FA: Half Adder port map ( X( 1 ). Y( 1 ).
end gl'l1cratc:
l'nd g;cnl'ratc;
COlli <=:: Tmp( 4) :
end Gcn_for:
Tmr( I ), Z( I ), Tlllrl 1 + 1 ) ):
B<1t k)' Im)t c,ru truc song cLla VIIDL nlllf cac quCt trlnh. dc 10nh
g,in lin hiu song song. C<IC kh6i dlc 10nh gOl ehu'(mg Irll1h con "ong
\'il dc knh kh('1i 1'.\0 kkk co the ntl111 ben Irong dc OlU lnh kh('fi t,.lo,
Khi Slr dL,mg de du lnh ["hoi lao l(lIlg nhau. Chlll1g ta c6 the x,ily dl!'!Ig
nhun,f! criu true e6 II1Ih quy nlur
!\e,sh:d_Ocll: block
L I: for I in 0 to J gcnl'l'ate
L2: for J in 0 to J gCl1l'ratc
r-A: CELL 1'01'1 lI1ap ( AI I ), Il( 1 ), Ci 2 " 1 + J), ill 1+2' J ) ):
end generate
end gelll'ratc
l'lHI hlOl'k Nesled lien
4. ('lie eau hlnh
OIC kiwi h,lo th:lI1h ph[in \'il e,1e phiell IXln ella thilI1h phrin ehi ehii'a m(l til
ben ngoili ella m()1 pkin It! maeh Irell 1l1()1 mue chi liel nh:il d]!lh. C:le Il1l,IC
the nilY kh6ng slr dl!lIg du,\1C trong qU:I trlnh 1110 phong hCii \,1 el1llllg
kh6ng ehua nhLIng th6ng lin 1116lil \'c ehlJ'e nflllg eua ph[intLf. Nhl!' \,ily-. de e6
tlte tlwe hien m6 ph('lI1g hOi.\1 d0ng ella phiin IV, eitlll1g la ('fin 1I10t C(f eit61i0n
ke'l cae m(l 1'-1 bl'11 ngo;li d clle du true h0n Irong ella pl1fln Ill'. ngllll
ngLr VIIDL. cO' ehc lien kc'l n:ly dl(.K hicn lrong C,tC ('(ii' IliJlil ella pldn
ILL Chllllg la \'1 lIt,l me) I,-i du true clla Ix) e()ng d,iy (1t'[ Int:)t hil
fullAd(\(:r lrl'll hlnh 7.2. G() eong nay dLIqe 111() 1:1 h;lIlg do'-.11l chu'(mg Irlnh

214
www.Beenvn.com - download sach mien phi
libraly IEEE;
usc IEEE.STD_LOOICII64.all;
entity f-u HAdder is
port ( A. B. Cin: in STD_LOGIC Sum. Cout: out STD_LOCiICl:
end FllUAddcr;
ar(.>hitectnre 1\11)\ of FullAddcr is
component Xorgatc:
pori (10.11: in ,TD_LOOIC: 0: out STD_LOGIC):
end ('>olllponent:
component And2g<tle:
port( 10. 11: in STD_LOGIC: 0: out STD_LOlilC):
end component:
component Or2g.ate:
port (Ill. II: in STD_!:OGIC; 0: ullt STD_!:OCiIC):
end component:
SI. S2. 53: STD_LOC,IC:
begin
U! : Xorgate port map ( A. B. S I ):
U2 : port Imlp ( A, B. S2 ):
U3: Andlgate port map (On. SI. S3 ):
U4 : Xorgalc port map (Cin. SI. Sum ):
\.."5: Or2g.alc port map (S2. 53. COllI ):
end IMP!:
Trong do,.111 chm"ing trinh md til 6 11"011. chung ta chi kiwi bao clla
ph[il1 nhG"ng phuong thue kct n6i giiIi.\ Chllllg mil kh6ng chi ro cal'
chuc nung ella lirng Ihanh phan. NhLI de th",fC hin V:l 1116 phl)ng doan
chuo"ng trlnh n6i chung ta dn phai m6 tit hi\nh vi cua lung Ihanh phi1n.
Trong chm1!lg lrinh dudi day, c hung til mo li'\ nhilng llwc the thal11 oia

phan khai h(LO Hwc the \"u mo til. hanh \'i.
library IEEE;
lISC IEEE.5TD_LOGIC_II64.all;
entity And2gatc is
2\5
www.Beenvn.com - download sach mien phi
POI" ( 10, I!: in STD_L()(JIC: 0: out STD_LOGIC ):
('nd And2g:lte;
architecture BH V of And2galc is
begin
0<== 10 <lnd II:
('Jl(1 BHV:
Iilll'ar:v IEEE:
usc IEEE.STU LO(dC_1 ](i-J. ctll;
('ntit.' Or1galc is
port ( 10, II: in STD_LOGIC: 0: out STD_LOliIC ):
end Or2galc:
architecture BHY ofOr2gilie is
hegin
o <= 10 or 1[:
end I3HV:
lihraly IEEE:
usc IEEE.STU U >CiICJ 164 ,til:
entit." Xnrgale is
port ( 10, I I: in STD._LOGIC: 0: out STD_LOCiIC ):
end Xorgalc:
architecture BIIV of Xorgalc is
hegin
() <= 10 xor I I:
end SHY:
Sau klli 1 .. lt GI de Ihe \'il ki0'n Irue ella Ilfllg phill1 Ill' tluqe hlen (heh
\":\ chCra Irong tilu \'jn, chung la eo Ihe h:ll1h biell dieh mt'l hlnh ella
h() cong d,'iy dLI m()1 bit Full Adder Trong klli bien (heh IhiC"1 k( ella
h(l dHlg (by ttLI, ehuong Irinh dieh -;C> d6i sallh ill'llg d!lIh danh ella de thimh
phftl1 trong IlH) la thi0'1 ke \'6i e,le lhue Ihd trollg IhLl' dang dung. l\'C"u
ling \'6i m6i Ih:ll1h ph::ill trollg Ih10-1 ke' c6 Ihe tIm th,'IY tlll,re Ih( c6 cling \Cn
lrong e(lc Ihu \,ln. clUfll'ng trlnh bien dieh Sl: xfl)' dUllg lInK the Ill() phong
216
www.Beenvn.com - download sach mien phi
1\1PI Clta \16 c(ing FlllIAd(k'r c6 bit Ihilnll plliln 1;\ Xorgale. Or2gi\Ic \';\
And2gale. I\cu Il"Ong Ihlf \ WORK chLm e<.lc tlwe the e6 eung 10n. clurrfng
lrlllil 1110 phl'lllg se g{\Il k01 kie'n Iruc duoe bien d\eh "all dJllg ( trong IrUt)"])g
h9'P tln.Tc thc' c6 nhicll hon lllt')! Ijen Inie ) \'6i nhung Ih;l11h pl]{\n tuong ling.
Nhlf nh:\ Ihi0't k0' I-..hl'mg nh[lt thid ph:ll (1[IC 1,i m()1 Clieh tUlHlg IllI11h
gtm kCI nhCrng phi0n lxin ella thi:tnh ph[ln \'il de e;:lp the - ki0'n In'le ehLra
tWllg tlur yicn thl0'1 kc', Chung ta gOl '>l! g{1l1 kc"tn;\y 1;\ g;i.n k('1 1ll'.1C dinh.
Trong tnrl1'llg hqp m()llhl!C the C{l nhicu ki(:n In'lc Ihl \'ice ehon m,:lC dlnh
ki0'n Irllc dunc dieh sau dlllg e6 the kh()llg Ill'a eill}ll duU'e l-..i0'n tn'le e,-Ill Ihie:t.
do d6 dn ph:li bieu dicn Cllu hlnh 11161 Clieh lui:mg I11mh.
!\,1(lt thue tile c6 the l'{l nlllcli ki61 trLle, ;"'1(n kiI.<n Irue e6 Ih(: 1;1 m(l hlnh
Ihu(l\ 1.);ln. klCll tIlic kh,le co th0' iii 11llJC thanh ghi truycn d<,lt ho;k e6 the Iii
me) hlllh du tnk. Tr011 khia CI.ll1h Illlc't k0', ehllllg ta dn llya chon e.IL hle;n
trllc ella phtll1 III 11161 each thich hqp \'ZI phai ehi j"("'i de khal b.lo the Y:I
Clie \...i"::l1 In'le SC lhlo'C h,.ra chqll. :"JIllr \,[\y. Ill(lt cl"u hillh ..,0 cling ci'p l'hu
chLlng ta deh ltya Chl}Jl nhCrng khal lXIO Illl,re the \'il ki(n true d6i \'()i Ilfng
phi0n h.l11 cLla th:\1\1 1 phrin throe lll() t,'\ trong Ihflll kic'n trLle o'ta 1-...:'.
GIll hlllh ClI<.l \11()1 t.i th;lnh ph[ill blC'U dien thco qlly 1(Ie ell ph.;p
sall:
for' < d;lllh._s.iclt phicll_han >: < t011_th;tnh_ph:-in > < >:
Trollg ct6:
< danh_".kh_,phi011_lx'11l > ehi ra danh ,>.icll phien h,'lll Ula tltil\l!t ph:-in
dlfO'C du hillil( e6 t(;n dw,l'C chi ra < lCll_th:lIllt. __ phan :> ).
Phicll ball c(l the duqe d:,le la b,'llIg < nh:lll __ pI1l011_hall > hO:.lc dllllg
e.ie tu' kh6a otlll'rs OJl\.
Tlr khl)a others chi de phicll h,in eLla thilllh ph6n C() k'll 1;1
< tCll_thilllh_phrin > d chua duqe C:ill hllll1.
Tit kh6a all chi tl)'i 111(.1i pili0n b:!Il CU;1 lh;ll1h ph:;n C() len Iii
< tCn_, th:ll1h_phrin >,
< d.K_t.l_gdn kel > dU,1 ra dc ;[nll xa giCra de klc'n IdK duO"c llfa
Chqll. dua Ihcn do phicn bill I \12 (hl\K x.'!y dlIng. Th(lllg lin 1l;IY sc
duqe nl{) t<.i theo 'Illy lac Cll ph:ip sau:
entity' < t01l_thu'_"10n.tcll_thLfc_thc > [( < tCll_kic'll_tn:ic > ) 1:
2[7
www.Beenvn.com - download sach mien phi
Nell tln,re Ihe chi co mol ki0'n trtic Ihl t011 Clla ki0'n true trong ph[11\
< d(\C_tll_gtlll_kc'l > ct) the: ducie hl) qua.
Vi elu, la co Ihe d(le 1(1 b{) ct)ng dfiy du htlllg deh SLr dl,lllg cae ctlu hlllh
nilLf 'i<'\U:
lihraly IEEE:
usc IEEE.STD_LOGICIIM.all:
entit.y FullAddcr is
port( A, g, Cin: in STD _LOGIC
Sum. Coul: out STD_LOGIC):
end Full Adder :
archit(,cture !\-1Pl or FullAddcr is
component Xorgale2:
port ( 10, II: in STD_LOCilC: 0: uut STD .. LOGIC):
end cumponent:
component Andgalc2:
port (1O,1l: in STD_LOGIC: 0: uut STll_LOCiIC):
end component:
component Orgalc2:
port ( 10, I!: in STD_LOGIC: 0: out STD_LOUIC ):
end component:
51. S2, S3 : STD_LOCiIC
for U I: Xorgale2 usc entit.y work..Xorgate( BEV ):
for uthe."s: Xorgalc2 usc entity work'xorgalc(BHY):
for all: Andgalc2 use entity work.And2gatc:
for U5: Orgalc'2 usc entity work.Or2gatc:
hegin
U I : Xorgald port map ( A, B. N 1 ):
U2: Andgatc2 port map ( A, B. 1'\2 ):
LJ: AndgaLe2 port Illap (Cin. N I. N3 ):
U4: Xorgalc2 port map ( Cin. N I. SUIll ):
U5: Orgatc2 port mnp ( :'-J3, N2. Cout ):
end IMPl:
Trong "I elu nlly. phicn hAn co nhan Ul eua ph:in ttf Xor se c6 11l{) {,i
Crng nl'i tlurc Ihe Xor2gatc ChtIa trong tim vin WORK. Yic 1111I,::"t
lien kc't giCi'a phi0n b .. ln va Inn t,i thy'c Ih":: duqc the' hin tHong rninh ht'lIlg call
218
www.Beenvn.com - download sach mien phi
lnh g{1l1 k(l for. Cic phfin 111 Xor co nhan khk U 1 :o;C throe kl:'1 theD
dlmg kn fur others tic'p theo. Til"! e,t e,le philn III Andgalc2 :-;2 :-;u: dl;l1lg C:\U
h1nh IHo'ng v{ji Ilwc Ihe And2gale. DCii v{ji phfin Ilt" Or 1111.l"C hin g[\11
du Iruc cling ra wong. Il.L
)\W hlnh hoa trcn m{te thanh ghi tru)'cn d:..\t
M()I Ihi61 hc' Ircn I11ltC Ihunh ghi d'.11 han g:61l1 \{Ip h(.1P ule
thanh ghi licn kc'l v6i eLIc tn'.lch hqp. Trong !llUC n;'ty. 16i .'-,,::: Iflllh
mOL quan h giLl"a d.c du Ink tren lllLre Ihanh glli trung ng()n ngu
VIIDL \'il 1ll'.lcll 16gic sc ducyc 1(}l1g hem.
1. M6 hinh hba to IHjP
l\.1()1 qu:i Il"lull killing
chLl"a dIU if \'6"i tin
lli0u dieu kllien Iheo
'arrl"ll 10n hO;lc :o;ui)"11
xLlong kh(ll1g: chu<.1
e[1Ll Inll wait \"ai dc .w
klcn ella Ifn gQi Iii
qu;.l Iflull 1(; IWp. /,\"1()1
Dill
qu,i Irlnh 16 hap sc dUQ'c 16ug h(m hIlllg d.c Im.lell h(}p. VI tll.l sau drlY SC
11101,1 m:.lch It) h{.J"p Ilnll gi<i Irj nita ella b(l c(mg d{;), L1ll \'{ji cae d:iu V:IO A. B.
Cillo
signal A. B. Cin, COllt: BIT:
proccss( A. B, Cill )
bq!;in
Coul .= ( A and B ) or ( ( A or B ) and Cin ):
end:
219
www.Beenvn.com - download sach mien phi
\'f uu ni:ty, t[lt cit c,te till hiu vilo ph'l! dU'lK gIll trong danh tin
hi0u t .\c dl)llg. Danh ",\eh tin t,Ie dl)ng ehi ra ril11g, qUii trlnh tfnh to,Ill
dang xct du\l"C tllve h!n khl e6 SLt' lhay ,I(;i CLla dc lin hll'U ntul1 lrong
danh s,ielL
1l1() til n1<.leh ll)gic td h0p. dc bien v:. tin hi011 troug 1ll()1 qU;! 11"lnl1
process kh(mg chfq'e nh;1Il gi,i 11"i g;!n kh()'j t',IO IrLr()c bl)'j \ I lllilCh It) IH)'P
ehlia C:le ph:in III nlll}. Khi lrong 1l1(J hlnh mach e6 de hl6n hO:lc Lin
hlCll dwye khll'i lao gi,i Ir! tn['lk, dlCll s.: Luong dunng \'('ii \'le Lrol1g
111'.ICh ph,li c{) nhu'llg ph:in Ill' luu Ir([ de gHi lri kIH')'i [i.IO. l\'hu' \ ;)y h.hi lll()
hlllh h6a e:lll Ink, ehuong trlnh 1m) ph()llg "i: ..,inh 1"a de pld.Il Ill' nh(l &i Iuu
tn-r de giii Irj J..JJ('ii 1,.10. \1'.ICh tn)' Ih:lI1h mi,lch e6 nh6'. Them \':10 (k), trung dlc
1l1{) hlnh lllHeh t{i h(.l'p. de tin hiu \'i:1 hien c:\n ph'll dlt'oc 11"1 Irll'lk klli
dWK sLr L1t.lng.
Moi du knh lufin 11"Lf de Inh wait. loop \'i:1 if \'(')'i nhCrng lin hilI
kIllen Iheo SLf('-m c6 Ihe dllng dl: Ill{) 1<\ c,ic Ill,,lcll 16gic l{) h()p. eic phcp
loan ,,61H,le nllli +, -, * .... : c:k phcp to,in quan h0 d de phcp LO(UI ]()gic dcu
e6 dUO'e dung lrong thL"rc.
A I'
---l-
B! '
--.c )
'I
,
Sel .
-----l

.. /
,. '"Z
J )
J llsel
'i
b)
IItllh 7.6. I Lll k,:'\ qU;1 101110' help 1ll;,ldl kkil' [Iklll.
C{lIlg Cl.l Il)llg hqp e6 tlwe cilia nguycn HC'U co ele lhao I;le
lo,.lJ 11"(1' trong hiel! ellen thic!t k2'. Vi Ull, hai LO(tn cl)ng lrong lrong dO'-.lll
clurong trlnh dU()'i d:I.Y Iii hai phcp e(lIlg lo'.li trlf \'1 cluing kh6ng the Ilu.1'C
d6ng lh()i. Chllng til co Ihe g,-in d.c ph0p to,tn d6 el10 hai khili ehL"fe nZl1lg
kh,ic nhall hOi.ie eho cung 1TIl)1 khoi chL"fe n:ulg IUy thw)e d.e
b1l6e \'C Ii:li nguy0n. Kcu dicu kin ri:tng hUl)C \'C lili ngu)'i.':n yell c:\u :-.l1' dl.lng
220
www.Beenvn.com - download sach mien phi
l1l(ll b() UHlg. me.leh 1(;llg hqr duqc ... 0 c() (bng Iren hlnh 7.oa. Tuy nhlen.
ctleu r:l1lg bll(JC yell du hal b(l me.teh nh;lll (hl\fC :-.0 Cll lrl'll
hlnh 7.6h.
Vi dl.!,
process ( !\, B. Sci)

if ( Sci = 'I' )
titl'l1 /. <= B + C
l'ISl' Z <= A + D:
end if;
end procl'ss;
etC gein l(n hi0u song ... ong ccllhc dW"C .... il" dung ng[1l1 d{)i "("fi
1110t s6 loe.li ll1<'lch lhgic tll hl}'P, Vi duo trong qu;. trtllh -.;illh ra gl:l tn 1\11("j Cll,1
b(l c(1!lg (by dll bit dl duqc vie'! du6i (L.lng m()1 phcp 1;,ln till
song sung n[H!" trollg doc.1ll chucmg Irlllh dll"(ri d{IY.
Vi lIl.1.
architccilJl'l' D,llaF10\\ of FullAddcr is
signal A Il Cillo COLlt: BIT:
COllI ( A and B ) or ( ( J\ or B ) and Cin ):
l'ud DalaF10\\:
Irig(j EIl1l then SU<)"J1 ":1 trigO' 1:1111
'ICC Ihl'O 111 (I"l' thll"(\ng dlfo'c Sll" dung
lrong de ph;in Ill' n!J(i m()1 bit. Ta x:GI vi
till 111(1 1,'1 m;H:h tngo D [:1111 \'IC:C tilen
111((C.
Vi m(l I;i \'j m;.lch trigo D
1;\ln "ic Ih<..'o 111(I"( btlllg ng(lll ngu'
YHDL:
[)]ll [J[)OUI
-[)()--
S
- C
mnl! 7.7,!'ILKh tn-go D 1:\111 yieT
\"("1] ("he d(l deing 06 tlk'() 11llrC
121
www.Beenvn.com - download sach mien phi
signal S, Dill, DOut:
process ( S, Dill )
hegin
if ( S 'J' ) then
DOut <=
end if;
end process;
(,hllng ta lh,\y. danh s,ieh Clie 1111 !lh',lY e:1I1l eht'ra l'de lin hh:'U S Din.
ek lin hicu dn 111Ic't de rh:ill Ilr Irigo' D hO'.lI d(lllg dlillg. l\hu \"':Iy. kill
till Ircn dlf(\ng lin hicu S \';1 Din Ihay d(ii gla II"!, qU;l Irlnll ... C: dli(/e
d1l1l1ng lrlllh lTlO rhong hill. Cllllllg la lil:iy \'ie g,in gi,i Iri eho lin hll'U
DOtli dUr)'C '-til Il"Ong chi Inh dicll kin if, gicl tf! lin IIlu trcn (hrll'l1g tin hllI
DOLIt si: kllollg Ihay dr'il lieu gi,i [rj tren du'i"mg S billlg ,()". N0'u gl;'ilq [ll-'Il
du'(mg tin hicu S htlllg '1', gi;t Ir! Ircn c1u()"lIf,! tin hiu DOllt sc thay (kii \':\
billlg Din mt'Ji kili lin [rong danh siieh [in hilI nltay cam [hay dl;1 gii'ilrl
D6 EI h:lIlh \'i ho, .. t dl)llg cLla rh:in tlr Ing(5 1;1I11 \'I('e theo llllie :--JIm \';:IY. lkll
de milch trigo' 1;1111 vicc thcn llllk. chung Iii plHli chi j"() danh s,kh ell' tin
hlu t,le d(mg. Kili Clie [in hlu I;Ie d(mg thay doi Ihl qUit ll'!nh Illl1 la h:!llh \'1
ella Ill<,lcil sC: dU'9'c hln.
N6i dlllllg, h;\ilil \'i CLIa de JlWeh [ng(1 I:lln \"ic the() lllll-e sC' duoe X:I:-"
tlt,j'J]g Ircn nhCmg ei'llI Inh dJCU kln if kll(lug (hi), dl'l. ell the hicli chi knh
dicli if J...h(lng (hiy du lit du if chi e6 Im)l nh,\llh th('11 \:\ khullg
chLra nh;lnh c1st,. l\"hu nwi lin hiu hO;h: bien khl)llg dUde diL'lI hllicll h(')'i
t{11 cil de kh,\ n{l1Ig e(i lhc e6 eua diell kin dell dune llll1 ph\lllg 111:11111 lllll-rllg
ph::ill Ill' IIlgu' th..::o IlUi-C. C;lC ph:lll tll' trig(51;1111 \'i('c theo llilf()llg
XlI:tt hi('ll lrollg Llu,i Irlllh IllO plll'lIlg khi g'.IP c;le knh if \';\ cast' OJ cau IrltC
klH)ng d;IY dll. D(\ln dll!,(Jng trlllh t]'(lng \'1 lit! \'Lra nCli tl'l'll ILrung Ll'Ilg \'(')'i
phan Ill' Irigo Elm \'Jce Iheo mt'fe d dtH)e dJl'll ht\llg du ]Cnh if e6 e:1lI
IrllC khr'lIIg (by dLI.
Dc Ir;lnh XUil't hin ella de phSn Ill' lrig(i !i\ln "icc theo mlre khl\llg
mOllg l11u6n. ehlJng til ph;11 g;ln [ill hiu ,,6i tAt c,i de kha Ilallg e6 the U') ella
diC:u kln trong dc du knit rc nhi'inh. Vi dL,I, llC'U [<I sung de nh;lnli \";10
C,-lU Knh diC:u kln [J'Ollg dO'-,1I1 eilu'()"ng trillil nClI lren, chi Inh if sc dwyc hicli
222
www.Beenvn.com - download sach mien phi
(tty ell!. Kill dt) doan chmmg tr!nh sc c1U\K chuang Irl11h m() tt)llg
1HlP nhu 1ll<1t ph,tll tlf At\'D.
Vldl.1.
S, DIn, DOu!: BIT:
{ S, Din)

if ( S = '1' ) then
DOul <= Din;
else
l'ud if
end process:
DOllt <= 'cr;
Chung la c6 Ihe rn(l ta ni1L"rng ph:in tu trigo 1;1111 vice thc() \l1Lrc \t\1 hell lLIU
tin hicu Ilm't [{ip tri '0' hoi.ic 'I' kh()ng d(lllg b(l. DO<ln dUl'ting trlllh tlw"ii
d[IY SC blCll di0n ph:in ILl" Ingo'l:l1n \'ic then 111LrC c6 glcl Iri '0;: Ihle"1 Lip \\:: '(l'
J...hi lin 11Iu (btl \'aO kh6ng dong be) RST nh,)n gl,\ tl"1 b,lng' l\IH( \' .. (v
Irong \'f d\l n;I)" tfll hlCU RST 1:1 till kfch ho,.11 nii vO'i lllL!"C gi, l1'i Ifn hiC'u
can.
RST = I -==> IhicI Wp In,lllg tl1<ii eua trigo' '0'.
S, RST. D[n, DOll!: BIT;
Pl'OCI2SS ( S, RST, DIll )
hq!,in
if! J(5)T = 'I') then
DOLlI <= '0';
c1sif ( S:::: 'I' ) then
DOut <= DIn;
end if:
cnd procl2ss;
r-.;C'u chLlng: la muCin dOl lin I{ST thi:l11h tin hiLl kich h .. It ,/ii IllLK
gi,\ tri th'\"p. dic'u kin trollg cJu Inh if <.;13 chuyen Ill' ( RST ;:: 'I' ) thi:lllh
( RST = '[)' ).
www.Beenvn.com - download sach mien phi
J. XilY {hmg ml.H:h dl'mg htl hai pha
C(IC m,lch d6ng h6 hai pha c6 the' du\,l'C m(l ta h:ll1g deb su' dung cae
Irign I:lln vice theo 1l1ll'l.:. l\1neh d6nt! h(; hal plw (hr(le hicu (hen h,lng hai qu:i.
11"I11h (h.'l. mtl\ qu,i. Il'lnh m{l 1'-1 Ie) hClp yil !1lach 1:1\ t:int! Ill(lt. lju:!
Il'lllh kh.ic Ill,) ta 111'.lc11 16 hop \';1 mach 1:11 IClllg tIll! hai.
Vi dl.!. 11110'1 k0' Cll(1 Ill<'lch d6ng h,) hai pha ,,;: du'oc 1l1tl hI bang dn:.lll
dllfnng Iflnh trell ng6n ngll' VHDL nllll' sau:
D=
;hl; tJ 'II
-
. Z
B D r
Phi 2 I I
('n tity T\\'oPhasc is
port( A, B: in B[T: PhI. Ph2: in BIT: I: huffer r ):
end T\\'oPha"..:::
architecturt' Impkmcnl of T\\'oPhasc is
signalll: fliT:
... ( A. I. Phi I
hegin
if ( Phi_l = '1' ) then
J) <= " or?:
end if:
end proc('ss:
procl'ss( B. D, Phl_2 )
hegin
if ( Phi_2 ::::: 'I' ) thl'1l
7, <::: Band ( not D ):
www.Beenvn.com - download sach mien phi
end if
end process:
end Implement
4. Cric triga lam vi('C theo sUtHl ( nip.tlop )
Cae qua Irlnh chua cae tin hiu if wait dieu thl:O sui.'1!1 kn
SU'C111 xuong ) la cae qu<i trlnh duqe c1inh gio'. Cilc In'-,Ieh trigci dicu
Iheo sU0n sui.'m wong clIa tin lm':u se dll'0c 1,:,10 1'<1 lir m6 1<.'\
Iren ng(m ngu YHDL lle'u phcp g,in tin hiu ( hO[lc g.in hie'n ) dUQ'c tln,rc
thea sU0n 10n ho,)c suan xuong ella de tin hiu dieu khitn. Kili tim ra
,>uon ella I(n hiu dong ho. ChU'(illg Ir1nh m6 phl1ng co tht xac dinh dUQ'c \'j
Irf dc dtIu phfin tir Iriga yao !TIn hlnh. NhtI V(I)' m6 hlnh nh(m (hlqc se hO<'11
dqng theo dl! doan Irong giai dm,lil thiel kc.
Thune Ifnh event ella tin dung
de hicu dil:n sy' hien Ihien clIa tin hiu. Khi
chung ta c[in x,\c dinh Sl! hle'n thien ella I(n
hiLJ. thWle tinh event siS cho ra gi,\ lr! 16gie
tu5' Iheo tren <luang lin hiu co Xll<lt hi91l "lJ
kin hay kh6ng. ThuQc Ifnh stable cGng cho
gi,i Ir! 16gic vii e6 )' nghia nguQ'c h.li n"'ii thuQc
IInh ennt.
Tron!.: vi tlu du6'i duv. chuno- ta mn t,\ _ e
Din I
I DF/F Q
elK
DOu!
mnh 7.9. Trigo D (ticu khic'll
theo hlcu (ICing 110_
trigc) D diJu khie'n theo sHon len bilng ngnn ngO' YHDL. Bieu dil;n cLla 1l1'.lch
hao g6m m(ll qUii Irinh process e6 danh sach lin I[\C dQng chCra lin hicu
(kl11g Ito elK. Qua trlnh nay dura m(l\ ulU if Cll bicLi Ihu.-c dicu bet
kicm tm Slran 12n eLla lill hieu dong ho eLK. Trong Ihai gian tin IhiLI
J;)p SU'liIl len, giil Ir! Iren (lLri\ng 11n DIn dU'(iC gilIl cho (hrong lin hicll
DOu\.
V i ell.! m() 1:1 hOi.11 d(lllg cua tngo D lam vic thea sU'an len ella tin hieu
dong h6 elK.
signal eLK. DIn. DOul: BIT;
proccss( eLK)
begin
225
www.Beenvn.com - download sach mien phi
if ( CLK'eyent and CLK =' I' ) then
DOlIt <= Din;
end if;
cnd process:
Trang doc chuang trinh Iren ng6n ngiJ VHDL, cUc bie'n cling, co Ihe sinh
ra uk trigo dicu khicn then sub"]} tin hiu. Cluing ta hiet n\ng, khi 1l1<)t bien
dU0c khai h;lo trang mOt qua Irlnh process, gii.! Ir! cua bien '>0 kh6ng rb"i
khhi qua Ir!nh (eo nghla li\ gia Iri cllil bien dU0C kiwi h,-Io hen trong m(l\ qua
Irtnh se khollg dW1c SLr 6 hen llgo}i qua trlnh). l\hu Ihoi dic'm
llH)1 hierl sinh ra 11191 trig a dieu kilien then su-an trung qu,-! Irlnh 111<) ph<'lIlg
1;1 thc\i diem khi hie-n dUtfc su dl;mg tmoe Ide g[U1 giCI Iri hen Irong qua
trlnh clLrqe killen theo till hicu cl{)ng h6. Trong vi ell,! du6i c!iIY, dOi..111 mil
c11L]"<1ng trlnh VHDl sc sinh ra hai phi'ln tu: trigo Yic Iheo strim k.hl c1uoc
11111 phong.
Vi ell;): doan chHang Irlnh 1,-.tO ra hai plu:tn tu triga dieu khiell Ihen sU<JJ1
trong qUii trlnl1m6 phong.
signal CLK. DIn. DOuI: OIT:
proccss(CLK)
yar"iablc TMP: I31T;
hegin
if (CLK'event and ClK = ' 1') then
DOu!
end if:
end process:
DIn:
Trong vi dl;)lld.y, bien TMP duqc SLr dt.wg lru"6c khi duC/c gi.in gi,] Ifi. NIH!"
gia tf! chua trong bic'n TMP lit gia tr! c6 cltrQ"c S<.lU I[in trLIlk ella Irlnh
It! thJ!c quil Idnh vu chung la can ph,ii co m(ll trigo de" lUll 11'0 g.i:1 1n
nay. Neu chung la doi cho hai phep gan trong dOi.tn chuung Irluh U61 tren,
hien TMP se lU"ang ung dan thurin \'6i mOt d{ty dan. Khi do chi e6 Il1l)t phrin
tu trigC1 .'>c t'.lO fa trong qua trlllh 1116 hinh hoa mi..teh.
226
www.Beenvn.com - download sach mien phi
5. Thiet va x6a gia dung h() ,"'a kh{)ng dung he) trong cae
di(;u khicn thl'o sU'un tin flip-flop)
Uia tr\ ell<.t doc ph[111 tu flip-flop co dW1C thie'l Iflp 110(lc wu !l1(j1 deb
dong b<) dua vito sv xutll tin hicll trcn cac d:ill V;'IO Ill'Ung LIng ella p!dn
tLr. Phep gia Ir! chi dWie thuc kili '\U[\I hin ella till Mlng
Din
MUX .io]Q I
'0' I
' F/F
SRST L' C !
- =--s- ,
ClK
____ _ __ ------.l
IIlnh 7.10. tI\ach 0 /lIp-flop \"(J[ eLIU \6a
Irang lklllg b6.
b(l, Con lrang cae thi:ii diem kh(ll1g
eo lin hiu dong b(l. sv Ihay d6i giil
trj lin hlu tren de cUu thie't !;!P
xoa khong iinh hUt1'ng t6i tr,.tIl,!;'.
thai ella phan tu nh6.
TAt G'I cae phep gan ben 11"OI1g
!nh if rhiin Ll'ng \'(1i su'(:'m( kn
11o[\c ) clla lin se Cll I,IC
dL.mg Ihiel 1<:lp tr'.lllg Ih,li ella ph:in ILl'
nh6 trong loan b,? thai giall hlnh
SLfi:)n till d(lng h(). Ph:in tt'r flip-nop \'6i CitC (t{iu thie'l Iflp hO(lc xoa
trang th,il co Ihe dLfqe Illl) hlnh hoa htlllg clleh xac ojnh Ih()'i dil:m ct<.lU
dong b6 se dWiC thid hJp then sy' XUii't suan tlil hiu (hlng ho. DOi.lJl
dllfong trlnh du6'i ct[IY se luong OU'(J'ilg \'6i In'.lch flip-flop co call true duqe
1l1{) I,i tren hillh 7.10.
Vi dV:
CLK. DIn. DOu\. SRST:
process( ClK ):
if ( CLK'cnnt and CLK = '1' ) then
if ( SRST ']' ) then
end if:
end PI'UCCSS:
else
end if
DOHI (- '0';
DOlit f-- DIn;
Trong 00:.111 chuang trlnh chung la thuy cac tin DIn vii SRST
)";hong dn thiet ph<.ii n,l.m trong danh S<lch cae lin hiu tae d(mg, eic phep
227
www.Beenvn.com - download sach mien phi
gCII1 chi xuy fa khi xuat hin SI! thay dhi gia Iri ella tin dong h6 ClK
(duqc kicl1l tra hang ki911 ClK 'evcnt) III '0' sang' I' (du</e kie-11l tra
bIlI1g dicu kin CLK == 'I ').
Trong truong hqp, vi(;c thiet l(\p xoa gia trio cllll phan ILf nhd
kh6ng phlJ. lhuQe vao thai gian dong bo. Vi dlJ.. trong d.c phun IU Irigl1 D
dicu khien thea suan tin hiu (D flip-flop) doi vai truo-ng hqp, dn
thiet thiet gia Iri ho;;"c xoa gia trt kh6ng plW Ihuc)c vao thai gian c!('mg ho.
Do'.1Il chuang trlnh Vi-iDl duai day mo tu phun IU D flip-flop co de d[ill vao
xoa gia trj khong dong be).
Vi dlJ. D flip-flop vai duu xmi gia lrj khong dong h();
signal CLK, ARST, DIn, DOu!: BIT:
proccss( CLK, ARST )

if( ARST= 'I') tbcn
DOut '0';
Din
D
ClK C
DOut
01---
F/F
clsif ( CLK'evcnt and CLK == 'I' ) then
ARST
end if;
end process;
DOUI DIn;
Ilinh 7.11. !"Ilach D flip-flup \"lji
lhill :0;0<1 tr'.lllg Ih:ii khollg cUing bo.
Trong vi dl.1 nay, chung til Ihay thlJ.c tIuqc ma ti co m(JI cong ho
- cang xmi gia Irj khcmg tIring bQ ARST so voi trull"ng hqp xoa gi:\ Iri
dong b(1. Cae tin hiu CLK va ARST phai nam trong danh such tin hiu dicu
khien. Moi khi co I1lQI Sl! xua'! tren cac duong lill hit:u Cl"K
ARST, dOQ.11 chuang Idnh trong than ella process se tlll.re hin. Trang
vi tIy nay, tin hiu ARST co mue dQ U"U tien cao han tin hiu elK. K f h tin
tren duong ARST nh(1l1 gi,i tri 'I', dau ra eua D flip-flop nh(lll gJii tri
'0'. Trang nhung truang hOp kh<ic, D flip-nap se g;.in gia tr! tin ctIu \';\0
DIn eho d,iu ra DOut luang ung yai sU'an len cua tfn dong hci CLK.
6. Sa d(i chung mil ta ITI:,Ich co nh6
228
www.Beenvn.com - download sach mien phi
Nell xet tren quan diem diu true va ehue nang. mi..teh e6 nht'f IU()11 dUQ'e
ehia thimh hai pllan: cac Ihanh phUn 10 hryp va de 1Il<..teh llho citing be). Tuong
(rng. voi eaeh phfm chia eh(re nang va eau true nhu ma hinh eLla mach eo
nha bao g(ill1 hai ph:in: mQI phfill ma ttl d.e cau Irue va chue n:lilg I() hryp;
111Qt phfin mo t,i eae diu true va ehuc nflllg mach !lha dong h(>.
Trong phull ma ta e;"ie thunh phan 10 hqp, chung ta bieu nhLing
lhunh philn m<'.lch co hanh vi phI,! thuQc vao slf Ihay doi giil trj tin hiu
tHl.m trong danh sach lin hiu t<.lC d9ng. Tat d de lin hi4u du()"e tham
ehieu tai trong phan rno Iii de thanh phan to hQ'p ph<.'li durye Iit;t ke
trong danh sach cilc tin tae ch)ng. Cae thao tae d6i voi tin hiu
trang phun nay kh6ng di kcm \'ai cae phep kicm Ira xuAt hin
SUC)"]l tIn hiu ( tue \a kh6ng chua dc thuQe tinh 'event ).
Trong phan m6 ta ca.c m;:wh nha dong b9. chung ta 8icu dH::n cae
ph::in lni ..leh co hanh vi plw thuQc vao sl! xu:it hin de SUOI1 ctJa
tin dong bQ. Oic thao tac d6i voi tfn lrang ph[ill chua
cac phep kiem tra s\! kit;n tren dU<'J"ng tIn hiu va kiem tra SU'an tIn
hiu (nhlf CLK'event and CLK =' I' ).
Vi dl,!, dO<;lll chuang tr'nh sau m6 ta nweh It;lO xung c6 S0 do thie"t ke 6
muc logic nhu tren hinh 7.12.
entity Pulser is
porI ( CLK, PB: in BIT; Pulse: oul BIT ):
end Pulser;
FF
FF
eLK
mnh 7.12. M'.Ich tao xung.
architecture BHV or Pulse!" is
signal Ql, Q2: BIT:
begin
process ( ClK, Q I, Q2 )
begin
229
www.Beenvn.com - download sach mien phi
if ( CLK'cvcnt and CLK = 'I' ) then
QI PH:

cnd if;
Pul.-;(; <= (not QI ) nor Q2:
end process;
end IlHV:
Trong vi dl,l ph[in chCre ni"mg 10 hQ'p dU\1c bicu dien btmg phcp gun:
Pul-;e <= (not QI ) nor Q2:
Gm ph[il1 chLrc n[lIlg nila c16ng hQ Iheo suan !en du9'c dicn h,\ng UlU
if klt::m tra W kin:
if ( CLK'e,"'cnt and CLK = '1' ) then
QI

end if;
7. Cae thanh ghi
Cl.e d'-.mg thanh ghi kh,\c nhall cling thu6ng du'{fc su dung trang dc
n1<.lcil e6 nhCJ'. Tr":n hinh 7.13 chung ta bicll dlen -;0 do eua thanh ghi bon bit
\'ie trong dl) dong bQ bang tin hicu d6ng h6 CLK. Thanh gili duqc
thiet l(lp gia trj ban dall bang" 1111" kIll xu;lt hin tin tiliel 1(lp kh(lIlg
d6ng be) ASY]\'C. !(hi xual hin suan kn eua tin hiu dong b(l eLK, dc bit
("IX
,\S\\("
,-
s
1l)"LLII3) I 0"",(21
11)"uIII I
0 V
0
,-
s
,-
s
r-
D D
"
-
DIlO '
( ( Din
l)",1 I I
Innh 7.Ll So d(i (ill! Irtl(' 111<\1111 gill l-hn,
I l)"L
Q
s
U
1J1I1( III
dall \,:10 cua thanh ghi dUt)"c truycn 16i dau r<[ d6ng th(\i. Y6i thanh gili nhlf
no
www.Beenvn.com - download sach mien phi
Ircn hinh 7.13, chiing la c6 OO<.Ul chU'ang trlnh Iren ng6n ngH YHDl tU'ong
ling mo ti.t hill1l1 vi cua I11<Wh.
Y i dl;l1ll0 Iil. hanll vi cua thanh ghi b6n bit theo so do trcn hinh 7.13.
eLK, ASYNC: BIT;
DIn. DOut: BIT_ YECfOR ( 3 down to 0):
process ( eLK, ASYNC)
begin
if( ASYNC = 'I') then
DOu1 <= "1111";
cis if ( ClK'event and CLK:::o 'I') then
DOul <== DIn;
end if;
end process;
Y 6i sO 06 chung de m6 til. c,k 111<,lcl1 co nlio, chung ta co the blCLl
e,ic d<.lI1g thanh ghi kh,k tr(:n ngon ngu VHDl each lUong It!.
7.3. M6 hlnh hoa cae 610mat hfru
Ihiet kc m\Kh so co duqc chiu lam hai Ihanh phAn: bQ xu I)' dG'

f):iu vito IlT
ben ngoitl
'llli'lIlg lin trang Ih,\1
Lenh
I\'lath

dieu
"lach
xlr I}'
khitin
bo Irq
+-
dlr lieu

Tin hiu ra Dlr li?u vilO
Hlllh 7.14. M6 hinh atomal huu han voi de thimh
phAn t1icu khie:n va Ih:U1h phan xlr 15' lilT lieu,
[--+
r--
Dlf ltC'll ra
231
www.Beenvn.com - download sach mien phi
va bQ dieu khiC:n. M6i quan gii!a bQ c1ieu khien va bQ xu Iy diJ liu
trong maeh dU't,J'C bicu diell tn?n hlnh 7.14. BQ xu Iy di! thlfe cae
thao t.le d6i v(l'i diJ lill ehua trong de ph an ttl nh6 thea de lenh do b(l dicu
khien dLfa ra. B(l dicu khitn dua ra de dieu khien thich IWp eho hl) xu
I}' dfr Ii.li moi thai ctkm thai gian. Voi nhilng Inh di6u khien III b chcu
khien hQ xu Iy dilliu eo the: thl!e nhilng ehue nang va thaa lac thieh
hqp (M xac dUQ'e de till d,iu ra can thiel. l3Q dieu nhtm
nhling thong lin ph,in h6i Ill' h xu 15' da liu - eae thong lin th,11 xu I}'
tlii liu. Cic thong tin ph,tn h6i nay dlrqe su dl,lllg lam de hien quyct djnh de
xac c1jnh day ehuyen tr'.lIlg Ihai cua m'.leh.
B(l dieu khitn nilung m<'lch tuan It! co e,.\c Iri;lng thai dW1e dung x,ie
c1jnh de Inh dieu khitn eho he thong. mQI trang Ihai thai (iL.ra vilo
nhung Ihong tin tn.lng thai va thong tin dfiu vao (thong lin d{iu van do h(l xu
I)' (W liu eUng dip la de tin hiu tll ben ngoai) b(1 dicLl khien
ehuyen sang tn.lI1g thai m(1i va khoi de dicu khien moi va 1,.10 ra d_e
gi,i tr! ra lU(mg ung. HI.) dicu khien lilLfang dU'qc xily ILr cae !\l'.leli tu{in
It! - cae thanh ghi tn.lI1g th,ij va de m'.leh 16 hqp. Cie thanh ghi tn.lIlg th.11 iU'tl
gifr cae tn.lIlg thai hin thai, eon nweh t6 hqp h.\O ra cae Inh di6u khicn
tn.lIlg thai moi dva tren co S()' W.lI1g Ihai hin Ihoi \,;1 de tin hiu drill \'Uo. Cae
m<.leh lufin tt! eo ml.)t so hCi"u IWI1 cae thai gqi de (llamal hfru h'.II1.
Ctc atomal hCi"u h",111 la nhung eong el,l huu hiu de Ilwe hin de e(1 ehe dieu
kllien \'a quyet djnh lrung de nweh sO'.
May alomal hu'u h,,1Il la n1t bl.) sau < X. y, S. s,), O. Ie. >. trung do:
X - t(lp hqp de tin vao eua ot6mat:
X I X,(I), ... , X,,(I) I
Y - t(lp hqp de tin hill ra eua atomat:
y { y,(t) ... ., y",(t) I
S - hqp de tn.lllg Ih'ii. eua otomal:
S I S,(I) .... , s,(1) I
Sll - tn.lI1g thai ban (htu eua atamat:
So(I)ES
8 ( s, x ) - ham tn.lI1g thili ella Gtomat:
Ham A ( s, x ) - hJJn dau ra eLla Gtomal.
TU'ffilg ung voi cae phuong phap tfnh Im1n h;\lTI ehuyel1 tn.lI1g thai va ham
ra, ehung ta co eae atomat khae nhau. Hai d<.mg Gtomat huu han Ihong
232
www.Beenvn.com - download sach mien phi
dt.mg la alamat Moore va atomat Mealy. Chung la Slr dyng ngon ng[i' VHDL
de 1110 la hai ma hl'1h otomal nay.
1. Mo hlnh h6a ()Wmat Moore
Trang chuang 3,
chung ta da rna Ii.\. hloh
Ihlt"C olamat Moore. do d6
Ii..li chuang nay, chung la
se chi nh.i.e lai m()t each
ngiln g(,m.
Ot6mal Moore m(lt
otomat hliu Iwn co hum
thai va
ra c6 d,.mg nhu sau:
s(t) = 0(5(1-1), X(I))
v(t) = ),(s(l)) 1= t, 2, ...
o

=:
Mach to hdp 1 Mach nho Mach t6 hdp 2

,---.
f:::

:--.
Dau
Clocki
llinh 7.15. Sd do khoi ub olomal tlloorc.
Nhlr trong oWmi.lt Moore, tio hiu dau ra 6 thai diem hin thai chi phy
thu(lc viI.{) thai thai cua olomat; con Ih,ii a thai diem hin
thai sc duqc til1l1 thong qua lio dau vao t'-.Ii thai diem hio Ihoi vi\ lri.mg
th{d trulK do cua atom at. Theo so do khai Iren hlnh 7.15, olomat Moore c6
Ihe du(/c bi6u dicn baa gom mQt m'.lch t6 hqp dung de dc djnh lri.lI1g th{li
moi ella alomat thong qua 1111 vao vii tl"i..mg Ih,:ij tnroc d6: m(lt h mach
nha de lUll giu tr<.mg lh,il. Doi voi atomal Moore, lin dau ra chi phl.l
thuQC vao Il"\Ulg thai thai, do do, chung ta dn m()t m,wh 10 hQ"p nua de
xac dinh tin ra. M'.lch t6 hQ"p xac dinh tin hiu fa sc 1I0i Iry'C li6p
vai h lll<;lch nh6 In.mg thai b6i vi tin hiu ra chi phy thuQc vau tn.U1g thai ella
atomat 6 Ihai diem hin thai. Cic phan tu nh6 eua alomat Moore thlJ'lmg
duqc dieu khien himg lill dong ho, do d<S dc tin hiu ra cling (hl\1C dong
bt) hoa Iheo dong ho. Nhu atomat Moore la il1t)t alOmal voi dflll ra dtlng
b(l. VI Ie do chung ta khong can quan t[un t6i Ilhung kho khfm c6 the Xuflt
do cac qua Irlnh qua dQ c<.Ulh tranh giua cae ph,l.n IV trong l11<.lch
Clla 6tomat gay ra (nhfrng v[in de nay da duqe de C(lp t6i trong chuang 3).
Ck lin ra dm.1C tioh qua m<.\Ch t() hqp nilo cae tin tir h nh6 de
tl".'.lIlg thai.
www.Beenvn.com - download sach mien phi
Chung 1<1 eo Ihe bicu alamal MoOiC trcn ng6n ngii' VHDL luong It!
nlw khi hicu dien de tll<.Kh co nh6 Iren mue thanh ghi. QU,I Irlnb bteu dicn
olomal hli"u 11< ..1ll sc duqe chi a thanh hai phflll h: pbfm b I(} lHrp V;I phtm h
m,.teh IU{11l Tin hiu xoa In.mg II1 .. li khon,!! dong b(l kh6i lao gia Irj cho dc
thanh gbi v;\ tlu'a 61l'Hnal \'e tn.mg thai ban dilu. Om!;! \'6i Sl.f xufil hten sLt"(\n
ella tin hiu cl6ng b(l CLK. gii.l trj eua tn.mg Ihili m6i duq'c gi.ln eho lfi.mg Lh,li
hin thoi Ph:m h mi..\eh 10 hqp inO ti.l mach t6 heyp tinh IO<.lrl 1i"i..lllg th{li moi
\,;1 m,.tch t6 htjp linh loan gia trj d.lu ra. Doi \'('ri otomal ,\1oore, Lin hiu d'-Iu
ra chi phV Ihw)e \',10 thLii hin Ihc)"! [len m;.Icb to ]HJP XL\c djnh d.lu ra
kh6ng J...cl n6i \'oi de tin \'ao. Dnoi dtlY chung 1<.1 vi dl} X:ly
chu'ong Irlllh tren ngon ngu YI-IDL me) t.i otomat Moon: di(iu khiC::n 111<.lel1
cong bai so nguyen dau rldy tlnb.
Vi X{IY dl,J.'ng m".lCh dieu kllien phcp toan et)l1g hal so nguyen. SCi c!(i
Illu.)t loan dll\"fC dlt"a ra trcn hl11h 7.17. Cae so du'Ung dWJc IUtl IfLf du6i d'.lI1g
mil ticp con de so [un duc/c Iuu Irtf theo d'.l11g mil bu hai. Thco set do
tOiln. chung ta Xi.ly dl.rng dutjc so d() chuycn Irang thai ella (l(omat
Moon: tLt"cJ'ng Crng (hlnh 7.16). Tr(;n hillll 7.17, de Irt.lI1g (h<.i.i clm (Mllllal
Moore ntun tuung ung \'6i uk kh6i thVe phep Imin khollg ghi trong
d{lu ngo(\c cion.
234
()Iomat Moore nay co de tin hiu vuo ta: X = I x I ' x
2
' x, I;
cae tin fa Y = I YI' Y2' y" I:
eae tn.ltlg Ihai S = I SI\' SI ' S2 . S; , , S'i I:
,
"
, ,
"
/',
, ,
' ,
,
s,

"
"
"
S,
\, \,
.\,\,
IIlllh 7,16, So (\6 chllytn (rang lhji [lla (l\clnlal l"Ihlore ttrUllg
\"O'i tliutl1to,in tl"en hln11 7.\7.
www.Beenvn.com - download sach mien phi
Ci, Begin
( ~ ( , )
sign sm
0
X,
s,
()
x:
sign Reg
y,
sign sm
o
,
. ,
( ~ \ l
x,
0
P,P" . ./ PIP;>
S,
,
. ,
h"j
end
IIlnh 7.17. So d6 [huilt to;ln (Illre hi0n pilcp COil}:': hai ~ O c(\ (![I'll ph:iy lillh.
Do'-.Ill ciuro'ng tflnh dlffJ'i day m6 I,', 11191 ph[in ella olonla! Moore 1161 trC:ll.
entity MoorcSum is
port ( elK. RST: in BIT:
X: in BIT-VECTOR ( 3 downto 1 i:
Y: out BIT-VEe/OR (4 downto Iii:
cnd Moon:Sum;
235
www.Beenvn.com - download sach mien phi
236
architecture Implement of MooreSum is
begin
process ( eLK, RST. x )
hegin
type StateType is ( SO, SI, S2, S3, S.\, S5 )
variable State, NextStatc: StateType;
ire R S T ~ 'I') then
for I in I to 4 loop
YO) < ~ '0';
end loop;
S < ~ SO;
c1sif ( CLK'evcnt and eLK:::: ' l' ) then
State := NcxtState;
end if
case State is
when SO =>
for I in 1 to 4 loop
Y( I ) < ~ '0';
end loop:
if ( X( I ) ~ 'I' ) then
NcxtState ;:::: 51;
clsiF ( X( 2 ) ~ 'I' ) then
NcxtState := 53;
else NcxtStale := S2;
cndiL
when 51 =>
Y( I ) < ~ '1';
iF ( X( 2 ) ~ 'I' ) then
NexlState := S3;
else NCxlState := S2;
end if;
www.Beenvn.com - download sach mien phi
2. 1\1i) hlllh ht'Ja blumat Mealy
Gtomat Mealy tiS c[le h(lI11 lrang Ih.li vll hil.lll ra dlfOC bieu (hen
Iheo thue .... au:
s( I ) = 5( s( I - I ). x( I ) )
y(l) = 1,( s( I ). x( I ) ) 1=1. 2 ....
Doi \'ai 016mal Mealy. c .. k 1111 dau fa Iii plly thu(x: vilo ,r.mg Ih.ii \,;1
1111 hiu dau vilO a Ihai hin Ihal. Nlur lin hiu d.-ill ra co 11m)
doi 1l(:'1I Illl hll:lI d;ill vao bi Ihay deli (fOng Ihai gian Xu;}1 xung nhjp
dong h6. Dieu d6 1;1111 elm lin hiu dilu ra ella 616mal Mealy eo Ihe IUl: thui
nh(ln gi.i 11"1 !..hong do.in Int&: do c6 Sl.( In: lill ,xcI tlr Ihoi diem 1111
hiu Ihay (1\-)i den Ihai dllim 111(1 giii 11"1 dau fa ella phan Ilr Dip-flop Ihay
dbi. ch(m ... Ihay doi gi:i If! dilU I"a Irong Ihoi gian Ion 1 . 1.1 Cl'I.1 xling
dong 1>9. clUing la ph .. 'li dong b h6'l ho<.1I dQng cua olomal Mealy )"hong
dong b(>. Dt! dieu nay. lin hiu di vao hL,' 1ll'.ICh I1l1a Ir'.lIlg 11 .... 1 ph.ii
dU"qc dong bQ bllllg xung dong h6 \'ll khi do lin
hll;u dilu ra phai duqc xiic djnh chi trong Ihai
gian Ihi(:1 h}p suan Clll.l. xung d6ng h6.
Cung giang !lluf 016mal rvloorc, 610mat
r.. lealy cung duqc mo Iii Irl:1l ngon ng[( VHDL
b{lng hai phflll ph;ln m . lch 10 hqp xuc dinh
ham ra \"(li h:1.I11 chuyen Irang Ih;ii phfm
]11'.ICI1 nhi.1 dong bej. 016mal Mealy co the; duqc
x;iy Iheo hai dang: dang nwch khong dong
h9 (I:"ILI ra Vi\ d'.l llg lIlilch dong b <hiu fa. ClUing
la hay :xcI dell,! :X.-I), dl:rng mach dieu khit:!n Ilwc
phcp c(mg hai so dJ"u ph.-iy linh dJ neu a
nWc IrUCK:. Olomill Mealy luang ling vai Ihu(1.l
Imin IIl:n hinh 7.17 co gian dO Ir'.lIlg th,ii
mo t:1 Irl:11 hll1h 7.18. 016mal Mculy nh(1Il dm:;1C
se co de Ihong so
,
"
;
-.
.
-.
"----\. s, )_-----'
<"
-.
"----{s,'\------'
Il,lng th,il CU'L a lom;]]
IHOLlg lmg H1L
Ihu;lllo;iLllfl'Ll hiLlh 7. 17.
Cae tin hiu ra Y = I y, . y, . h y, I:
Cic In.lIlg thai lit: S = I 5". S, ' S, . S, I:
www.Beenvn.com - download sach mien phi
l\'hu \'(Iy eilting t<l th[iy rtmg. v6i clmg m()t thu(1t to{lIl. Ihit'! theo m6 hinh
!\kaly sc Ktbn tn.lllg thai hOn so vui thici hi theo mo hlnh
Nell x.fiy tlvng (Jt(mutt
l\:kalv theo thiel kc kh(ll1" Ml11!!
c _
ht). Sti dtJ ella o\(mla\ '-;C ell dang
nln!" Iren hlllh 7.19. Tron,g ph{m
h0 mach nht)' dt)ng kich
hOill Iri.li1g Ihili kh6ng dong b(l
(asynehoronous reset) d(tt gi<i
Irj dilll cho ole thanh ghi \'il dlr,\
m,iy \'C tr;.\l1g th,.li ban cHill. M6i
I;ln XU;')I hien ,'-;LTi)n ella tin hiell
eLK giil tr! eua Iqmg thai m6i
I ["(). Ihi:lnh tf'-.Ii1& th,ii hien ti1(1i.
Philn h to h9'P eUil o\(')lnal m6
Input

Mach
10 hdP
Output
thanh ghi trang thai}
Hinh 7.llJ. So do Il;!u}l:1115'
Olomal l\h;,lly \l(Mt tilIng Illl'o d6
khong: c161lg hO d:iu ra.
Iii 16gie eLla vic th,l.i m6i vii tin (Hill ra ella l)oan
chunng trinh dUoi (%y bieu elien olomal t!leo Illiel k6 khong be)
ella IOil.1l e(l11g hai s6 e6 dfill phuy tinh d.1 neu (j nwe Inroc.
cntit.\/ AsyncMcaly is
purl ( eLK. RST: in IllT:
X: in IllT-YECfOR ( 3 down I" I ):
Y: out BIT-VECTOR (4 downto ! ) 1:
cnd ASYlle\1ca\y;
architecture Implement of' Asynct'-.kal)! IS
hcgin
IH"OCl'SS ( elK, RST, x )
hC:,!in
typc StaleTypc is ( SO. S1. S2. S1 ):
"ariablc Slale, t\extSlak: StatcTypc :
ire RST='I')lhrn
Slate := SO:
clsif ( ClK'cvcnt and CLK::: '1' ) thcn
Slate := NextState:
www.Beenvn.com - download sach mien phi
end if:
case Stall.: is
wll{'l1 SO =>
if ( X( 1 ) 'I' ) then
Y( 1 ) 'I':
NcxtState := S I:
elsif ( X( 2 ) = 'J' ) then
Y( 3 ) '1':
NcxtStatc := S2:
clse
NcxlSlalc := S2:
end if:
when SI =>
Chung ta Ih,-Y1' r{mg. trung
6t6rnat Mealy kh(lIlg d6ng, h<), q.r
if ( X( 2 ) , I' ) then

NextState := S1:
else Y(2)='I':
NextState := S2:
end if:
Out
xu,!'t hicn tin hiu d[iu fa khollg In
elm"Ck

pct
1-->1

(king h0 \'6i tIll cUu \'(10. I:)ieu
co :-;0 dtlll t6i nhullg ket qua
thve llicn tlni1 sai. Dc h.h,k
[11lL,JC nhu(.1c diC:rn ella (J\()1lwt Mo.:aly
kilong dong b(l. cilLing ta sC: th0m
do trong lll<'lCh 11lt)t h nh6 d6ng
be). I k nila nay ntull giua mi.Kil 10
lH)"p tfnh 10;111 ham ra \'6i dfiu ra eua
btolllat. Thong tl1u'O'ng de phfin tt'r
ella ]11'.lel1 I1ha dong h(l nilY la de
[111,111 Ill' flip-flop. Cae flip-flop il\IY

--
--
M<Jcll

to hdp

lhanh
ghl
}---> ,
cloeS
llinh 7.20. So (ki nguyenl)'
ella atom at !'Ileal)' hoat d(lllg
thea ch0 db dong ba rial! [,L
23')
www.Beenvn.com - download sach mien phi
dll'CYc dong \-x? theo SUl)']l gi6ng nlur cae thanh ghi tqmg thai. Khi XlUY\
xlIng b{\ trong th{\i gian thiel ltlp sU'O'n cua tin hiu dong ho. h mi,tell
nh6 d[iu ra gi,i Ir! do m'-.tch Ie} hqp xac J!nh hiun nl tfnh to,-in ducyc.
Trong to<'111 b() khO<lng th(ji gian sau khi xung tU'ing h6 SHall. h
mach nila kh6ng thay doi tn.lI1g thili. Dieu nay lam cbo trong kho:mg thCii
giitn t6n CLl<1 xung dong h6, gi{\ tf! eLla cae tin d,lu ra kh(mg thay d6i
eho clil tin hiGu d:iu eo the: b! thay deli do nhD"JIg I}' do khuch qua. Ch(illg
1a ell the 1116 til d.e hi:un ehuycn tn.lI1g thiii trong phtill dOllg b(l ella chuong
trltlh VHDL. Doi voi 6t6mal Mealy theo thU;:lt tOLIIl trell hlnh 7.17. thi6t k6
\"()'j 1l1i.leh d6ng b{) driu ra se ctuQe bieu dil:ll btmg ngoll ngu' VJ-1Dl nhu du6i
d[\v.
240
entity SYllc\.1ealy is
pori ( eLK. RST: in IlIT:
X: in IlIT-VECTOR (3 downlo I ):
Y: ouIIllT-VECTOR (4 downlo I )):
end Sync MeaL
Hrchih'cture Implement of SyneMe.:tl is'
begin
process ( ClK, RST. X )
begin
Iype 5tateType is (SO. SI. S2, 53. S):
yariable State: StatcType;
iF (RST 'I' ) Ihen
State := SO;
elsif ( CLK'event Hnd CLK ::: 'I' ) then
case State is
when SO =>
if ( XI I ) 'I' ) then
Y( I ) '1':
State := Sl;
www.Beenvn.com - download sach mien phi
c\sit'( X(:.)::::: 'I') then
Y(3)<,,'\':
SLI1c :::::: S:L
l'I:-,c Y(2)<=='\':
S\ k :.:::::S2;
end if;
Nluf v,:IY. trong ch\.f(mg ni\\" Chl\llg la dJ dna I"a l'hlfng plutong ph;ip tlhl
hlnh ht'Ja diu trllC \':1 chll'c n:mg clla tlhl-l'ng ll1adl h)glC cn belli - dL' mach 11-;
In,ill \'il ctic mach 111:ill hI" 'ill' dl.lllg nhCl'IlS C,ill tnil- ,:0' h,in t n l 1 l ~ ng()l\ n ~ 2 1 1
VI IDL.
2 ~ 1
www.Beenvn.com - download sach mien phi
Wli t(lp 1.'110 chliong 7
I. Hay m{) l<l hanh vi ella b9 mil hoa 16 - 4 bang ng6n ngH VHDL.
2. M() td. cau truc va chuc nang clla h dcm - nghich. dong hI). mil
:"JilCD bAng ngon ngfr VHDL.
.). M6 til e{iu truc Vii chu'e nang ella b9 dcm kh6ng dong hQ. modul 10 hang
ng(m ngO' VIIDL
4. .\tl{) ta cAu true va ehuc nang eua b dem l';li tn.mg thai hang ng60 ngiI
VHDL
5. M6 tii eflu truc va ehtrc Ili.lng eua hQ delll nhj phan khong ddng hQ bil.ng
ng{)!l ngO' VHDL
6. M6 t{l cau true va ehue nang eua ll1<;teh cQng. In1 ma bu 2 biUlg ng6n ngfr
VllDL
7. M(l t,1 eAu true va ehCfe lliing eua mach cQng, trif mil bil I h:wg ng{m llgiJ
VHIlL
8. ,\1{) Iii dIU true \'01 ehere eLla 1l1i..lCh e(lng, trir mIT BCD bang ng6n ngu'
VHDL
lJ. ti.l cAli true \';l ehCrc Jl(mg cua mi;leh el)og 2 s6 nhj ph<1n 8 hit hilllg
ngon ngiJ VIIDL
10. M{) tii eau true va ehu'c ni.lng clla cc;>ng nhanh 2 so nhj ph,l/l 8 hit
bAng ngon ngiJ V HDL
11. M6 ta du truc va chLrC nang eua cac mi.lch th hqp, Ihlfc hin de bicu
there to;ln hoc, logic bang ngon ngiJ VHDL.
242
www.Beenvn.com - download sach mien phi
.. .. z
CHUONG VIII. CAC PHUONG PHAP KIEM TRA LOI
LOGIC
Trong chuang nay chung t6i trinll bay I11QI ..,6 phuong phap phat hln cac
lui cua cac lOgic. phal loi du\' thl,rC hin dl,l'a cac hQ gl{\
Iri Ihu nghim phat hin l6i. d d,ly vic phat C[IC lui Ihiet ke co the
tren cac m6 hinh dien bimg cac ng6n ngu m6 hinh !loa phan dmg.
nay dong tn(lt viii tro quan h9ng trnng qua trlnh s,ln xu[it va l;un gl<.llll
dLfC:K chi phi Ira. Trong chuc1ng nilY cilling loi trIp Irung Chll yeu vilo
de phuong phap pil{).1 llli \,:1 1,.10 b() gI<'t Iri Ihu nghim cho cac 1l1i).ch tel
hqp. Doi voi nhiJng 111'.ICh tw.ln It.l", do eu che--' ho .. d(mg pink t".IP cua chullg
nen \'ic ph,it hin loi c!trqc 11H.((; hin dua trell nhling phuong ph,ip pht."l"c
han doi v6i de m<'.lch t6 heyp \";1 "Un1 ra ngn:li khu6n kho cuon sach nay.
8.1. C,ic 1116 hinh liii logic
mli to{\ll ph,-!I hi9n 1l1J Irong eac l1li.\cb lOgic Iii bai toan xac d)nh so do
logic duqc thkt ke thl,l'c dU<;1C cac chuc nang da de ra. gii.li qUYCI bai
tOtin nay ehung ta can phili X<l.y dl}"ng ma hlnh cua logic nhu mQI d6i
tuqng kie'm Ira, sau do xfty dl,l'ng phuong phap phat hin loi cuoi cung la
xuy dl!ng m6 hll1h loi (eo nghitl la chi ra dU<jc cac trung cua loi ). Ta da
thfty rang thea 'luan diem phan 10i..li 1l1i..lch dL!<l vao d(mg clla chung, eac
nwch l6gic dU(jc chia thanh thanh d.c to hqp va cae tU .. 11l 11,1'.
N1Hf ta uJ. Ihi.ly trong cae ml!c tru6c. tren quan dic'm ve d9ng, cae
m'.lch If) h0P duqc the' hin (} eh6 t1"<;lng. thai clla dc duu ra d 1119i tho-i diem
Ihai gian dU(jc xac djnh hoan toan bing de tn.lI1g thai cua cac u[iu tai
cung m()1 th0i di6m. xe\ t)"ei1 quan diem diu Irue, trong cac mi..lch to h(."ip
hoan toan khong chua cac vong tin phi.ln hoi. Neu xet Iren khia c<.mh
pIliit hin l6i, ca.c t6 hQ"p lit nhiJng doi tuqng nghien euu kha dem
N6u xci tre:n quan diem hanh vi, lrong c1Qng cua cac lTH.lch turin It.!
xual cac tr<;lng Ihai ben trong; con xel tren quan diem c[iu true, ellC
nweh nay con chua cae \long phan h6i. Dieu do lam cho \'ic phat loi
trong de m,!-ch luan It.! la mot bili Io<in v6 eung phuc ti).p.
Ck h<) I1h6 \'a d.c b<) vi xu ly ve ly cling Ihue nhc5m cae 1l1<.lch
lufin tl!, nhung VI so lU<jng de Ihai trong CUi.1 chung n1"t 16n, do d6, n6i
243
www.Beenvn.com - download sach mien phi
chung. dc Ill'.ICh Illty kh(lI1g x":p \"ao nh6m c,ie 111<.lch lu:in Iy-. Vrfi nhCl"tlg
d:ll" diem kh;tc nlHr \'(1)' \'l'i crlu truc \,;1 \'i. pil,l\ hil'l1 Ibi ch'ij \'(1'1
ciie h(l nh6' hr) vi XLt" c,ln phili tinh den dc d,k lnrng n"la chung
cau Ink \'i,
I\hu \';)y chLing ta !hfly dmg kh(lI1g Ihc cr'l plut"rmg ph;ip chung ell: ph;i\
!<;i Imng dc m',tch 16gic. De co Ih6 Ihy-c hien dUCK cr)!l,!! d6 lit dn
ph,l] lUi! chon GtC phl!o'ng ph,ip ph,i! l1ien I(li linb tefi de Int"ng ella m,.tch.
M()I hil.l loan quan Irqng. xual Illen klli X\ly clL.rng de pl1urmg. ph(lp ph:11
[('\i !i"1 il,ra chon (1.)1 eho de lin hiu Ihll nghicill d,c dicm quan
,,;il Ibfch hqp. Tnt'()'c d{IY. khi kicill tra e;lc 111i,lch lllgic pln"t"c lap. ehelllg la
lju;m ",at de tIll hicll Ih(mg qua C<lC dicm nul bcn Irnng ell;l Ill,lCh. KIll merc
d() 11ch ho'p ella 111;.1cl1 1,-mg knl{Si Jllu'e nlll( lrung de Ill;.lch LSI. \'ic (t(ll 1111":'1
hi \"'l(:m tra de llUt ben lrong 1ll<,le[J Ir(')' Ill'n phll"C I'.lp llO'll nhicll, Dil:U d6 L:lln
"htl \'ll'l' d'.ll \';l quan ",;il de tin Juu Ihtl" nghlm t:.,11 nlllIng dicm hill Cll,1
m;'\L"h In')" nen \,(l dlllg kh() kh[\I1 \'tl kllJ kh(mg the Ihll'l' hln :.JIm
pIllIon!,'. ph:lp ph:11 hin Itli d(ii \'6i nhCmg 1ll<,ICh LSI chi dut,1'e "it' dUllg
c;ic eh:m \';10 \';1 eh:1ll ra eua mach.
Khi Lll) tich hop ella ll1ill"h limg Ill" LSI den VLSI. Iy gILt',\ -;6 Iw.mg eh:ln
hCII IIgo;li eLla 1ll;.lch \';1 ",6 IUr1ng plwll Ill' Irell tlllh Ihe h;11I (l:tn <-lICU d6
Ellll e!.to 10:ln ph;il hiell 101 d(ii \'()"i nhLrng 111.,lel1 VLSI !rl)' n":n phCl"e lilp
ho'll lllticll :-'0 \'r1'i ph;11 hicn It)] eho CitC Ill,teh LSI. Vice t;lnt! lllere d() 11cl1
h(fp ell,\ m;,lcll elw :,\,'\y dl,rng nhCrIlf!, mach co chel"e nang phC!'e 1',lp. \'1 ell.1
niH!' Ciic jl() \'1 Xl]' dil:lI11;IY t,-l1lg cit) pllll'c t;.I]1 clla to,in phill hien k)i.
Vil'l' tlill r,\ de phuong ph'lp gi:li lIlIy":t bi:li loan ph,il hi":n loi cilia f.cho;i
cllO \ ll'e d,c mach VLSI d611g \'ai trl) H) cllllg quail tf(.lllg l!'Ung
thtli d:'li ella de m.,leh VLSI
VI 1ll:.H,:h dn c1l1\iC klC:1ll tra 1;\ m',leh h'lgie, nen la gl,l thie'l dlllg khi trong
m;.teh c6 161. maeh vttn Ihl,J'c 11ln de ehuc n(mg nhu m(':l! mach j(lgJC, Cic lr'lJ
thoa lllail dicll kin dCli dune gqi Ii:I cal' It'll 16g1C.
eic J()] I()gie bic'lI ,inh hu'bng eua c,ie 16i \'(11 I)' len h;mh \'i ella de
Ihong c1lfriC m(l hlnh h6a. VI trong qua trlnh llH'l hinh h6a cae ph:in Ill'
mach chung 1<1 I,ieh hit Gic chue Il;tng logic vi\ hi\nh vi Ihi)'i gian. eho nell \<1
si: ph{m ellia dc I()i Ihi:lIlh de nh61ll loi sall:
www.Beenvn.com - download sach mien phi
NhOIl1 cac I(ii .lnh hUtI'llg tti"i c1nl'c lliglc O'liI ph.-ill Ill':
i'<hl)l1l dc \(')i anh hUllng t6i d() tre tin eli qU'I ph:in Ill'. Cac j{l[
10<,11 n;IY sc ;'mh hU<1'ng 16i Ih(Ji gJan linh to.tn clla ph;Ul Ilf \';\ do LIt)
cling tlnh hUlmg W;:11l m'.H.:h.
Kill \<1 m() 1,1 dc 16i nlHf elic i6i logic. la sc dunc llil(il1g Iu-i diem
niH!" sall:
Thlr nll[l\ lao hili 10,\.n ph[11J lich Ibi IHlth;:ll1h hill 10(111 l(lglC 110'11 Iii hi\i
tmlll \,[11 I)'. Dit'll n:l)' lilln dl) phCre tilP ella Imin gl;im \'1 nhlcLl j(li
\',-Jt I} kh,te nhau co Ihe: du'c:)"c mo hlnh h6a hilng cling m(ll I\\i IllgJC
ThCf hal 1;:1 m<)1 ,,6 I(li Illgic I["('f nell khllllg phu thUllC \';10 Clll1g
Iheo nghla: Ct:lllg Im)1 lllO hlnh k,i dl Ihd su' dung trong nhicll c{mg
ngh khac nhau. Do d6 dc phuong philp kicm Ira \'i:1 ehu;in dual]
(hfl.K x{\y eho molmo I1lnh loi sc khlmg thay dOl nga:,-"' ce'l khi (6
Ihay (bl \'e eong ngh.
Thlr ha Iii cae b() gi<.t tr\ thLi' nghicm dc' ph<.il hien ei\c 16i 16glC c6 the
(hrl)'C sif (h.lIlg d6i \'6i d.e 10) V{lt ly co h:mh \'1 tmill'. maeh Child hO;1ll
lnilll elLfO'c hieu ro hO(lC qu.\, piJCl'e 1'-.lp de e6 the ph,ln lich.
Mc)t 1110 hlnh !oi eo Iii 11111 hlnh fin hoi.le ml) hll1l1 \U'(lng I11mh.
;\1() hlnh lbi minh dc (tjnh m6t kh()ng gian It)i, [r(Jng d{l ILlng
lc'li dw:c xcie djnh dQc 1(lp, \'i:\ do (k, loi SC phfll1 lich co the dU\ie X(IC
djnh m()1 deh tLfo'ng minh. Tren tiurc Ie' ml1 hlnh !t)1 1Lro-ng 111illh Cll
the clLnJC 1110' rlm):! Illy ph,'li (him h.lo du'Oc kfeh tlur6e ella kh()\lg
gian 101 killing qUit 16'11.
1\16 hlnh h\i an xfly (hrng khong gian loi btlllg (('tcll ;(i\c dinh c{l IVa
Chl)ll e:tc loi IU)' theo mClc d(l quan [,till Cilll ycu lhl)ng qu,1 c(tc tinh
chill clla ehling.
cho tnr{J"c 16i vit m(J hlnh mach, vc Chlltlg la x(le
djnh dUl.iC chCfC nang logic ella ll1Hch \'6i sv ton t,li cLla Illi nily lrong lllach.
l\lnr \'(Iy, qu,\. lrlnh ml) h!nh ho.1 l(li lien quan 11110.':'1 16i loa I Ill\'l hinh
1l1',lCh dang slr (h.mg. Tuy theo Il1l1 hinh 1l1;.leh CitC Itli logic C(') the chia
.so hl) Ihimh de loai salt:
C .. ic I(,i ehrq'c xac djnh gan lien vl-)i 1l1() hlnh cril' trllC ehrnc gO! Iii Ule
Itli e[tll Irue . ;\nh lllf6ng ella e,ic 16i du truc let (k)! "lX lien
kc't "ilia de 111;lnh philil mi.lch.
Cll III I duq'c X,-IC djllh gtm lien \'6i 1Il() hlllh Clllk nfmg eLla 1ll'.lcil
duoc g(.)j 1:\ c(le It,i chCrc nfl11g. Vi elL.1. ,'mil hu('mg ella c(lC !6i clnk
2--l5
www.Beenvn.com - download sach mien phi
nimg c6 the lam Ihay deli hang ehun Iy cua thanh philn mi..Ich
dan t6'i vic cam cae phep toan tren thanh ghi.
du cae loi ngau [lhien h04C loi cJc)1 co tllLJOng xuyen trong
mi..H.:h, \'ic ma hlah hoa nhfrng li'li do yeu cau cae dfr liu Ihong ke s,!
xUil1 hicn thea Xi.lc suat cua chung. l\'hO"ng dO" nay can thlet de Xi.lc d!nh
s6 l[in kiem If a mQt deh dqc can L.li de" cl,fc hoa )i.ae suilt phat
nhO"ng loi chi xU<lt hin viii Ian trang 111".lch v6i nhOng gia tri tht'r
nghiJl1. Thong thuang chung ta kh6ng c6 dfiy dll nhung thong lin vc
thong ke. do cJ6 doi vai nhO"ng cJ(>1 bi6n hm)e xuAt hien kh6ng thu'ang xuycn.
lot nhUt Iii. sti <.iI;lllg dc phuang phiip kiem ngl1Jm In;J."c tuyen. Tmng. glao
trlnh nay chung ta chi nghien cCru 16i cac h,i thuimg tl""l!c.
Neu khang duqc nhk 16i m(lt cach lLro'ng minh, chung ta giii thiet dIng
t".li mot thai ditm thai gian, trong m<'.lch chi c6 nhieu nhal lu nH)[ 16i. VIC
Iillll dan gian hoa bili to{lI1 pld! hin 16i hang gi::i thiet ve 1M dun Ie dw1C
bin minh bai chi(/II flwc 1.:/"111 'Fa r/II(/f/lg xI/yell. Chien IWlc kitm tra thuimg
xuyen co the" duqe phat hicu nhU sau: chung ta can kie:m tra h Ih6ng m()t
each tilliang xuycn sao cho xac suat xual hin nhieu han mOl loi giCi"a hai Hin
kiclll tra lien tiep lu du nh6. Do do neu khoi.lng thai gian hO'!t dng eua m,.teh
cang l6"n, ta sc co nhieu khu nang tru'ong hqp xuat hin nhicu 16i trong
nwch mot lue. Tren thI!C te van XUi.lt hi en nhung IrLiang hqp ma chien luvc
Ira tlmong xuycn khong du de ngan twong hqp trong m'.lch Cll thc
xuat nhieu loi m9t hic. NhO"ng truong hqp e6 Ihe: xuAt hin nhieu hern
HH)t loi trong mi..lch co the iiI:
Cac lai \,(It Iy c6 the: XU;!I hin tmng llwch giil"a hat ][in kicm Ira Et.
Trong nhfrng loi 15' do, m(H saloi c6 tht tuong (rng vai nhicu loi
logic. Dicu nay c6 khu mlng x<1.y ra 16n cloi veii nhOng mi.lCh co d(>
tfch hqp cao trong do nhieu loi \';)t Iy c6 .lnh huang toi he
tinh the tren do e6 1119t so cac thanh ph[lll nwch.
Trong nhO"ng mqeh mai duqc san mii't, thl trong nhung Ian thlr diiu
nhCi"ng loi kcp e6 the xuat
Trong tlUang hqp nhO"ng phep IlIti khong phat hin duqc het nhOng
Uii don Ie, tai bat ky hie nuo, n1,!-eh co tM chua nhung loi chua duvc
plli.it hi1l (loi ,1n). Nhfrng loi an nay, khi xu,Yt hin nhfrllg loi dO'n Ie
thu hai giua hai IiIn tra, sc I<;tO fa nhieu I<.\i kcp trong 1l1i.lch.
Tuy du lrong 1l1i.lch e6 the xuat hin nhicu 16i dtlng thai, nilU"ng
nhCi"ng h9 gia trj thti nghim dung de phat cae loi don JC e6 the dung de'
246
www.Beenvn.com - download sach mien phi
tim nhung 16i kep. Sa dl co the' thl!C hien duqc nhu Iii. do Irong ph:ill [6n
d.c truang hqp. nllll)lg hJi Up ("() the>" (iI(I(" plllft hifll klli kit"rn !Hillg
nhifng b(j gici tri tJllt nghim thie
l
! ki" clIO vi?'(" phdt hi1I lIill7ng IrSr dan {c'IWp
tlulnh //ii kc'p I/()i frhl.
chung. cdc nUl Mllh irS! ("(Ill {nie giu thie! rc1n;.;: ("{II- rliiillir pli,/'n
khollg ("() J/ii WI eM co nl1l711g dlf(llIg kh n6i ellling /d ("() the" ("(J Cic I()]
trtfng do dc duang ket noi t<).o nen thuang lu: ngan m<;lch ho{!C he)' mach.
Cae lOi ngcln mfJeh ( m<).ch ) la nhfrng 16i xual hil'n klli nhung
duang truyen khong duqc phep lien ket bj ch(lp. Vi duo lrang nhicll
cong si! ngan m<;lch giua day dat ngu6n voi cae dUll"ng lin
e6 the lam eho dUC1ng tin hiu bj c6 muc din the eo dinh.
Khi do 16i logic tuang ung vai si! sc bao g6m nhCi"ng tin
hiu nhung gia tfj e6 dinh \E{O.l f. Nhung 16i nay dmyc goi Iii.
nhling loi hang so va (1trqc ky' hiu Iii. S-(/-\'. Sl! ch(lp nweh giLra iwi
dUC1llg till hiu thuang sinh ra nhfrng ham 16gic mai vu dltq"C goi
d.e Ibi bac d1,u. Tuang ung vai nhung ham logic dUQ'c sinh ra do
mi.lch, chung ta phan 16i bil.C d.u AND va 16i bac Ci."iu OR.
Cae LOi IIllJch hir la ket qua CLla si! dlit dc ket noi. Trang nhieu eang


'I
d,e dU"<!ng lin ,
hleu b,
nghen
nhanh r---,
.,
Innh ttl. Loi ho- mach:
a) 16i her ml.lch ddn; b) 16i
her mach kep.
s\! h6 tfcn nhGng (hrCing lin
mot ehieu \'oi nx)1 nhanh phan ky se lam eho
dUC1ng tin 'laO do trd thanh bj ngat vii
nh(m IllOt gia logic eo djnh ( nhu lren hlnh
8.la ) va do d6 Iren duang tin hiu nay xuat
hin mot loi hung so .\'-(1-1'. Nhling loi nay
cung co la ket qUii clla nhung 16i Vi.}t I)'
ben trong phan tt! dieu khien duang tin
Neu chung ta kh6ng tra gii.l tr! trcll hai
duu cua duong tin hiu thl khong the ph{\ll
bit duqc hai tn1C1ng hqp noi Iren.
Nhu chung ta th:iy rang, mot loi
logic dan Ie. VI dl,l nhu loi htmg so gii.l
tr! a E {O, I) tren dul':mg tin hiu i co the:
bieu dien cae loi Iy hoan toan kh.:ic nhau:
16i do duong tin hiu i bi ngat: 16i do duang tin i bj voi ngu()n
dal; bat ky mOl 16i Iy ben trong phan IU e6 dUC1ng tin i dau fa
va 16i nay lam eho duemg tin hiu i luon giG' gia trj bang (I.
247
www.Beenvn.com - download sach mien phi
Su 11(\ lll'.lcl1lfcn m{)t dlf(l'Ilg lin hl\'U c6 rc nh<inh co lile ra 161
llang ..,() de nh<inh Illanll phiin (\'i nilLr Ircn hll1h X,I h), t\'Cli ell! gi6i
h;,lll nglucil eCru lll(l hinh 16i klng s(} ehm le. ebling, I'l pli,'u XI.!Ill xcI JllO! J()J
h,-lllg ..,Il d(in k Ircn e;ie nll<inll rC' eLLa dw\ng 1In hi\'u laeh \,t'J'i ](ll uen
llh,inh ehinh.
Kili 1:\ Ilwe mil hillil l](l,i lll',lch lil-:o ILl'llg hU(l'c ph:'lll c,Yp. mell Ih;mil
ph;'ul mach .,,0 dlH/C hicu tiil.'ll Ihc() c[le lllt1 Jdnh c;fu Inle ])':n Iron,:! ella
ehLlng. Tuy nc'u C<Ie Ihi'lllh r,\],\ll m;.lCh dUl.1C kicm Ira d{lC l<:lP Inn'rc kill
clll'lJlg dU\K bp r;ip. klli ell) eheing la chi dn IhlC'1 ].;iemlra c<'le Ihi ,'l11il ilUCillg
IIl'1 de dlr(\ng ],;0'1 nt)! gala de pll,ln ILf d(). :--JIll! \,(Iy chung la '>c ]';hllllS XCIl1
\l'l etc loi xU,ll b':n IlOng c,\c Ih;lnh ph,'l1l ll1;,lch m;1 chi gJ()'i h:,ln \'lCC
kh:lO "'[11 de ll\i gt!!J \,{ri de eh:1Il \';IO!ra eLl,[ Ih;mh ph{in. lil,i Ihicl n:' gil)'j
han etc j()i 1;.li Ch:1l1 \";'IO/ra g(.ll 1:\ /11(1 lIillll !tIl (Jlilll nio/w. Sal! c1:1Y elll'l1lg
1.1 XClll XcI 1l1l)1 ... 6 \'1 dl,l \'C :lIlh hu('jug ella dc d . lng 16i n()i Iren dC:Jl ehLre
J],-lIlg ]()gle ella de lll',leh.
Trollg c<ie lll:.lCh j()gic. kli h;\ng S() 1;.161 hay Kill IrCil m()1 dlJ'(\ng tin
-c (.r I \ )+1I'+(.\"-; y)--=x 1.\ '-II'
Inuh KZ Vi du '-0 dll m,ldl
l1i\'Ll (/ XU:!I hi1l It'll h<'lllg S(l yil I.-Ull ello gi,i Iri I III hicu e(i d!I1h h;'lJl),! k 1111 It'>i
'>0 dL(,l"C glH 1:'1 I(\i h:"IJlg sli gi,i II"! k \';\ dU\K' hi'::u dlCIl Irl'll d6 In'.ldl LI
(ill. T.l \"i eh.! m;.leh hlllll S.l.
\'':'-u lrong m'.lch c61(\i htlng s6 d/l Ih] ra sC' b! Ihay d6i Y;'l It;'ull ra
Cll.! 1ll;'Ieh ,>0 h;\ng:
- -/ (\\' r 1'1 - .r + \'
- - . .II , .. _ -
Tmng IfUlf!lg hqp c() Ibl
s6,'..:/0 Ih] h;Ull ra s0 h;\ng:
==.(jll' .. cy) ==.1 + -" + II'
Ilillh KZ Vi du lil.lch lllgK.
t\.:'u c.ie 16i h[l1lg '>(1 XU,t'l
hien Irell c1ucmg lin bicu (()
rc nh:ulh. la dn clui 5' xcI
;lllh hu/mg lall nhau ella ute loi Iren Gic nh:lllh eLLa dUlfIlg lin hiu, Nhu
I["ong \,( dy In:n. IrCIl c1ulmg lin g XU<t"1 hicn il)! g/f), \':m d:,ll ]';11:1 Ibl
www.Beenvn.com - download sach mien phi
\li\\' c6 ,'tnh hu'('mg l6'i dui\ng lin hiu k kh()ng, Trong mt)1 "0 Inrcmg hq'p. nCLl
chi C"IC lien k0"1 giG'a dlc c1u'ong lin hiu IhI111()t deh IV nhiC'n, [(li Sj()
"e,; g.\y ra [(}i k((): nhung ne'u xci Ircn quan c1iem \'[11 Iy Ihl di':u d6
chtlil N(li chung. de (tim bao Iinh 16ng qUilL chung la dn ph:li gl<l t[u0"1 rtmg
[(\1,'..;/0 vii k/IJ lil nillrng It)i Kh.IC nhau, Nhu v,)y, Irong Inri:ing IH,1]1 IrOll!; mach
'd.l:I't hlcn 161 k/I), ra '>(: cho gi,i Iq :: biing:
::=/;",(\\',.I",y)= \\' .rr*(1i
Trong lnri:l'llg hor nil)" h:l111 ra Kh<.lc \"(')'i trlfimg h<.1'p I(\i gj().
Tr{lng Irl((\ng hop lrollg 1ll',ICh xUllt hlcn \(')i ej() . m(ll ccich [ll" nhiC'IL
dLli1g ta ct'i [hC: Itli nilY l2t I[q) h(JP clla hai It'll g/() vii k/() l\11ll' \',:IY chLlllg
ta thClY c() sv ph,11l nh<.lnh \':1 Ian Iruy(!n [/)i Ih.:o c,ie dl((\ng lin hiu lien KC"L.
Tr'1m L.li. t-.hi c(l phfl11 nhilnh du'('mg lin eilling la c:ln ehu )' [l)'i
nhu-ng khi\ n:lllg phal sinh 16i [ren C"IC nh[lI1h "il l...hei n,-Illg xCI c;ie c1u'(\ng lin
hieu phil]} nh;jnh: Ule clu'bng tin sall diem rc nh<.lnh c6 the dlfl,ie coi
Iii llhCl"Ilg dlflYilg IIIl kluic nh<lu, tAl cii nhtlng (h[,\ng tin hlCU hcn J..d
nii llhau e6 Ihe duU"c coi !iI ellllg !l1t)t dui:mg:.
f3i"IY gl{) ChLlIlg 1<1 xCt UIC j()i c11;)p mach. t\hlf Iren dJ dc qp ({"Ii. 11'011:;
x 0 k
\f>

llillh N,.' Ul[ Il.lL' l';lll \:'-l1)1 l'-d I
Ill":[lllll[):; 1Il:ldllll'nliillh::-; 2.
nhiC:u tru'('jng hop Ceil' h\i eh')[1
m<'lch I'.H) llC'1l nht:rng h\i bflc
dill dUnc Inrllg h('jl
\'ic thue hlen dlc 11:1111 [(\glc
A0JD OR. Khl IrOIl);
m'.lch dl sl.l" ch,\p hal dU(\Il)l
tin hlU <I \':1 II \'il '-;lIlh ra CiIl'
h\1 hell' lLrU"llg Cfll,:'- \'(\'j
de \('lgic m;1 ChLlIlg Ihe hlcn [;\ AND !JO;IC OR. dlc h\i n;IY s0 dune
111cu \Uung ling 1,\ Al\D(a-h). OR(iI-b). Ta h:IY \"I du mach IrCIl hillh X,2.
nClI dlf(\ng lin hi0u (" va II b! eh:'!) "il sinh ra [(Ii dl,:IP Ill'.ICh htIC du AND( c-d
). hi\ll1 ra ,,;: ell lL.ll1g nhu S;IU:
f"\"iJ/,.,,/II" .. r,yJ + .\y + II" Y + .1" \\'
r-,.1(ll Irong nhCrng hili to{Ul co" b,'lll licn \'(')'i m() 111nll It,i [(lgie [il 11','\ [(\i
C,'ILI h()i: m{l hinh [{li [(lgie c(l ph"\ll {Inh cae [()j \'{II I)' xu.11 lrong cie
mach \'6i d() trullg tlure -Dc U) I
l
lC tlwJc Slf Ilfong uHg m(ll deh
kh.ich quan \'l)'i dc l(\i \"(11 ch(ing (,hI ph.ii Ilghi011 etill m(ll sCi !u\mg kill
e,le mo hinh it'll [()gil'. Nhung Ull d("). m(ll kh(") kh,-m ph,li 1;1 dlCLI n;\y 1:1111
elm de Ihll.lt lo.ill t<'IO ciic bt'l giii In Ihlf nghiC'1ll dLIllf! de ph;11 111cn [ill Irii
2--1-9
www.Beenvn.com - download sach mien phi
ncn phuc tap han Doi v6i m(lt so m6 hlnh It'll logic nhu mo hinh 16i
h:lIlg so, vic tao d.c h gii tr, thu de phat 16i wong d6i dan
gian. Them vdo do mt diem yeu ella m6 hlnh loi hang so lit m6 hinh 16i
nay kh6ng phan unh m(lt each day du. cac dij.c t[nh clla cac loi \,\It \)'.
8.2. Bili tmin phat l<"Ii
Trang ml.lc nay chung ta dLIa ra mQt so khai nim ve hili Imin ma hlnh
hO<.i l6i va phal hin 16i trang dc nwch logic. Vi chung ta chi a cac mi..teh
logic lam hai nhom: de m;;teh 16 hqp va d.e m<.lch tuan ttl theo hanh vi
hm.tt d(lllg clla m'.lCh. Ci.c 1m.1i nay co diem khiic bit d Sl! ton li.ll eua
bQ nho va vong phan h6i. Do d6 cae phuong phap li.to de bQ gia Iri tht'r
nghim d6i v6i hai noi tl'en cung c6 nhiIng ditm khi.tc bit. Chung
ta sc xet ricng tung 10<;1i mqt so phuong phap su dy.ng eho cae lmwh tel
hqp ding co the phal tricn sang tru'ong hqp de mi.tell tuAn veli nhung slJ'a
doi Ihich hqp.
1. Pilat loi trung cae to hlJp
a. Bai toan ph at U)i
Cho Z(x) Ii! ham logic clla mi.lch 16 hqp N v6i x la v-:cw gia tr! dfill
hAl ky vA Z(x) bieu Jien anh Xi.llhy.'c hin h6i N. V6i m(ll vce\(1 gi[l tl'!
dfiu VilO ql the t = ( x
t
,x
2
, .. ,x
l1
), ta se c6 Z(t) la dip ling dta m,:!eh N doi \'oi
vceta t. Doi \'6i nhiIng mi.lch co nhieu d[iu ra, Z(t) cling lit vec10.
Neu trang mi.leh xuat hin 10iI, mi.lch N se chuycn I1li,1ch Nt. Ta gia
Ihiet r:mg m;.lch N
j
c(jng lit t6 hqp "oi ham ehuc Z/x). Mach dU'<;1e
kie'm nghim btlllg each d(lt day T eu.a cac veetlJ gia tl'j thu nghi('m t
1
,t
2
, ... ,t,,,
len c:.i.e eua m,;lCh va so sunil nhli'ng gia Il'i thu duq'c tl'en .Hu ra theo
Iy thuyet eua nweh N tuang ling v6i nhling vceW dfiu "ao do: Z(lj)' Z(t2)'"''
Z(t",) v6i nhO'ng giil. tf! thu dUQ'e lren thl!e Ie.
Chung la dua ra dinh nghia nhu sau: vceta gia tf! kiem t duqc gqi
lit phat hin 16i f neu: Zj(t) 1:- Z(t). Khi ap dinh nghia trcn, chung la phai
chu y nhi1ng diem dutii day:
250
www.Beenvn.com - download sach mien phi
Ciie veeta gia tfj ki6m nghim trung day Teo th6 dUtJ'e su: dl;!ng kh6ng
plW lhut)e 'laO trlnh tl! ap dL.mg, doi voi IlWeh 16 hqp N, day T dU(;iC
gQi la hqp cae vccto' gia tr! nghim.
Djnh nghla 11;'Y kh6ng ap dyng duqc neu m,!-eh ehua 16i N, trl11htHlh
mach tufln
Trang djnh nghii.l I1llY ehung ta gi,\ thiet n'ing vie ki6m tm loi b,\ng
each cae gi<.1 Iq Ihu nghim va thu cae kc"! qmt th(mg qua de
clla ph .. tn tu Va so siinh hoilll toan eua de ket l}lt<i duqe.
Chung la xac djnh
F,(t} == F/x"x! ... x,) = Z(x/.x
2
. x,,,) EB Zrr/,X:"",xl/)
t\'lm v('y, neu Z(t) -:;t; 2
1
(t) ta sc eo F/(t) nh(U1 gi[L tr! 'I '; con neu %(t) = 2
1
(t)
b511g nhau, lue IiI F/f} gia Ir! '0', la noi rUng veeW giil. trj thtl." t
kh6ng duqe loi f Ham F/t) eon duqe gQi la ham khoJ.ng each 10i.
Veeta gia Ir! dau vao 1 = (X
I
'X
2
.. x,,) lam eho F/t) =1 de phat hin duqe loil
va gQi Ia vceta gia Irj kiem nghim plutt lc\if
Ncu trang 111<.,\ch co p 16i viI ncu 1',//), FAt) , ... , FI'(t) la de ham khmlng
deh WOJlg lrng \'6i tung loi, khi do de \'ecW gi,i Irj hem nghim de pllL!t
hln nhil"ng Ill! do duqc 1,.10 thanh t(lp hqp:
,-,
T(lp hqp BtlY g9i Iii. cac gi[l Irj kiem nghim de phat hin 16i.
Ta hay xct ll1<)t \'i dl;!, trC:n hinh 8.4 xuilt hin Il)ij"El 10i bAe c:iu OR
Z
0<
"
Z,
, 1
Hinh SA Vi du Inrollg lKJp
101 biic cau )(jgic OR.
gilra hai du6ng tin x
2
, Loi hAc C,ill n;IY
dua de ham ra ri.ti ZI va 1:2 tny thanh:
ZI,= x/ + X
2
(Ihay eha L/ = X/X
2
)
L2/= (XI +x
2
}x( (thay choZ
1
=X
2
.\"3)
Veeta gia di.l.u t = "011" ello phep phat
hin loi nay VI vceta gia trj d:iu fa kili mach
khCmg 16i bitng
1:(011 )=01
trang kill do khi XU;}t hi11 16i l
Z,(OII)= II,
Nhu V(IY, la co Z( I) -:t:- Z/ t) viI F, (t) = 1.
251
www.Beenvn.com - download sach mien phi

I G2 I
L-f----l


G5 Z
G4 --
Ilinh 1t5 Vi till ](li hillll" ,(l 1',/1)
Ta :\0t tl10m vi dL,1 \'C CC\c
[tSl him,S dlii \'(ii lll<,ICIl
tr0n hl11h 5, M'.ICh n:\v
tln,re h:lI11 16gll":
Z=(.I.+,r,J.I,..+ 1'11':
(Ii;\ t[Het rClng trung
mach :,\Uil't hi0lJ I,\i lI/lIlg
r !;!I<ltri '0' .\"u-()
dU'(\11g <10.\ .. ( \(ll _ljO ). V(i'i "V hi0n ella [(li "Ij(), t.1 IU(')ll d) 1",.. = I
h:ll11 fa 1 . 11 : Ira' Ih;1Ilh: ZJ = ( r: + Xi ).1
1
_ DICU ph,it lli0n Itll
Nllu "[IY mOl \'ceW gi.i In dCILl v;\o c6 x' \'J. x Iho.\ 111;111 (ti\5u kll':'n In':'1) - ILK
. " .
Ll .1'1 = () v;\ .r.
i
= 1, 1:1 \'ceIO" gi;i tq kicll1 ph;!1 11101\ I()i .I,m. Suy ra
"Celo' ,gitl II! kicmnghi01ll ph(tt [OJ x)O ctllhc b m()1 trung 11hCrng \'l'ClO'
sau:! OIiIlUIOI UIIIII, 01 I I),
"
"
"
o
o
1
Gl

G2
0/1
G3
-,
0
G4
0/1
G5
0/1
,
\':1 quail "at 11;\1111 vi clla
m.Kh 1J'llllg tnr(l'llg hop
)"'hll!l,l! c(i Ill! \'::1 U'l Ill[
C() lImh .\ u-l [ren d:iu
ra ella ph:lll III (;,. 1'1'011
I1lllh I'e dll'a ]''1 gi;i Ir! lin
hll:U Irl:ll eic dUll'llg lin
Imllt:'- h,li IrlnJ-llg
hop kh(Jllg I(li c6 Ii)i dU(ii dang l'i1'I' IIOllg dl') \' 1::1 nhi:illt:'- gi-i II'l ItHing
\'(ii Inl'(\ng hop kh(mg Ihi \':1 I', - ((1 I/)j iJli dll\ic ph;il 111\'11 Ill:n d,'ltl ra gi,i 11'\
\'=() -I-- \', = 1.
Vi dlt In'n Ill1n11 hal kh;ii C(i kll \O;ln ph;il 1l1l'n kll ella
ell' Ill,.ICh \()gll':
www.Beenvn.com - download sach mien phi
Vccto Ir! kiem nghicll1 f dLlllg de ph;ll Iw:n l()i r dJ J...icllllO'_11 ](ll I
Irllng Irlnll m() pllong. ( c{)]} e6 Illc n6i Et J...I[('ji 1'.10 I(\i hO;lC Ll(l
Ili.:u l'lll,!! l\li ) b;\ng c.ieb lao ra nllll'llg gl;l In J...h;lC llhau \', "I Ir':ll de
dU(J'ng (In Incu tinh Ill' \'1 Irl kll.
VCC\(i gl.i II'! kicll1 nghiclll t 1()lflCii drlU rall'. Lun eho Ll'l CI
nht:fng c1u(mg IIll hicll Iren il nll:ll 1l1()\ du(\ng lL\n glLl"a \'1 Irf b1 lUI \-:1
d:iu fa 11 ph,u c6 nhCfng gi.i Iq \' d \',' J...IHic nllall. Trong \'1 Lit! IrCll hlllh
8.6. kll dW,ie Ihl'o dLfiing qua de ph,-tn II'r ( (;-1'
DLrlHlg h1 \'ccLo' gi.i Ir! J...IClll t Ihay (kil gi,i Iq klli \u:II hien
Illi f lrong Ill.,lell gm EI m.ln C,\Il1 \'()-i kH.I qua \'LClll' J...IClll t Dtri)ll,!!
ci.\n c1uqe 1,10 h('ii nlllIng dLr(\ng m,lll dll11 dune goi 1:1 du'img lUll m.\n elm,
)lh,-ill IU [(lgic c6 d:lll ra m[1l1 dlln \'6i I(\i.l ..,;: e6 il nh:il m(ll d.-Ill \':IU CC11lg
du'(ye blll eho m:lll C,-I1l\ \{ii ]i)i /' eic gi.i Ir! d,m I', \';1 gi.i Ir! J...hicll \', nu
pll:in Ill' \()gJC t\I\D, OR, :-';01{ C(') [hl: dWfl' Ihe nlltf ""\ll:
(il,i In d:lll \ilo du\1"l' b gi,i 11"i dll:U killen \' ne'll gi,] Iii d6 "IC
dPlh ltiU m ella p!J:lll ILr kh{lllg ]111l.1 l!Jll(JC \':10 e.ic d:lU \';\0 kll,ic.
(;i{l tr, (11.10 )',: phclll til' 16gic And. Or, l\and. nlu:lIl (:.1(:
tr, 1', vil gici tri dieu khicn I', nhu trong InIB:": sau:
" "
\' \'
And Or II l'\;md () Nor
tkjj y(,'j de ph:in 11'[ (()gil' CO' han 11 And, Or, ,'\and, Nor, gl:l tri
d:'lt. ra dul,l'C tinh theo e.l<: gi:l tri d,IO \';. g;i:i tri di(;u khil"n ,a
h;'lllg ", 8j ""
1\1lll' \'(ly, 111(,)[ ll'l' l(lglC \'('ii :; d:lu do "'0 c6 Ciic J...h(ii CO' 'i('i nlHr
\ 8j \'
, ,
\' CD \'
, ,
.\. X \' \' 8) \'
, ,
\' \' \' CD \'
, ,
V('ii deh bicll dlen Ih(lllg qua Cite gl,\ Ir! \, \'" de \,(; Illlh 1lI:111
cam \,()'i ]()i ella e.ie pll:'inlLr logic c(ilhe dliq'e ph;ll hlCll nllu sau:
www.Beenvn.com - download sach mien phi
iVlcnh dc YC tinh man cam Illi
Cho G la ph,in tLr logic w'1i gia Irj nghjch d,IO \', vii gia Ir! clieu kluen I c6
d<.tll ra nlwy cUm \'('1i 101 I (lUang ung vai vecla gia tri, kic'm t phal
loi), la c6:
Tdl d cdc driu vao ella G man dm voi loi.f sc nh(tn cung m9t g!a Irj
(VI du iii a).
Ttlt eil nhO'ng d .. lu dlO eLla G kh6ng m;.'tn dm v6i 16i f (n2'u it nhiit m()t
diu vao do) se gia td v"
Dilu ra ella G se nh[m "ia tri (/ EB \' .
. c . ,
Cluing minh de vc tinlt man cam Ibi.
,
-
Chung ta cllling minh mnh de
phuang phap phan ehCrng nlllr sau:
254
lllnh R.7 Plulnllr NAND
Gdl thie! rung e6 hai (![iu nil;\)' cim
voi 16i trang lit G Iii J,:, , . Cie cHill \':lO
nay nh(lIl de gi{l Iri kh,ic nhau vii gi,-'t SLr drill
vao J,: gia tri c1icu kllien ella phfill lu: \'"
Khi Iren ph[in Ill' XUiYt hin 16il; hai dUll'ng tin k va I Ihay d{}l g);l
Iri vii dU'ong I nh,)n gi,i tr! dicu khi(;n. Nhung dicu nay e6 IlghT<l 1:1
pilun ILr G v;'in nh,)n gia Iri eil, dQe 1(lp vai xu[!! hicn ella 161 r Biell
nuy muu Ihuttn voi giil thiet dau ra ella G phAi m;'in dill \'6i 10] - ICre
ph:li Ihay d()i giti Irj khi lrang mi..leh xutil 10] f. Nhu' I,'l! C'I
nhu'Jlg d:iu mtll1 d!n ella G dn ph;ii nh(trl cung 1119t gi,i tfj ( vi
la (l ).
B:iu ra ella (i kh(mg Ihe thay d()j khi xu,Yt loilncu mQt trang cae
\,;10 kh6ng nh'-.Iy cam \'o-i loi f nh,)n gi;j II"! \',; do do lat e<"1 de cUu
eLw G khong nlWY dm \'6i Jili f ( neu -.:0 ) dn ph,'l1 nh[m gi,i
trj \','
Nc'u u = \'" d,-Iu ra eLla pldn tu: 16gie gia Ir) btmg I', EB I',.
(/ = \', ' I[it d cae d[iu V;'IO eua G :-.0 nh,)n gia Iri I', va do d6 driu ra
eua.pllau Ilf siS gia Iri bang I', EB I',. Nhu V(ly, trong d hai trLr('J'ng
hQ'p d:iu ra ella ph,-IIl tu 16gie G dell llh,)n gia bAng a EB 1'/.
www.Beenvn.com - download sach mien phi
Gi,i tr! I', gQi la gi<i tri kfch hm,lt vi n6 eho d.c 16i Ian truycn qua phAn
tu tai d,-lu nl. Tren hLnh 8.7, dua fa vi eh.1 ve tir NA:-..ID thoa man
de Hen. Ph,-In IU: NA;-.JD nay se c6 tAt d cae d,lu vao nh(11l gia Irj 'I' ho,k l[l(
d nhiIng duu vao n1<1.n cam nh(m gi,i Irj '0' nhO"l1g dau elm 1'.li duqc
gia trj 'I'.
I\'CL! t<-l ,ip dl,lng phein :3 ella de tren I,)p h,li nhicu Ifin, chung ta sc
nh(ul dtfQe he qu,-i saL! \'6 UIC dLf('-mg dJn m,ln dm v6i 16i:

Gii.l thic"t duang tin hiuj man dm \'61 16i hb.ng so gi,i lrj I' S-(I-I'f(tLwng
Lrng vai vcctO' gi,i trj kiem nghim t phat 16i ) va p Iii. gia tfj ngh!ch (Lto
eLIa duang man dun loi giG'afva,;.
Klli d6 gia trj eua) lrong veeta t Iii. l' GJ p.
Ne"u co m()t s6 (hl'ong tin nhi;lY dm giila f J Ihl IAI d C,-lc
duong do cllilg nh,)n gii.l tr! nghjeh (h-to p.
c. Kha nang phat lui
Do de quan sal chi gi6i lwn (,.ti d.e (tiu vao \'a driu ra ( co nghTa
kic'm tra chi duqe thlfc hi11 Iheo gia Ir! ella ham fa ) nen ec1 the x,iy ra
Iruling IHJp co llh[C!1g 16i kh6ng phat duqe ho;)c ell nh[Ing Illi khtlllg
ph,tn duqc. M9t J6ilduqc gQi IA e6 phat duqe nc"u ton t'-,Ii \'eeto'
gi:i trj him nghim t ph,-it hin dUQ'c f Trong lruo-ng hqp IlgUQ'C I<;l-i, 16i I
duqc COl Iii khlmg tilt phal dU<;1e.
Nhung 16i f kll6ng the phat hin dLf<;1e la nhGng 16i lam eho gi,i tr! hi"tm fa
khong thay dbi so sanll y6'i tmong hQ'P trong nwch khong co loi. Nhu Y(ly ta
co L"/ t ) = 2( t ), hay e6 the n6i c<ich khac I) t ) = O. Khi d6 kh6ng the co
\'ccto' gi,i !liIO co the kich hm,lt qUii trlnh lruycn (!ling
thO'i lao fa du(mg dan man cam voi loi r den d,l.u ra chfnh ella m'.leh. Nhu'lll,!
loi kh6ng the phiit hin duqe gQi la nhilng lui du fhim v,1 nhO"ng mi,leh tl; h9'P
ehLJi.l nhung 16i hang so dLf thua duve duqe gQi la lll::,Ich du fhl'm.
255
www.Beenvn.com - download sach mien phi

, j I-L __ J
, --jLJ- ,I
J, DO I r_ 1
C "1""1
I
)-'
Ilillh 1'i.1'i. \llIlil lin,! Inrim12 ho'p kh()l\g
ph.d hlL'1l dU'ljl' Ill! iii! tron);! Illalll.
Vi tll.1. \'6i m<.lch tfen
hlnh lili himg s(i
Iren dlftmg lill hlC"u (1.1'-
(/-} (/11 1;1 liil IhC:
ph,il bien dlfP'C.
Nhung I(\i
ph'll duoe n{ll Irell
u\ IhC: hr') qua \,'1 Chlillg
!:till Iha\' (kil
l'llLk' IClt!lC etta
mach nlumg c6 m,H clla de I()i C() ph:i v c:ia Ihk,'t dicu
Imllg 111'.ICh chi c6 m()1 161 e6 Ihe: dtlll tkn nllLfng lIlt,\ \ai. I\e'u Hen
chIC'n lu(/c tr;] (1ll1tmg XUyCll. e6 gi,i thi0'l r:lIlg Chl!llg la :-.': ph"11 !lIen
c1U"ljc Ii)i IrLf(k j(li thlf hai XU:lI hicn. Nhung dicli c6 the tllLrc
Khl la 1,.tO fa de \'l'CICi gi,i tq Ihlr nghim clIO m()t mach. 1ll111' dlcll
chfnh EI Un dW.K nH)( \(Ip hqp (by elll c,ie \'l'eto' gi,\ II"! klCIl1 ngllll'lll dC: plt;;1
Wnh 1'1,1), ;\Ill! hll('mg ella 10] (/1/
khl)ll)!. plliit 11I':1l dlroC troll)!. llwdl
I('ii, ICC hi211 101 tlll'r hai /ill!,
hien kll. 'L:lp hl.l"p Il;l) \0
clio ph0p ph;il hien llHll
I(l] c6thC: ph;il hien dunc
Tuy nhieJl. 1;1[1 IHl'P n;l)
cling kh6I1g dl'l dl: ph.!l
hien lll()i ](11 U) I Ill: phdl
d troe llL'U
Illach 11111 \;.Ii nhll"llg k)i
c111i'a dlf(.l'C phcil btL'Il. Vi
duo (lllllg 1ll'.lch tfell hlllh
S.lJa. I(\i htlllg ',(j (ll'll
dUlfllg lin hicu II ,\-{,.{)
cho gt:i Ir! dU'(\lIg 1:1
'0' lij() et) thc dune ph:tt
ne'li su dung \'L'C\(f
giii II"! ki2m nglllelll ( =
"J 1 0 I ". L6i n;IY Iro' Ih;\Jlh
kh(lIlg rlr.it hin du\l'C Ilc'u
www.Beenvn.com - download sach mien phi
trong m'-.\Ch co 16i hilllg so all .1'-(/-]( hlnh 8,9b).
Trui)ng Ill.JP I(ln li.li m(l\ lui kh(llIg ph,lt hien dm/e ngtlll \'ie 11m de
1(\1 kh,k lrong 1I1\lch kh(mg: chi gi6'i han trong tlm nhO"ng It)i cling 10'.li
(nhu truO"llg h(.Jp cae 16i htll1g s6 ,'ll1h hUO"llg 16i cac 16i hang s6) II1It con c6
Ih0 dung d6i \"6i nhCfllg loi kh,\c loai, VI du nhu: llll)t 16i h .. 1c cal. khong pkl\
hi9n dW1"C co cho vi2c ph,!1 loi c6 dPlh khac khong the th\.fC hin
Chf()"C. Ta hay XCl VI ell.L nwch hlnh 8.10.
M . \Ch lren hlnh 8.10 111m: lun hilllll6gic:
I\' == xv + x:
LUI C<lU OR giCra dU0ng tin hicu Y V;I x 1;1 khong phat dWK vi:
Trcn hlnh l).IOa, ta thAy \'i:clO
gia Ir! kicm nghicm t ::; "Ill"
cho phep ph,-!! 16i hill1g so
s-(/-() trcn dlf0ng tin hiu q qlO.
Khi trong 111'.lch xuilt l6i
h{lc d.lI OR noi Ircn Ibl vcetci
gi,\ lri kl0'm nghi2m nay kh6ng
the ph,1! hlcn duqc loi qlO (hloh
l(lOb). Ta nh(\l1 th:iy I(IJ) hqp
c,ic \'L'CW \.'i,\ tri kiC:m nohiclll
C'. eo .
T {III. Olll, 001. 101 J I:, Up
h(.1P dflY dl) de ph .I\ hin 16i
king so don va wcto" t ::::: "111"
b \'cctci gLa Ir! kicm
lilly nh:it pil,\t hin It)L (I/O. ;.JIllr
- -
IHnh KIO, Irulmg llqp loi htlc du OR
khong ph;\t lllcn (tUl1C ,\\\11 11lllJng t(ll \'icc
ph,i[ 101 hall"", \,j (Iii!.
\,ly qp T tnj khtlng d:iy du khi Irong xu:it hin lbi klc du
kh6ng. pilat hin dune n6i tr0n.
t-:hCt'l1g ll1<'lCh to hQ'p du' thlra co dUQ'c dan gi,\n hoa btmg ci.lch h6
flllh;!1 llll)t ph:in tV 16g.ic l1ll)t d:iu van eua plu111 tu, Vi dl}. oia Ihie'l r<tng
16i /'s-o-] xuut hin d:iu VllO i eGa ph[lll IU AND lil kh6ng phat
duqe. Do chllc nflllg ella m'.leh kh6ng thay doi khi xu[it h"Ji I nen ta co
the If! 'I' t\ll.J"CHlg 1i..Li dflu \'ltO i nlly. Do ph;in tV AND voi /I d,tu
257
www.Beenvn.com - download sach mien phi
\'ilo trong d() co m()1 d,l.u i gia trj '1' sc tuong c1uong \'6i pilfln tu
AND v6i (II - 1) dilu Trang eto rh::in tlr AND v6i (/I - \) dilu vi\() nhfm
du\1'C IV ph[;n tv: II d<i.u \'UO ban d[iu bAng deh Im.li b6 \':10 i do. Tuong tLY
nhu \"(Iy, nC:u phi"in tu AND co loi s-((-() t'.li d::iu \'il.O la kh(mg the tim duqe thl
phiit1 tu AND do co the \O'.li b6 \'a tbay the bttng tin hiu '0'.
.. K D m
y -
,! 1-'
I'\eu hai loi I \'01 g khi
xuat t!"ong 1l1<..lch
cho de ra ell;'l lll'.ICh
Z/ x ) \';l Z,( x ) tilo,i mo1n
he thLre Z/ x ) =: ZJ x ) Vx.
khi do nhfrng It)i dUQ'c
g{)L tuong dunng vc m,1t
i - r
fW--'- c.->---"" ,J
w d
-- L./ L-
Hinh ILl 1. Vi dll \'6 ItJllhr thi:ra vii loi wong lhrollp._
c1ule wing.
v ccto gill lr! clciu vuo t lam hai loi f va g dw)'c neu Z,( t }:;<oL,( t )
\'i\ hai loi f \'U g .,(3 c1uqc gqi 101 phan bit duqc, Chung ta khCJI1g tht' 11m
wetc1 gia Iri ki6m nghim t cho phcp phun bit hai 16i luong uuong \'e
Chl:i'C n"Ill". ()uan he lUOn!! duoll" \'e 111:11 ehltc n[lIl" "IUa dc l<\i cho [)hcn
to . L' e. to '" I'
phCl1l 110'.lch t,)p hop cae hli thanh dc lOp lUang dU(J"llg, DJ phAn tieh Ir'll.
chling ta chi dll khao sat !llt)1 16i d,!i din cho tung IClp Wong duong. Ta hay
xci VI d'-:l Lllach tll h(fP hlnh X.II. IUm ra cLla H1<,lch c{) c\,.mg:
- -
:::::::: Z( x, y, H' )::::: + Y + .r y \1'
!\'cu trong xu:;t hi0n IClI hflt1g so j/O ta sc co :
Zf,'lJ( X,)', Ii' ) ::::: Z( x, y, Ii' )
Illij/O la loi du' thira. Neu trong mi..Ieh xuflt hin I<')i hang s6 dll
171 thl:
Z"fI( .r, y, \-\' ) ::::: Zfi/( x, )', w) = x + y
V,:IY c,ic ltli hf1ng so dll vil.f!J la nilung loi lUang duong, Tuong tLy nhu
\")y. cae loi sojlO If{) cCing 101 nhCi"ng loi luang duong,
Ciic illi dLT thLIa va wong duong 101 h qua cLla vic xct llwch iiI m()t h()p
den va ehi quail Sal hanh vi clla mi..lch qua cac driu vao va (1[\u ra cu,\ Il1Hch.
Neu \01 C() quan sat gla tf! tin hiu t,-li nhung duo-ng bell trong
1l1i..\Ch thl nhung loi nay sc phat hL1l duqc. Nc'u chung ta dua ra phuong pllap
258
www.Beenvn.com - download sach mien phi
xJe dinh dll\K kh6ng nhCrng Sl,f I(m 1<,11 ella Illl m:1 ebn Y;I chi ra dUl,l'C \! lri h\l.
1111 phuong pll<ip d6 gqi \:1 pllll'Ong phJp cilldn ,hill I,ll.
D6i y6i hai l()i hAL kS (Y:I g. clll'lng ta x,\c dinll !J;lln kho,lng c,ich giCr<l ll,ti
loi do nil It' SHU:
F,/ x ) = I) x 1 6l I) x 1 = 1,< x 1 (j) 1/ x 1
Nc'u ycell1 gi,i Iri lilu nghi0111 t I:un eho FrO< t) = L la n6i dlllg \'CcLo gi,i Lf!
tllLr t pll:l1l biL hdi I(\i f g dlt'CiC k)' hlU 1:1
eil,i tlll.?L rimg P 1:1 hlp hop c,ic It\l e6 the' (.J) \';1 m',Kh du(.l'c thid k0 1...lH'lllg
chiI'd \(\i. Nell c\lllllg 101 '(,Ie dinh I,)P h0P L,YI C't nhCrng \'I..'c1o' gl,i If! kiC:Ill
nghim phtm hic\ hai leJ! b,lI k5' T = 1 rR) dcii \'6i nH1l ph:lll Ill' lhul)l' L:tp 111.111
P till 1,)P h(,)p T = I rl' ) 11\1 Ih,lnh I,IP hq'p de YCl'lo gi,i Iri tillr nghi0111 clIO
phcp II1l,fe hln ehu,\ll doun l/ii Y:I lhr(l'c gqi lit 1<)P hl}'P C,-Ie \'celO ChU;)11
d(),in \(\i. N61 chung. khi :\lIAI hien c<.Ie Illi (lfong tinting. cllling la Can ,",Ie
dinh I:IP 11(.1'11 dc YcelO" chu:in (\o,in d6i nii nhC-fIIp. It'll dal cilo de kip Illi
tuong dll\1Jlg n: 111,)1 c\llk n:mg.
Vi dL,l. clUing ta :\Cl m'.ICh bi0u (r01l hlnh X.12:
Nc'll x,le dinh de loi htUlg so S-i/-() .1'-(/-/ tren e .. ic dUllllg Il'llycn till
ella Il1Hch. la C{) e,ie ph:1ll nhol11 Illi nllLT sau:
I" =1,., =1" =1" = I" = 1" = L,,, =1"., = I:
-
y + 11':
L
ul
= X.Y w:
L",,) = 0;
v+ \\':
259
www.Beenvn.com - download sach mien phi
x
,
Y b
w
,
k
9
h
d
IIlnh S.ll. Vi flu I11lllh hoa e110 viec ellUin
do,in loi bang cae \TCIO gJa In lhLll1ghlt':m.
dill dU\K at1u ra trong bang sau:
r=;::::!i[iU van
,x y w alI a/O b/l
0
()
!
I 0 0 I
0 I 0 0 0 0
rE
-
I I I 0 0
-
I 0 0 0
()
-
i I
I I 0 I 0
-
z
Lui
d/l
0
I
0
0
0
trong do
du
loi gj() iiI I()i
thlf<l \'1
Z"ilJ( x ) =: Z( x ). Nluf
"rlY ChUIl" la thil)' rtlll"
.. b t-
chung la co bilY U)]
d'.li dicll. eic \'ec1o'
gi<i Ir! J....iem
chu[ln c10an cae loi (1.,11
:=1
e/O k/O 110
I I I
0 0
-,-
I
0 0 0
0 I I
I I I
.,_-----.J
Tic hang ta thfiy, d6i v6i moi C{lp U)il g 11.1 deu co the tim duqc n:cW gUt
Ir! o,-lu vao t phan chung ( co nghi<-L til. F,c'( I ) = I ). Do d6, L)p iWp c,ic
"ccto gia lrj kiC:m nghiem lrong h,ing El tflr hqp cliu[ln dO(Ul C(lC teli ht\llg s()
lrC:11 cic duang l!ll lrong l11<.\ch to hc:p. B,ing chua de gia If! eLla h;lin
kho;ing kho<l!lg deh Illi Fl x ) doi \'O'i cae \'cele5 gia tfj thu: nghim t viI 16j.l
gQI ta bang chuan doan l6i.
2. Phat hien hli trong l1l<,lch tuan
Kiclll Ira cUc mi..leh luan II! phuc ti,lP han so vO'i trui1ng IH:fp l1l'-.lch 1(; IH.1p.
Dc co Ihe rh<.it hin dU<;ic \oi, chung la can phai Slr dl,mg chuM de vecll1 gia
Irj kicll1 va dap ung eua mi.leh tu.l.n tl! se d6i de In.\Ilg
th<.ii ban dilu ella mach. Chung ta se elva ra tnQl so' minh hO<,l qu.! trinh phi!t
I{lI eho mach tuan tt.e
(Ji<'\ thiet T \a chuoi veeta gia trj thtr va R( (j, T) hI dar u'ng ella
1l1i.leh tu,-ln til N doi vai ehuoi T b{lt dil.u tlr tn.tng thai (j. Gj,l thie! rang khi
trong nweh N XUii't hin lui j: nweh N tny thinh ll1i.lch N{ va R/ (j{ ,1' ) ];\ (kip
ung ella m,)ch ehl"ra loi N{ doi vai ehuoi l' btlt dilu Itt tn.tng thai (II" Chl"mg ta
elva ra djnh nghla sau:
260
www.Beenvn.com - download sach mien phi
Chuoi T dc yeclci gili Iri kiem clulC clu1n phat loi r twng:
m'.lch luun ne'u chi ne'u de d,i[) ling duu ra R( (I, T ) viI R/ tf, ' T ) kiJ,ic
nhau \'6i moi trang Ih,ij ban cUu q va (jr
Tren hlnh H.13 aua ra m'.lch lu[in II! \'oi Mng chuy0n trang Ih,ii, day gi'l
Irj tin hiu dUll ra \;\ d:lr CfIlg cLla ll1<,lch doi \'O'i day lin hiu ctl.u
T:::; I()I I I lrong In1cmg h0P nwch kh6ng co loi. Chung 1<1 xc! m'.lch trong
nhling Inrbng hqp m'.lch chua loi hAng so f .1'-(1- / trcn dl1cmg (/ (1/ J , IrUlJ-ng
hqr loi htmg s6 g S-(l-() trcll ducftlg b NO. Ta nhtUl th,!,), tSt c:t uic chu6i
d,ip u'ng clla Ill<,lch khi chua loi g deu kh,\.c vai d,e d<.ip Cl"ng ella 111;.ICh khong
chu'<\ l6i d6i v6"i cung mt?t t,ie dlI1g, nhl1 chu6i T noi tr2:n duk cklll ph:ll
Iw:n dUq"c loi g. M;:ll khac, I1Wi dap lrng ella ml.LCh khong chCra It'li doi \'t)"j
ChUlli T xel lil In.mg Ih;li khai I,.W B deu Irung vai dap u'ng ella m'.leh ehCra loi
f xcI t<;li cung tn.lI1g thili but dfiu fl, nhu chuoi T khong Ch[IC ch;'m ph<it
y,----f-'
x
z
-
y,
y,
y,
y,
D
Q
x
y,
y,
c
x
a
y,
D
Q
x
y,
y, b
C
y,
CL!<
Hlnh 8.1.'. l\linh hoa Irucl"Ilg hop phM hin 16i eho mach w{llI1l.r,
261
www.Beenvn.com - download sach mien phi
duq\: 1t)1 r
Vi n61 Lrcn eho 1!l,IY rtmg, dLI ehu()1 vcelO' gl,i II"! Illll' ngbilll T
eh:lC eh:lIl rldL hln dU\iC It'll g, nlumg ehllng la x,\c d]llh duo'c c:.'tc CUll hiu
ella h)i 1l1,)1 eieh kh6ng dn'll gi:Ill, VZIY, ehLmg la kh,'lllg khfll1g dinh
rtmg 1,.11 llH)1 \') Lri n:iO ,tl) lrollg d,-IY d,ip Lrng, m:,lch kh6ng bi It'li so: eho ra gi,\
Iq 'I' Y:I milCh elll'ra lill .,0 cho ra gi,1 Irj '0' ho;1C ngw:!e lai. Thay \'il() d6,
clllilig la ph,li lid J...c ra d,IP L(llg ell e6 clla mach hll1h Ihu(H1g ":1
l11:.IL.:h elufa h11. l'<hu'llg di('l1 nily [;i khling Ihl,TC k'" vi d6i \,()'j lllilCh IWlll v6i
/I pldn Ill' nh('i ",.,: e6 kh,\ n:lllg Cll<l Ir',I11&, Ih,ii kho'i (f()ng. Th.:':111 !lCTa. ehLl!1g
1;\ ph:!! '\,ic dillil qllY IrlIlh J...idm Ira cho Ihid k0", Ihi61 k0' ph,ii
tlnK hi0n dc pb0p 11m qua de ch.-Ill ra ell;1 mach so sanh de chip Lrng
do (t.IC dU\l'C \\')'i nhCfng ,tip l"fng mong lllu6n 11"":'11 thuy61 dCii n'li ti:rng \'ceW
gl<i Lr! ki":;m nghiel1l, Khu \,,}y c;ie (l:ip Lrng mong muCin ph,ii ehrU'c x,ic djnh
tru\\c \,;1 suy ra ci nhCrng ,hip Li"ng ella 111,,1ch chLfa 16i cling ph,li dWK x:ic
,1inh ho:1C elty (h).in lhro'C. Ihl.l'e hien dicu n.ly, clllillg la ctua ra d!nh nghl<l
ct;1 du\)'e [1111 )'C\I di clla kh.ii nilIl ph.il hiell Il)! d6i m,.\eh lufin nhlf
sau:
Chu6i T de n:l"l(1 ,f!l'\ II"! kiL;m ph,il hien duO'c illi r 1\0\1 \':1 chi
IIlYU cilli "(Ii mbi C:,IP Ir'.l!lg thai kiwi d()ng co IhJ c6 (/ ":1 1/
1
, c.le chut'li {.tip lll1g
H( (/' T) \':1 R,.( (/' T) kh:k hid ell)i \',ji lIlQI \'ceto' (, ql IhJ n;lo d6 Iml1g
ChUlll T,
DJ x,ie djnh du'(/c \'(.:(10' t, khl 1,)1 f c6 thc quan S.lt du,:c lai lUU ra ella
mach, 1I11)1 deh (I,)c 1(1[1 \'(ri de Irang Ihai kh,)'i dQng (/ \'(1 III' dc ph":p kicll1
Ihulmg dune Ilure hln lJua hal gi<li doan kh;ic nhau:
Tro11g gl,\1 doan IhLr nh:iL Chllllg 1.\ ehu,'li \'CCW gi:1 Iri khl)'i ,t()ng
1'/ \,:10 maeh sao eho sau khi Ihl,re 11In (10'11 CUt'.\! dlll,)1 1'/, 11l'.lCh N vi"
.N
,
du,!"c elll'a \'C hai Ir:,lllg Ih.li el:l hi.:':"! ({I \'(1 1/
,1
, etc etip Lrng ella 11;li
m,Kh N v;\ NI Irnng khi ;,ip dl.,lng ehu,\i Yl'clO' T/ b,l qua \"1 Chltn):'.
kh(lJlf!, tiLl' do:in du,!e.
Trong giai (\(\111 lill!' hai, cluing ta ehu6i vceln' 1't, V;I c<i( d,ip lrng
www.Beenvn.com - download sach mien phi
R( ttl' Til ) VlI R
I
( (III' I'll ) III C,-tc chu(\i c\v (1o;1n dU(,ie, Thlll1g Ihw'mg
vecto gia tr! kiem tj veela diiu tien trang ehuoi I'll m:1 klli
cl,)t vao Il1'.lch ph,it hin ctU\)'C li)i.
Phuong ph,ip n()i Iren dc kicIl1nghim va phi.il hicn It)1 cho m,.teh \Ufll\ It.1'
lil.fa Iren C("i '-;()" gi(\ Ihie't rang ton t<',li ehu6i khai l<;to 1'/. D6i veii hau hc"1 cae
mi.,KIt su dung Irong thvc tc" dell Cll tbe' lim {hrqc ehuCii vcctd gici trf kh()'i t'.IO,
chuDl nay cho phcp m",leh bat dfiu ho,!-t uQng tV nlt)1 tn.ll1!: Ih(li eta hiel. Th611g
Ibuo-ng dc m<;tcb sc duo'c thiet kc sao clio chung c6 the de di:\I\g duqc khC)'i
ti..ID. Phuong phap thong thuo-ng nhat Ii:\. Slr dl.:ll1g cac duol\g tin bJu kh(ji 1<'.10
va gia tr! (tau eho tiIng phau tu trigo. Tuy nhien, chuCii vcclO gi(\ tr! khC)'i
cho 1l1\lch khling clIth\ loi N e6 Ihe killing dap ung \'oi viee kh('ii lao m'.lch
N, chua loifnao do. I'\hCIng loifnhu duqe gQi la Ic)i ngun khrJ"i t<'IO,
Ta hay xet llll)t vi til;! \'C nhCIng 16i ngan chim khai \,.\0 da dC tOi. Trcll
-
0 0
-
c
NO -
R
__ 5-8-1
Innh S.IS. t-.fillh !lo,.\
I()i llg,m ch;m khoi lao.
r-
hinh 8.15 dua ra so UO eua triga D duue kct n6i
de t".IO thanh bQ dem 1 bit. Tren duong lill hiu
R XUitt hien loi hi.l.ng so .1'-0-1. Chung la Ih[IY
nlng tni.Kh kh6ng chua Idi N hoan tOi'tn dW1c
kh6'i ti..IO hai tIll R = '()' , nhU11g voi mi..teh
chua 16i htlJlg so RI1 th! kl!6ng the kh6i lao
I1Weh \'e tn.lJIg thai do.
Chung ta c6 the ,-;illh fa day dc \'ceta thtl
T dc phut hin loi ngCl11 kh6i ti,lO
thai btmg dch phan tieh mOt cach rieng
bi1 moi tre..lIlg thai khdi 1,.tO khi XUllt 10[,
nhu'lIg noi chung. phu('. "h{lp nay khong the
ap dl,l11g ctu"Q'e tren tllLK k. Do do d.C loi ngCIl1
khai 1i..tO tn,mg thai pl1<:li duqc COL la khtmg
philt hin c1U'\Je neu chi dung de phcp kiem tra qua die ehttn vao/ra clla
111<.1ch \'oi dc phcp so sanh tren toan b\) cae driu fa. nhicn kh6ng giong
nlm trong lruang hqp doi VOL nwch It) h(!p, dicu nay khong co llgbl<t Hl mi..teh
dien co Iinh tlu Ihtra. Chung la cCing nen bic", I"i'i.ng uic loi ngan ehi)n kh()"i li.to
Iri.lIlg thth co the duqc ph<it b:1ng nhung phu<.mg phiip nghim
khac.
www.Beenvn.com - download sach mien phi
8.3. phuong phap loan tong hqp cac gi{l till]:
Nhlf chung ta da de dIp loi trong myc trl10e. h;'mh yi ella Ilwch khl XUfll
hin loi pbl,llhuc)c nbicu vao ch{re nang clla dc m,-wh logic khi kh6ng h! loi.
D() phu:e t<.lP clm \'ic 11m nhung h<) gia !ri thu nghim ph:l! hin loi plW
thuoc nhicu vao Imu mach: m<.leh to hQ'p hO(le 11l<.Kh tu:i.n 11,1". Nlliing m<.lch
lu[in II! lit nhung nwch cbua cae vong ph<.in hoi nen \'ie m6 hinh ho(\ ehu'c
niing CLla nweh cling nhu \'JC X[lY dl!ng cae he) gia Ir! Ihu nghim phuc lap
hon [AI nhicu so vO"i Iruo'ng h9P dlC 1TI<.lch 10 IWp. DQ phu'c t\IP ella de
phuong ph:lP 1,.\0 dc bQ gia If! phal hin I()i cling phl,l thuQC r<lt nhieu \'ao
1000.li It'li dang nghien cuu. Trang khuon kho gi.ia Irlnh n;'IY. chung toi chi gi6i
han trong nghien cu'u cae phuong pbap dc b() gia Ir! thlr nghiem
phal hin loi doi \'oi d.c mach U) IWp ya cung gioi Iwn lrong Yic xci de loi
htlllg s6.
L Phuong phap 1l.m trcn sl! kich hoat du(mg tru),cn
etc phu'o'ng phap t<.IO day gia Ir! thu nghim co the ehia Uml hai nhom:
Ck phu"lrng phiip 11m c.ie vceW glii tf! (hu co the pl1<.il hien ll19t
loi cho Irl1Ue.
Oie phuong pluip tlm dc loi e6 ctuqc ph.il sLr dl.mb'- m(ll
ycc1<.1 gi<.i. tf! kiem ngblm eho truac. Cae pbuogn ph.lp thu(K nh6rn
con duq'e gQi I.l cac phU"O"llg phap m6 hil1h lto.lltH.
Tfong l11yc chung ta nghien cu:u e .. ie phuong philp Im.li Ihut)e nhom
lhu nh<.l"t. D6i tu(.mg dUQ"c kiem trong phun mlY 1<.1 de rn'.lch hop vii
chung ta chi xet dc m6 hinh loi htl!1g s6. Cae plurong phap 1,.10 Vl:eto" gla Ir!
Ihll" nghim cha c,ie lei co the' co iO,!i tru: cac 16i du thlra duqe f:'.9i cae
phuang pluip thu(lt loan. t-.;bu v,h, m6 hinh hmi. 16i khong the gqi lil phuong
pbap thu(lt loan VI neu qua trlnh Illl) hlnh hmi kh6ng ducK tlul"c hln ,,6'i I1H.)i
kh<i nann co the co cLla e,i.e vccla oia tri duu V;'IO chuH" ta kh()]}n Ihe d.-un
eo eo.' b eo
bao dU\K \'ie tlm fi.l c<.ie vceto" gui trj kie'm nghirn cho I:il dt dc loi e6 Ihe
du"O"c phat
Cie phml"ng pllap Ihu(lt to<1n tHO cac \'ceto" gl<llq tlHf nghi('lll de ph.ll
liSi dlrl)"e slr dl.lI1g !lay (teu dVa Ir0n khai lIilll kfch 11(\\1 dU(l"ng Ifuyen.
264
www.Beenvn.com - download sach mien phi
Kfch 110<.11 lhrCl'ng tru),cn 1<'1 phuong ph<ip Xilc djnh cac \'eclO giil Irj IhLl'
U ______ ________
,
nghim d\1'a Irell
\'ic 11m dU'l)"ng mil
theo do '>u khilC
giua cUc gi,i
Ifi llll hiu khi
mach chua I(\i
khi lI1<'lch \...h6ng
c6 161 dLI(jC Ifu)'en
9
D
, ,
0 D
b c
D
y
0 D
h
k
D
z
V
,
IIlnh IU6, \iillh hlla khiilllicm kich hll<.lt dlrhl1;:-:
ra ben ngoi:'i. N6i deh khac Iil. chung 1a phili xac dPlh dw)c dc dlf('mg d[111
m[m dm Yo-i 16i, Vi ell}. xci tmwll 16 hQ'p II"CI1 ll1nh H, 16. gia thi(1 dlllg Irong
llli,lCh XU;'II hi1l Ini hf\l1g s6 s-</-() Ircn clU'011g (' ej(), phat dunc I{H
n;l)' ta dn x,ie dinh gia Ifi d[iu yao ",ao eho IfCI1 duo'llg (' nh(l11 giii In IiI
/( tlt'C gill Ir! nghich (tiO w)'i gill Irj It'1i ), Di':u n;IY sc (hln gia Ir! I.-en
dLIong h ph,ii btmg '0' suy ra gi:.t Iq Iren du'ong \'.10 yeung phiii klllg '0';
e = '1' => h = '0' => v = '0',
n_,li IU"<;Jng e6 giii trj bang 'I' khi kh6ng 161 va hilllg '0' trong Inri'mg
hq'p ]11',I(;h co 16i (hroe ky hiu Iii f) ( defect - lui ), Tro b,li vi d\1 Ircn hlnh
8,\ G. ehlll1g la th,t)' khi Ifn hiu Ir2n chrong y co gi,j Ifi btlllg '0', dU0ng Illl
c sc gi,i Ir! btlllg. 'I'. Trong IrU'ong IW'P XlliYt hln loi hiing s6 S-({-O.
chl'o'ng I' sc nh(ln gi,i Iri e6 c1!nh btlllg '()'. noi each kh,ic trcn duong lin hiu ('
xuf"l hin gia Iri D. NIH!' chung la c6 ml)1 so xel nhLI sal!:
Neu duh'ng tin hiu .r = '0' Ihl (hl'lmg I nh[1Il gi<i Ifj D. suy fa dLI(\l1g
tin hiu g va Ii cung nhrln giil Ifi f);
N61 (hr(l'ng tin hi";u 1/ = 'I' thl dll'bng i nhiltl giil tfj /);
De gi,\ tf! D xU[-It hin 0 drill ra : ella m',teh ( 11'(;]1 clut'mg tin hicll k
Ihl duc1'ilg tin hiu.i ph'li n!1[\Il gi<.i tri '0' suy ra dUling lin (' pi1,'ti
giLl Ir( 'I' Vii duong Ifn hiu \' nlltl1l giLl tri 'I',
Chung ta 111[1),. voi cae glil trj tin hiu d[iu vao .r = '0'. v = '0'. /I = 'I'.
\' = 'I', gi,i tri D xu:"1 hin tfen dLIi'-mg lin hiu (' se Ian lruyen 16i du'<,l'C lbu
nt, Nhu \'(11' dlfi1'ng tin hill k se p11,I11 anh dSy elu Il1Qi hic'n dong tren du<\ng
c, n6i deh kluic c1uong Min 1;1 dut'mg dan m[1Il dm H1i loi, Nhu V(IY,
de gii.l tri tin hieu.r = '0', y = '0'. /I = 'I'. \' = 'I' vecW gia Ir! IhLf
ph.:il hin I(\i htlllg s6 c/O va duong tin hiu ra nh(m gia trj 'l' lrong
InrCing hem Imwh kh6ng hi 16i gi<i Iri '0' khi xual 11il1 16i c/O,
265
www.Beenvn.com - download sach mien phi
Trong tfU"tillg hqp trong m'.leh xufit hin It)i htlllg s{:i .1'-11-1 1;1111 eho dUtmg
lin hiu nh(1J) gia lrj e6 djnh '1', trang djnh nghlil cLla dai luqng f) dc gi<\ lrj
'0' vii '1' doi cho cho nhau d, thay cho khiii ni!ll [) ta dLI<l khiii
nim /5. Oic gl;l Iq [) i5 clu0c tr6n nhung dUling hj It)) gqi 1:1 Ulc
khi/i-D. Quit trlnh truyen cae kJ/{i'i-f) t6i dau ra eua m'.LCh goi Ii .. lfU,y':n
lJ va thu tue tlll.rc phcp Iruyen D gqi la Ihu ll.le ehlly0n D.
D6i \'6i Ilrng p!lein Ilt 16gie c0 Sl1-, qUii Idoh Ihiel Ii)r Iruycn D Iii qu,)
1=C)'
:'==[Y-
Irlnh x,-Ie dlllh
cae giil If! IUOIlg ling
li,li eile deiu vitO con
Ii.li cua rhfin IU khi
m(lilrong cac eMu vao
ella ttl hi loi vii
nhf\l1 gi,i tri D
IllnIJ X.17. 'll1l1 IliC c1IUYCIl [) qlla c;ic pJdm Itf logic co b;lli.
110i)e /5, sao cho gia trj n i5) dLI0c Iruy':n nguyen vt;n 16i drill ra ella
ph{in ttl'. Tr6n hlnh 8.17, ta th[IY doi \'oi cae ph,ln tll' AND \';1 NA:'-JD, phcp
Iruyen D Ja thiet tren nhiIng vito khong bj 16i nilting gi{\ Ir\ hung' 1';
cl6i \'ui de phfill tu OR viI NOR - lhiet Ii)p gia trj '0'; lrang khi do d6i vO'i
phtin IU XOR, phcp lruycn D lL10ng ung v0i vic (hie't I{lp Iren cUu con
le.li gia Irj bill ky trong ti)p hqp I 0, 1 J .Chung ta Ihay d(ii \'oi doc plli,ln
llr NAND, NOR, XOR, gia tri d<lu ra bi (hio I<,i:
V--> D, D-->V
Dlya kilai ni<;m kJ/()'i-D. cae phuong ph{lp 1'-,10 \'ecto gia Irj kiJm
nghim pilat hin dc loi hAng so dlPl Ircl1 kieh ho'-.It dU'i)ng truycn lin hiu
\il Ihi0'tl,)p <luong diln man cam vO'i [oi dLIqc thl-!'e hin qua ba giai do"n:
266
1. D(:ij \'O'i nhling lui hAng sO' tren lllQl dLIong lin hiu x,ic dinil. chelng la
lhiet kJ/()'i-D tren phan tlr nh<:l11 duong lIn hill (hlu \'ilo xi.le
dinh cae gia Ir! tlIml man kJ/(J'i-D do ( thu tl}C ,hie'l h)p D ). qua
eua blrC)'e nay IiI xac djnh dU0c cae gia Ir! deiu vao Iren ph:in IU Irl!c
tic"p chju ,-lnh Inro-ng eua loi.
2. Gia lrj ella kl/(ii'-D dLI0c dlla ra dtiu ra eua toan mi.\eh btlllg lhu Il.IC
Ifuycn D. Ke't qu,\ cua buac nay Ja xac djnh dLIqc dllong diln man
dm v6i loi de truyen gi<.l D taj d,-lu ra. Trong mQl so Illi,lch co Ih0
XU<ll hin nhieu duong d,-tn mall cam v6i loi ( 1l1{)1 IrLIo-ng hQ'p de tililY
kili c<ic phfin tlr logic co tile c6 nhi6u hon hai d[iu vao ).
www.Beenvn.com - download sach mien phi
3. XCie gi;i Irj ctlu \';10 tlfO'ng thieh Vlii de gi:i tq '0' 'I'
nh;)n dUne 1rollg qu<i Irlnh Ihi":'l I;'\p D \':1 trllycn D.
Cic hlrl1e 2 vi\ blrO'e :; dU9'e 1hue hien dll'a v;\n nhiIng Ihao t;ic l'hinh nhu
>;:lU:
Thao t(le
Phcp suy dien.
Phcp qU'ly lui.
Phcp suy chen c.i.n Ihi2't de <Ie djnh gi,i Ir! tren nhiIng chl'tJ"ng 1ruycn tin
hiu 111,)1 e:ich tiuy nh:il Iheo gi.i trj tren nhiJ'ng dm\ng till khae. Dicli
co the c1U\.K minh hO;.lixlng VI dl.11r":n hinh S.16, n .. Yu gi:i Iri li.li dll"(\lIg lin
hiu f (hl\K thie't b.\ng '0' Ihl suy ra gii Iq Ir':n dl\'(\ng Ii "it g cung duO'e
thiC't \;lph htmg '0'. Then ehuc nfmg cua ph:in Ill' AKD. nC\1 (hrc1"ng g du\'l"l'
Ihie;t h,cmg '0'. Suy ra (hrong i :-.0 tlm)'e Ihie't 1;1[1 hflllg '0'. t\'L)t kh.ic (1..:'
thie'l \;lp tlm)'e (hrO'llg f trj '()'. gi:i In Irell dU(1"llg II \"i:t (' eh,k chtm ph'll
htmg '0'. Ui.i tr! 1r':n cluo-ng (' htmg '0' sc suy ra dlfong h ph;li nh(11l gi.i Iq
'I'. Ta c6 Ihe kct qu;.\. trlnh >;uy dien trcn nhu sau:
f = 0:::::::. h = (), g: = (1, Po = 0:::::::. i = 0:
r = 0:::::::. a = 0. c = i,l: " :::: 0 :=;> b = !.
quay lui phcp to.in thie't \')p &1;1 Il"]lr':n de d<.lu V:IO ella mach sao
cho kh6ng (() tn.lll Ihu.ln \'oi dc gi,i tl'! [<Ii de c1uO'ng truyen trong nweh.
Ph':p lo<.ln n;IY \'6i ph':p Suy noi tren kc't qUit (() Ihe' kh()ng duy
nhS\. Vi cll.l nhu 1ren hinh S.16. nc'tl dU'lJ"lIg.i nh(lIl gii Ir! btlng '0' Ihl [heo
quay lui ta Ihic't Iren (hrong II \';1 (' Clie t() hop e6 e6 1;1 ( LO ).
( O. 1 ). l L I ). Vie Iva chqll de gi:i tr! phll IH/P phu thu,:'}C V;IO tlfOg IrLl'll'llg
l19'p Cl.l IhJ dl.ra de iL.ra eh9n. Cie lU;)1 hfa c6 thJ c6 llhung
(k)c diem nhu SilU:
Cae lu;)t iL.ra eh91l eo Iii nhung IUi)lnhu S<lU:
Chql1 dUll'Jlg tin hiu g[ln d:ill \'(10 nh:il;
Trong trlfong hqp gi.i 1r! lin tx\ng 'I', ilya chon du6ng Iruy"::n
ehlra ph:in Ill' OR:
Trong: truo-llg: h9"P giu trj tin b.\ng '0'. !Ira chqll chl'(\ng
chua ph:in til A'KO.
Vic dU''-1 cal' lu(1t chQn d.n thiet ngay c .. t doi v6i qua Irinll Irllycn
D. Trollg: trlfo-ng hQ'p !lCU 0 d:ill ra Clta ph[in ttl' eo riS nh,inh Ihl
khung dllY nhi.lt [wng \'ic ehqn duong. Trong nhicu trlfong I19'p kinh
nghim clio Ih:ty nen chqll duong tin hicll g.-ill (t:ill ra nh;'\\.
267
www.Beenvn.com - download sach mien phi
Trong qUit trinh truycn D thong thuang sc kh6ng Jfiy Ju ncu chi xCt mOt
c1uO"ng tfuyen. De gi'-li quyct c:ic vfin Je \1,IY sinh khi chi xct l11t dU()'ng
truycn D duy nhfll, tfong nhicu
tnt'O"ng hop chung la dn ph,ii :-,u
dung nhieu oU'lmg truyen D kh,:\c
nhau mOl c:.teh d6ng thiJi. Trong VI
du6i J.ly, trong tn . lch c6 the'
xuAt hin 16i, nhung h\i kh6ng
the philt hill (hlqc ncu ell! xet lTI<)t
duong D. Do d6. neu dc
duong tin c6 nh{mh, n{li
chung chung 1<.1 ph,'li xem xet
truyen gia td D theo nhicu QUang
Jan kilac nhau. Ta xct VI ell,! Iren
hinh 8, trong 1l1<.lch xutll k)1
Innh !UH. "1l1ic't L)p va truycn 0 thea
hai dlf('-m;; Mill 111;111 d.1l1 kil klliic n\1;lll
elm phep philt hi0n j{)1 a/I
hang so .\"-(/-1 Ifen Juo'llg tin
a - loi a/ J. Trong vi dl,! Ih[iy, de Ihlel [Ztp "II/il-D wong U'llg vlii
kii a/l gia tfi tren duong a phd' thic't lXlng '0' trong truOH,g hqp
khong co 10i, dieu nity se IIIong delong v6i gia trj x = y = '1'. I1tlY
gio' \<1 sc xcI cae dLiong truyen gia 1q D khik nhau toi d<.lu ra::: cua mi.lch:
268

Nt'lt chon Qu'cmg Iruyen D qua cae du'Ong lin a-h( thi 11"2n
pilan Ilr G6 thea thu tl,!c truycn D, chung ta nh(1l1 dUCK:
Cic duang tIn e, /11, 1/ phai nhftn gi<.\ trj > I' ( kili d6 gi{l Id
truycn t6'i d:iu fa z se lit
DLIong c ph,li nhiln giii tri
'\' sc d{1ll to'j Ju'ong p ph:li
nMn giJ If! '0' VI Ju'i:1ng d oJ
nh,)n gia tf! D chung la
kh()Il" truvcn D llua dLIo"ll" d-
e " 0
('-c. Dieu mlY d,ln !Iii dLIO"ng \'
ph,'ti nh(1l1 gi;\ td '0'.
Duong /I ph'li nh(1I1 gia Id
, I' se cUn toi Juang s phiii
G6
IIlnh H.19. Vecto gl<l tri ki6111
nghiclll loi a/I ton t,ll neu
gl{t tri D dUcl( Iruycn qlla dong
thai ca 1m dlf(mg a-h-c viI
D
nh(lIl gia tr! '0' VI dLIo'ng y dJ giu Irj 'I' Y(I fa dlfong t ciJng
www.Beenvn.com - download sach mien phi
nh,)n gi:i lri '1'. t)ucmg s ph<.'u nh;l1l gi:.i Ir! '0' se suy ra dll'i.l'ng \. phai
nh;)n gia Ir; 'I'. -IJICU nil)' (!<l.n t6i mill! lhuan \'6i kct lU<:1ll \'lra nkln
(llrqe "oi duCing e.
Chung la nh,)11 dUQ'c k6t qu,t wong tL.r ne'u ch(:m dui:mg tru),cn f) hi
ctLf(\ng d:ln lli qua dc ctuang truyen lin d-e-!" do linh ct6i xLrng
ella nwch.
NCLl 1(1 clWII ddng thai Yic lruycn D qua CLI hai dU'll"llg iI-h-I' vit t!-c-('
thl nlnr da chi ra Ir01l hlnh R.19. hai duang lill hiu can h.li ella phCin
Ill' (;6 sc clll1g nhi}n gilt trj '1'. Dicu sc dan tai hai duo'ng II \'(t \.
se d6ng Ihai gi'l tr! 'I'. v(ly y6i h(l gi:i tr! chlu ,,010
x:;:: y :;:: II :;:: \' :;:: '\ '
chlll1g la se truycn dU0c giCI Iri D loi (Hu ra cLla Ill,.tch, hay n61 c(lch
kh(IC el1LlJ1g la se phat c1w;1e loi alJ "oi \'ccto gia Irj vilo
(,r, y. II. \. ):;:: ( I, I, I, 1 ).
2. Thu(lt toan D
Thu,}t tmin D I} phuong phap thU(lt tmin dilu lien dung de tlm de \-((to
gia tf! kicm de ph:it hi1l de loi htlllg s6 dva tr01l phucing philP kich
hm.11 ctuang truyell. Tr0n khia Ci,lnh kh,\ nang X,\C djnh cae veeto' giCI tr!
klcm nghi1ll tllll ra 111C)i leli co the pluit hin dU0e. vfln d6 quan Ir<:mg EI
nguyen I)' truycn 0 thea nhicLi duo'ng Mm khCK nhau. xet then khfa c<.mll
vc phuong phap t6ng hqp cae vccta gia tri nghiem (hI vAn de qllan
tn.1I1g Iii c,ln phlli ke't hop qua trlnh Iruyen D V(I quay lui nhl!' the ChLIllg
ta Sl' xcm xct m(ll sO' quan trQllg.
N0'u melt s6 clLfong tin trang m:.lch hi n11<.ll1h, khi el6 Irollg lIu,i.
trll1h Iruycn f) chung {a se phui IlJa clU;Hl clm\ng tin hiu dd IrLl)'15n gla
tri D 16i (![iu ra ella m'.lch.
T(lp hqp dc phftn tlt qua d6 co khi.l n[lIlg Iruycn gi:1 Iri {) (1(re Iii
nhiIllg pldn tlt \'6i dau dlo ell thC: nh(\Il eilc gia tri D hO;lc IJ dll1 gi,\
tr\ diiu ra ehuu xile c1jnh) gQi runh gi6i D. Ranh gi{1i D thu'img ULflJC
bicu dien btmg hang c1Q'i. NhO"ng philn llt co khi\ n[ll1g truyen D Sl'
dL!'<jc dLra \'liO ctitu hi\llg ctqi. Khi phjn tlt do kh6ng can kh,\ n[mg t1We
www.Beenvn.com - download sach mien phi
hicn qua trlnh truyJn D Ihl sc lL.ra chQn pheln tlr 11i.':'p Iheo trong hilllg
dO"i.
I)hcp lo.in quay lui trong lhu.}t 100In D gt.li 1;1 ph-:p tmin :\,IC cI!llh It.i.U
V;IO. X<.lc d!nh gi.i tr! d.e (Ull do clia nhl}ng phiin Ilr ehua du"<.)"C xlf
1)', lrong p110p to.in dc (Ull \";10, chung la str (lL.mg phuong ph.ip
chon nH)l e,\eh iu:in tu nhCrng h9P c6 the ('t) ella (till \";\0. l'\ClI
Irong khi lL.ra ch(}n 1<1 Ihic'l [;)p ll1l)1 ll1lle dt) lfu lie]], '"'-hi do 1'1 Slr dung
nhlrng nguyen lite IHong lfng ,,6j [Ll.\! eht.l]\, nhCfllg k.h.j n{lIlg [ll'l elll)ll
elll[a SlI clL.lllg (10'n cluoc lUll Lrang m()1 hi:mg gOI El hi:lIlg dO'i
dinh (hiu
Trell cO" Sl)' elta nhung nh,:1Il xcI lren. Ihu,JI Lo,in D dJ Ihe hicu dl.':n qua
dc bu{)"C n[lll" S;IU:
ThWl! lo,tn D:
I. Trong I;)p hQp dc [(':Ii. chung la Il.ra clH.lll m(JL 16i htlllg s6 .\"-(/. \. dura
kh.-Io s,il. L6i nilY Ihic'l Wp gi,i tri \. tren dui:l"ng lin hiu s ";1 k)' hieu IiI
sil". Kh6i D lu'tlng lfng vO'j k')i trell dU0ng s hill IiI IY ( 1L'\e [il Ii'
tLfO'ng (mg \"6i gi;i Irj D con /)' tuo'ng ung vl)'i jj). Ph.ln Llr C() d.-ill \'ilO
1l6i n'J'j dLri\ng tin hill S dua \'ilo hang d(,1i ranh gl6i f).
2. D6i \"(l'i k.h6i D, Chllllg la x<.\c djJlh giil. Irj Gie drill \-;10 SL'[ dung th:lll
tac thl6t I.)p D. Nc"u trung truang [10]1 ni'ty dn rh<'ll 11.l'a chon Lllr(mg.
truyJn 0, Lbl chllng ta [l.ra ehqll dUll'llg theo Itl.1t A:
270
Lu.-lt A: {roll,Ii qmi {I'll/Ii {mY/II f), nil' dlli'illg {ill ,<";(/'II ,,(ii ('(f(
If(/II \'(io .w; dli'(!"(" 11.11/ ('1!(!1I {I-,((ic.
Cic du(mg tin hlu kh6ng du\l'C Chr:ll ellll ['.li "C' dll\J"e dua \'il0
h;ll1g drii tbic't [;)[1. Trong tnfi:J"ng hqp k.b(lllg nh.ln dlfl.lL nhu'ng gi,i Ir!
d,lu \,;10 .\,ic dplh. 1t')1 dul,')'C COl du' lhll'.l "il qLt;IY \ C bU('il' I.
Tlnfe hin suy di.':n d6i \'{J"j (ILri:mg. Illl hill Irollg 1l1',lch U) Lhe
Iham gia qu,i tllllh Ihie'l lruycn D. Ne'u xu;YI lll:ILl
Lhu{1Il gilra e.ie Irj nhr\ll dU9'e ella de dui:mg Lin hl('u 'ILl.i
Ldnb f), Ibl clUing ta ngil'ng 'Ill,i trlllh f). eholl )!i;i
Irj (tiu lien IHlng (tqi x,ic dinh tnr6c vii quay n:: hU{ie 2.
--1-. T[llfe ph0p Iruycll lJ dcii \'(l'j gl.i If! d,IU licn ella hilllg dt.il wllh
gi6i 0. D(:ii v6i ph:in Ill' ti0p theo 1,.11 nh;}n gi.i II! D IIC'n (I;\ll \'i\O
cllljllg 1<1 I'.ll Ilu/e lun [;1lI lruYCll [J qua phan Ill' n:ly. Cae (bll
eua ph'lll ILl' sc dU(.K Iva clH.ln gi.1 Irj. Ncu dn Lhict ph.ii It.ra C[H)ll
phrin Ill' dc dU\1C lruycn f). ehllllg La slr dl.lng IU(lt H:
www.Beenvn.com - download sach mien phi
LmH B: Ctic plu/II {If gdn l'(fi IJ{I((I/g (1ill ra nhJt St' (11((1('
{mil( (it'll (mllg {{lUI Trinh lall (rt(W
i
l1 giti (r/ D (/Ii ddl/ /"U.
Nhlrng phun tll' kh6ng dU(je ehQn con h.l! <.hIC:J"C uua doi. N(u
kh6ng the thl!C hin phep truy6n f). chung ta IL.ra chon gi;i Ifi bem delU
ticp Ih..:o. Neu hang c1qi ranh gi6i D ding. chling la cho nlng 16i dang
xci dWH.; coi Iii lui du Ihlul va quay ve buoe I.
5. TIwc hln phep suy dien d6i wii t[it d nhil"ng duong truyen c6 co.
Neu xu[it hiil mew thll[\Jl trong qU{1 lrtnh truycn 0 quay lui. chung
fa quay li..li bu6c 4.
6. NC'lI Iheo kl't qu;t cua qUi.i trinh truyen IJ. giA lr( 0 hO(lc jj d;ll
t6i dAu ra, thl chung 1<.1 ly:a dwn tiep phan tLl" sau clIa h:Ulg dqi ranh
gi6i D. l\eu khong lLfa dwn <.hrC)"c pi1[ill tll nao, chung 1a sc quay ve
bu6c -+.
7. Ap dl,lIIg Imin xuc d!nh d[iu VlIO doi voi t[it c,i c<.ic ph.ln 111 (() cLlu
ra dil xac dinh va c6 dc chiu vao d1l.ra dUQ"C Xii<.: djnh vt. IVd ChOIl
nhUng gia Ir! d;iu vito dung IUi}t A \"a hllllg d\1i phcp lo:in xac d!nh
d[iu \':\0. Phcp toan nay dLf()"c tlu.fc lai lien hcp khi kh6lJ{!. g(lp
!Hau thu[lI1 lrong gia Ir! d.c d[iu "ao ella llwch. N6u nh(lll (hl'()"c
hQ"p khong r<'Jng cac giJ Irj (tiu vao, thi chung ta coi uie \,":CIO gici Irj
c1[IU vao do do la n:eto gia Irj kicm nghim ph.:il hin 16i sil vii sau
do quay \'6 blf6c 1. Trong lruong hqp phlSp loan de d[iu vila
kh6ng the tiUK hin duC)"c. Ihl chung 1a quay hu6c -t.
Trong Ihu(lt loan D dW"fc m6 Ii.\ l1lrcn. cac IU(11 A V:I I3 chi xac dinh trinh
ll!a cl19n duo-ng lin hicu v(1i dlc ph[in IV ii0n quan va kht'Jng dong \ai trb
quan tn:mg.
Til hity .'i.CI \.( trcn hinh 8.20:
b
,-- h
Y c e 9 G2
G1
IILllh 1t20. VI' du I11l1lh
lhu;11 n doi Y(1i mach 1::6
chltil 161 hang V) h.hi xu:11 hil'll
kil h/O.
Chung tn xac ctjnh vccto giti tfj kicm de phtit 16i .,,6
s-(/-(} Il"en dm:mg II lifO su thuttl toan D.
www.Beenvn.com - download sach mien phi
L BL ehqn li'1i hi!) trong danh "lleh \(ii; glin eho (1ui:1ng II gi,-l Iri D.
elC ph '-Ill Ill' noi voi dlrdng II G4 vh G5 dU'eyc dlra vuo hll11g dqi
ranh gi6i n. Do ph[in Ill" G5 gan driu ra hon phan tli" G4 nen sc dU'q-c
IlJa chql1 Iru6c trong qUli trinh truyen D theo II nen hll11g dq-i
mnh gi6i D r G5, G4 1;
2. In. De dllong II c6 gia trj '\', chung ta din ph,-li C() hOlJc dlfong h
nh:m gi,-l. Ir! 'J' dUNng g gill tri '\'. Thco A. dU<iIlg h
g[in v6i dfill \":10 hO'n Ime'le lien chung ta chon gl;l Iri (hf()ng h
hfHlg 'I':
h = 1 :::::? b = \ v g = \; b gfin dilu viw ho'n. ehql1 b = I;
Do c1uong b IZt dlft"mg lin hiu vao nen chung t,1 khcmg dn tlll,Te 11In
hllac B3 ella lo,in D m:1 sang Ihue 11In bll6c B4:
3. B-l. Tlll;K hiGn thao tllC truycn D qua phtin IlT G5. (li.:'\ Irj 0 ,,0 xu:;1
hi11 tren dlf[J'ng tin hiu 1/1 VlI Iren dllong (/ tfn hiu ph,ii gi:i Irj
'\'. Do ph<1n tlT (;7 grin v6i dau ra hon so vai phrin tlf (;4 nen ph:ill tl\"
(;7 sc (1U\1C dlf:.t \',10 Miu hang deyi ranh gi6i D. Hang dqi ranh gi(Ji D
sc btUlg \ (;7. r;4 1;
4. Wi. Thvc phcp suy dicn chung ta nh(1I1 ctuq"c gi,i 1r( LJ Ircn
ctu'ong tIn hiu k;
5. 136. VI uuong \tn hiu m kh6ng ph:ii la drill ra clla \H,.teh nen quay h.li
huoc B-l-;
6. B4. hin Ihao IllC Iruyen 0 qua phrin tll" (n, dLf()"l1g lin p '-;(:
gi{i Ir! 0 \,ZI dU'ong tin hiu /I ph:li giCI II'! '()'. DUlmg tIn
hiu piLI dliu ra clla 1l1'.lch:
7. B6. VI glJ. II"! D dUCK lruycn fa dllU ra clla 111'.ICh ta t('il 111<10
I:k "'(IC dlllh li6p sau:
272
8. B7. Trcn dU'cmg iruycn /I Iii dcii IU\1ng clla phcp Imin ,,-Ie dinh ltlll do
cho pll<111 ILl" (;7. ta co dU'{1ng /I pb,-!i gill If! '()'. Di6u n;l)' SC suy
r,1 Iren ph[in 111 G6, dl1t'mg lin hiu.i phiii nh:)n giCI If! '0' \'\ dU0ng tIn
hicll k (tl nh'-)ll gia Ir! 0 do phcp suy dicn qua ph,in Ilr G4. TlllfC l\lcn
than tac "ic dplh driu V;IO d()i \'6i phfin tl1 G(l V;I gia trj throng tIn
hicu.i htllig '()', chung t<l uW1c nh[rng 10 hop giC\ tr\ clla c<.ic
dUl"Jng tin hiu d,f III ( 1,0): l1. 1 ); (0,1 ).
Nell hra chqn d = ' I '.f =. '0' suy fa c = '1' \'a phcp loan de dinh driu
\'uo tren phtin ttl" G 1 cho 101 C = '0'.
www.Beenvn.com - download sach mien phi
Nhu V[IV bt) giC\ If! thl!' phal l,)i h/O EI
x = L y = O. 11 = I, \' = 1.
Chung la xel tl(p VI dy lim YccW gl<l If! Ihu phal hin k)i ,,(j
<;-01-0 tren duo'll,!; fjj() d6i vO'i m',lch Irell hlllh 8,20.
I. ill. DU()"[jg lill hiu I dU\K gaJ1 gia tr! l), dai rallh gi6i j) han
g6m I G3]:
2. Bl. Vlli giG dU()'llgj btuIg 'I', phCpl()(in dinh cttU.l \':10 eho la
"i.1 tri Iren c1ulH1!! (' hilll" 'I' V:I suv ra duo'no (' I1h.\n "1,i Iri b.II1" '0':
L'. e _ e e
3. 83. /\p dL,ll1g ph':p suy dicn ta I1h,lll duoe gia Iri c,ic dLTcJng g, Ii. f,
I, k,ll nlllr <;au:
g = I. h = I. 1= 1. i = I, k = O. n :: 0:
4. B-1-. Tlmc hien Iru)-.'6n f) qua ph;iJ1 tlr (;3, cht:ing la duo\' trcn
c1uc1ng i gi;l In [) \';:1 tren d gi,i tl"! '0': h:ll1g dO'i r<lnh gilli /) ehCra
I (;6 ],
5. il6. Do (hrong lin hiu i kh6ng phill EI d:iu ra nCll chung 1<1 quay i<,li
hLfO'e B4:
6. B-1-. On gi(l If! <luling tIn hiu k hilllg '0', cho nl-n chling I:> klH'lng
Ihc IlllK hlen \'lC Iruyen [) qua ph,i.n III (;6. kh,ie hi:lllg dO'j r;lllh
&16i D I/mg, dicu n;\',..- (!;'m t6i k(1 luflll [l)i.//() 1(1 16i du' thila.
Ta e6 the Ih,\y rtlllg :-'If dung IhLl(11 toan f) ehllllg la c{) the ;dc dpill (liH1C
m0i b() gia Ir\ Illlr ng:lu1l1 l1c tim mqi loi h<'lllg s{) ell the phat duue.
Them V;IO do. tmill D ell the \11(1 r(mg: dC CIP dung: <;aug e,ie tnt) hlllll [hi
khac.
3, Thu;.)t toan ( Palh-Oriented Dcclsinn Making)
Twng thu;lt loan I), (tiu tlen gi,i 11'i [) dWK dna t6i deiu 1'a ella mach htlng:
pllcp Iru)'en D . ... au dll dung ttxll1 xac ctl11h d:iLl \":10 de 11111 gl.t Ir! Iren
de deiu <10, Neu h.hi x.le dP1h gla Ir] driu \'aO g.)p 1Il[11l thutlll thl ([lLrc'
quay lui !l.ra ehl,lll du-i:ing D kh,\c tere [il Ill' bu6c B7 ella tn,ill
quav 1'.li bwk 13-1-. Trung tnrlJng IH),p nhlC;u m{IU thUitl1 trong ph('p \.:\c
dP1h dfiu \':Il1. Illbi gian ll''lng h(.rp \'Ceto' gl,i tri klClll nghlem t;1ng:, 'v' f duo nhu
klli xU:lI hin nillel[ phi.i.n III XOR [rong: nwch. e[le than lac truycn f) kh,\ dlill
gian nlurng <.ip dung phcp IOiin xae d!llh d:iu "an linl'(1ilg h;1 lillie kh(lllg
273
www.Beenvn.com - download sach mien phi
lil,11l1l ,,'Ollg \:1 qu,'i trtnh Illly":'ll IJ ph;ll (jlldY Illl 1;'1111 cho kIll),!
Il,'P h(') Hi lilll liIu:,11 IO,'lll D phdl 11('U 11111 Iltl'lll IlII1'-'lI th('l'i
g],IIl
Dl; Idll
t
\ qua l'lld qu,\ Irinll IruyC:II /) \';1 X,lc djlliI d:lll \'i\(), lIeli Ifllll
loi IIltemg IJIlh 11lI(lng lb Ill'U lrong. h.y liIu,}1 Ihu,'l'Ilg. slr dung plllWllg
pldp PODE'I pi1u()ng ph,ip n;IY. khr lime 111l'l1 quay lui 1<1 Illt'l
111'-' didl Lhuycrl n)lLIOc' Chllllg 1<1 c/l Ihe tllllh 11:IY ng,ln g()11 lilll {tiC dieh
ngLf\I'C nlH(
(ira IhIe'( lilllg Ir('11 lin IHl'u \'lhi\YII,:\]1 ),.'i,ilri \', T;,11 \'i Irf dLJ'(,iC d'llll!
nil;ill li:"tU lien lill dUll'llg lill hi,-'lI .I' lILhiC g,in gl,i If! I', dning 1,llua CIJ(.lll mil!
dlfi!ll!; d;-in lh,'o Illf(l-l1g Ill'j (btl \,:10, (il;i II'! llU nll,10 du\!c d;i() h:ill dl qll,1
nhCJ'Ilg phdJlllI h\ll :\X'\L), \'Ol{, ,'\'()l', Tron&- qU[1 lr'll1h diel! __'
Iheo IlIi)1 dU(l'llg, g],i II'! nll;lll dLfne Iruy(:n then hU'{.J'llg ILl' (I<\u ra ella 1l1',ldl I,'ij
die d:1lI \:10, ])\) lki (ill] n')'j Illllt-I1g pldn ILl' ell ddll \il,), clllln,::. \;1 l':111
1111'-'1 phdl I Lt',1 dlOn de dLfllllg \':l() tU'lfllg ling \'(l'j de IL,ra CIH?11. !\Illfll&
dlril'll!,! till hl,-'U !.-h(lllg du\JC I Lt',1 dH,l1l dlt'lfC dUd \:I() doi qu,ly lUI \'i\
dU(lc dung Irung qu.:i Irlllh Iu!.
C:ic IU,)1 Il,rd ehml dll'(\llg till hil'u \:10 C() dallg Illlu
ll,it
I) Ll,fd ChUl1 tldJl lLllI \';1(1 nh:l1:
2,1 :<";0u ;.cid III CI'I;III!J;l11 b,-Ill!,! '0', eill-Ill!,! tet IiL) chull dLu'ing IlllyCll 1:1
d,w ra ClICl ph,1l1 III :\'\D 110<'1,,' !'\()R, 0,'('1l f!.i.i Iii ella n!J:lIl h,-Illg
'I' I" I"" dh'" 11U"" I., ,[;,,, '" ell;] OR I,,"'e
;q.'\IJ
Tr,-'Il ell ,>(l CU;I lhu tlll' dkh CiJU}L'1l Il!,!lJ('C ll(ll Ir'::'11 \,1 IU<:l1 :a Ilia dl\,lll
dU,'ill).! IruY':::IL thu,-II 1(l,il1 ]lOUI:-"l C(') Ill":' dutl'c IrlIlh I<IY nlm ,>,lll:
Thll:11 to,in POJ)Ei'I
I, Trollg 1;:1]1 hop e,ic kll. IU<I l'i]()11 Ihi h:lllg '>Ii clWd '>Lf tll,lllt! ,\'-(/-\ trell
dw\ng Iln hl,-u ,\ 1:1111 clIO dw\n!; lin hl":u nit,:111 Iri I' - ]()i .1'/1 Trl'Il
dll'img ,\ Ihi'::'1 Lljl nil;!]] I)' dUd \'iIO hill1f!. dl.!1 ral1ll gi(l'l lJ !lilting
phjll Itf nh,'111 dU('illg ,I I:un dilu :"J(;u h.h(')!1g 6)]1 It'll lrong I;IP IHlp
l<ie Itll. ,-'hllng 1,1 dLI'll.'.' lju,i IJll1h I{lng htl'p hi) .'!i:i 1rl thlf Ilghi\'llL
Trl'll dlJ'(\ll!,! lin \, Ihl,fe hiLII Ih,tOI,ic Ihil:1 1:'ljl /)' \';1 X,'IC dmh c,ie
gi:i II'! d:ill \';\tl, 'Jell \l!,\1 Itic'n h.]w lI<lllg iIr,\ choll dlft\ll),! d,lll. dung
www.Beenvn.com - download sach mien phi
:a, nhCrng Ilr clm I'-,Ii si: du'l.)-c dua do h:l!1g ltoi Ihic'l L\p phl.lC
\'t,l qU:I Irlllil qU'-ly lUI.
Dhi \'('ii nhu'ng. glci tri dilu v;\O nh:uI elll\iC It I' k0-1 qu.'1 liluc hil'l1 tit.\O 1.le
Ihie'l D". chung la Illl.fC IlI':n phcp dil:n.
4. Dt"ii, l1i gia Iri d:lu til'l1 lrong himg dU'i r<-lol1 gi('ii n, chlll1g la 1l1uc
hi0n phcp lruYCI1 n. Phiill1lf II0'p thm tHlng pilcp tfu)'cn J) nl1;\o
gi;i If! f) 1'-.11 d;lu \'iIO (hll)'l' dlla \,;lll (till hilllg dU'1 rauh gll)'i n. Tl'llng
gu:. Iflnh IfUYCll f). ne'u dn thiel ph;11 chqn ph:in III Ir0n dUlillg
CUll 11l:11l Ulill. Chlll1g ta ,ip dung Ill,)t;lB. Th{)llg II1Lftmg chl'mil la dl
Slr dl,lng B lrong Ihuill loan L> 1;1111 IU(lt Jl3 clla I!lU,:11 to,in
PODE]\.l. SilU khi dJ. ILIa chon p1l:in Ill' Irl'11 dU'i1ng Iruy':n [) \l\-j d:iu
fa. dc ph:lll tll' c(m h.lt dLflK <ILIa ";10 h2mg dl/i r<lllh gl(li D. Trong
tnri:),llg ho'p Ihal) I;IC Iruycn j) l(ji d:iu r .. kh6ng thc' k0'1 lIllie dU-(.l'L-,
chung ta dt,lng pld.1l Ilf IIC'p Ihen lrong h:Ulg dl)i railit giCl'i D. :"ku
hi\l\g d()i ranh giCii D f6ng, 16i dlfl,l'C COl 1:1 j{)i du' IhLla \';1
tmin duqe quay li.li bu'o-e 1.
5. TIwc suy dien.
6. TIwc hien tliu Il,le dieh ehuy'0'n ngu't,)'c d6i \'6i nhli'ng dUllllg lIn hiL;u
I]"cn d6 gi;i If) (Hiu ]"<1 clla de ph[in Ill' duo'c xae dinh cbn C:IC gl:l 11'1
d;i,lI chua .,ac dinh. Trong trulmg ilt![l dn thi0'l phai Iva Chl.ll1
elUting tin hiu. chung 1<1 Iht,l'c hin ehqn dllll11g Sll' dt,lng lu,H 9
l\hiIng dui:mg lin hicll d1lra dUlK dll,lll dLl\iC (1\(<1 \'ilo hill1e: do'j
l[uaylul.
7. Tlnl'e ph":p suy dlcn, sau klti (1;-1 g;ln cho eic (hill \,;10 ni1Cfllg gla
In nk'in Ilh,)n duoc ILr phcp qUil: ILlI. Kicm Ira tinh MIll!:, It'tll ella gi.i
Iri cLlu Cllil nhan. t\"c'u kh,'l11g nhilll dL]'(),e gd Iq dting, dlling ta kiL'lll
Ira t[nil MIlle: dilll etla 1;1.1 tn ngh!ch (bo. ;-"ku vice kiclll tra glii Ir! ch.)
kc't lIU,'1 dllng, Chllllg 1<1 ellllyc'n hUlk 6 \,;1 dung lhflillg IIll
hl';u dlu tiC'1l lrung h:lIlg clui quay luI. Trong lru,('-mg. ill)'p "ICC kicl1l Ira
eilu \...0'1 qU,'1 sai. nlHI \',)y thao hie tru)'cn D 16i d;ttl ra kh(lllg thL' lime
(hrq'c, dll'lllg \,[ quay \'C hutl-c--l-.
8. N0'u 16n tai dU(lJIg dm phcp thl,l'c hin bu(fc 6, Chllllg ta t!llrc L ,'[\
bu6c 6 \"i:t hLl6e 7.
9, NL"U gi,.i Irj 1) \Cl'i duo'c (ttu I"i\, gia trj lUU \'tlO nhrlll l1u\1c s0 hi
\'ccto' gl<l tri Ihu pilal hi0n ltji sll' \'tl eilllllg la quay L_.li
275
www.Beenvn.com - download sach mien phi
blr6\:: I. Trong Lnrimg lWp Lhao 1,1e lruyen [) khhng Lt'll lhf()C d:iu r,L
cilling 1<1 quay L.li hu6"c-1..
Ch(\ng 1.1 llllnh Il() .... 10;ln PODEl\l qua mach II"':n hlllh g.21. (;1:1
276
1Ilnh s.:!1. \l!niJ hoc! tlHlilllo-ill PClDL\ltnlllf.
\'IL'C IUllt: 1){111 !21-i In tln'r IlSI11':lll ph.il hi(:ll Ii)]
hall!, HI / lrl'll dlflJng (f (fi/.
,
IhiC'L n\ng lrong m:.lch :\u:il
hln !6i h,\ng s-u-J LIC'n
(hf(-ing a - a11. T(;ng h(.)"[1
WeIr) oi;i tri kiC:m nnhiC'lll
.
d(ii v(li 16i u/ J thL'o Lhu:II
1()(ln PODEl\l dUne Illl.fe
!llnr
1) nl. (i,in elm dUll"llg
(j gi,i trj D, hilllg (\lfi ranh
gi6i f) chlra nh(rng
Llf li2. (;1 I:
2) n2. Dc du<\ng lin
hien a nh,">n giCt t" '(1'"
ehllng la e,'llllhlC'"L dU'iillg lin hicuf"i:' g ]}h,\11 glil tri htmg > J ';
rn. Thue hin phL'P "uy dt'li \/Ij f \';1 g. ciJLlng 1,1 nh:lIl
dum' hai duimg lill 11Il'UIr \':lil'llng gl,i Iri 'J-:
-t) H-J.. Tlllrc f) qua phfin Ilf (;2. chllng 1a 011(111 dU\iC
gi,i Ir! h =, D dU(l'1lg I ph,'li nh:1Il gi'l tri 'I'. Ttf d{l r,l
h;lTlg dui r,]1111 gill'i J) s;: ban g611ldc pkin tlf I (;6. 1:
:'I) 115, /\]1 dung ph0p \l1y dicll 1(1 nh,:111 (1U'oej:;; /.; = '1 ': / = '0', 1/1 = '1',
Do.l 1:1 eLiu do CUd llle.lell 1]('11 cal' bu6\. ILl' hUCK 116 den Hi') cua Ihl1(11
\o,in PODE\l du\Jc h() qU<! \':l chung LI sang hu{1l' B9:
6) nlJ, Do ehrll'llg tin hH::ll h kh(lIlg ph;'lI IiI (!:ill ";10 ella mach. Chl'lll!2- la
ehlly0n sang 1'.11 budc B-t;
7) B-t. Thue Ih<lo 1,1e f) qua ph[in IU (;6, fJ0 hil'n (lLrnc
dil'U nil)' chung la Gin c{l du(mg c nh(ll1 gl,\ Ir\ 'I'. dUil'llg /I CllIlg ph,'\!
nb;)]] glCt In '1'. H;mg dqi ranh gi6J /) SC chI Cll pil[ill It'j' I Ci?' I:
:\) H(l. llH,l'C hiL'I1 1hu [ue dich ehuycn I1,gUO'L' \'(\"j ,gl;i Iq dudng c h:tng
'I', Chllll!:: 1,\ nh<:lt1 ehl'l.lC gi,i Ir\ duang p = II =: '0' \,;ltlieu ll;IY suy rd
(\w\ng \' nh(lll giCtll"] '()":
9) B7. Tlwc hin plicp suy dien d6i \'(j'i gi,i Iri I' = '0' cho la gi,i 11"1
s = '1'. /I = '0', c = 'I'. Nlm \,;\y chCl!1g la khCl!1g llh;m duo'c gl,i Iri
www.Beenvn.com - download sach mien phi
(1<\u ra ( 1(1'c \;\ 1.1 ph:\[ (hn),c II = "l'. (' =. /) ) 1:\
nghich (lctlJ !!i,i Iri eua \' \' = 'J'. V\'J"j ejii Iri n:\\'. dlt'illll.'. lill hieu
. '. ,. .
c = /), ]lhu' Ch(\llg 1;1 cling khLlllg nh,:l!I dlH,l"C Ir! (';\n 1!JJ\YI
{' = '\'. K61 lu.)n r<'uIg chCll1g 1<.1 khlmg Ihe Iht.fc hi011 dUP'e ph0p I!"UYCIl
D qua ph:"in Ill" (;61(J"i Ll:iu 1'.1. do d6 ph;.'li quay lai bu(ic
Ill) 11--1.. TIll.rc hin phcp truycn D qua pkin ILr (;3. ChClllg la c6 gl.) Iri Ir011
duCmg (' == D ( \'1 gUt Irj eluting I' dii (lLn),c nghich delO lai '1' ).
dU(1ng I) = "1). Ilill1g d{)"i ranh gl6i f) bao g()lll phful IU I (j6 I:
1\) Wi. Tlll.l'l" phcp \,(1i lIhi:l'l1g 1["] \'(ra dlH,1c. 1<1 C(l
(I == I" = '1', ,I = '()', Ii ::: 'I':
11) 89. Do khfJng llhflll gia Iri 0 (j dilu ra. ehll!lg la lal bu6c
!look
[3) B4. Tl111c hin thao lac Iruycn D qU"l ph:ln Il( (;6 chung la du'O'c
(' = D. dle hU(K Ill' bU'0C B5 den blf(l'c BX dIH.1C Inai b('"l;
14) 139. Do gill Ir! f) dU'0c Iruycn 16i dilu nt. h() gi,i Irj Ihll nghicll1 IIh:ll1
dmJc sc \il: x == \' = 1/ = \' = 'I'.
NhU' v,"tv ehun" la lil:IV 1'<111" Ihufl1 ItKin PODEI\'1 h.h:1O S,ll t:tt e.i c,\c 16
. b b .
hq'p C() e6 ct'ta (thl "ao eho nen eo tht: lip dung Ihu:II lo,in ck h0P
de b() gi,i II"! tilll' nghicl11 d6i v6i ll1(li 161 1l;\llg s6 e() Illl: pl1111 hil'JI dUtl'c.
Btlllg uteh ,tP dl.lllg c{1e IU:,11 cll\}n killle ni1au, chling ta e6 Ihe sLta dt'ii
thtl:11 IO:1ll PODEM co tilt c1u\,"iC cac Ihu(ll 10,tn hiu qUi' han. Cling
giong nhu Ihu(11 loan D. Ihuflt Imin PODE;\l co lip de IWp de
b(l glil tilir nglllCll1 hin de I[li htlc du.
8.4. Plllrling ph{lp nu'> hlnh h6a It\i
1. Hili to:.'Il1 mil hlnh hoa i<'li
Vic lall ute \'ceta gi,i If! Ihtl' cit tIm l6i b,\ng de pluf(l'ng philP
\0<.\0 cho pilep ta d6i wii 1l19i loi c6 Ihe' ph'll hin dUl,fC. Nlur
co Ihe noi rfmg phUUllg phllp thuZlt toan IiI phuong phap t<..Io \((to gi.i tr\
277
www.Beenvn.com - download sach mien phi
J.(\np Jilql
9:'1 P.l [l]1 'u\Jild !ljU
..... l}tjJ ::lll\llJ 1/ ,(rtu
Zli l(llLl n)u 9P 0(1 ..(!?ltl J}I
3ut;q Qtu
. 0
un 'Hu .. )np :'1p !.l\
'!Q\ 1:9l\ l\llll\ 9111 :1illll
. .
':1!iQI qUllJ QUI qupI
!mb nqH )'l) '6u.onl nuIJ.:)
fluo\ 101 )?lll\ lIlI!lI 0111
l?o1j tlltll\' 'tr'H ltUm
"

';3uos !I)J QUI :-i1l.0Jllld "'[
"qt\I:.I\ qUI?:! qUIt{ qU! ur-qd '3u.o.nljd ">\ U)!P .(ns 1\1\
QllI lh}'ld nu.onqu guos H2\ P.9lJ lJlIIl\ QU! uJ:ljd nuoJ1qd :!9\
qUIll \lU! 1I0!q :1illn lJlI)tp ur-qu flu.o.nqd nll.Ql\u ll!;n 1I0!tli?u C" In ilu!'LJJ . .(I?U
Jinu iluo.l,L 'J!i?O\ 1?01.\ ljuIll 9U1 qUlJl 1mb U0!ll Jilt\l ?P nT?qu 1l?lj"l d!?qu t:JlJI
ilu.l}tju nl\lip J;ls 9:3 nt tlu1)lJ:1 I.\Ji?ll1 H)\ !q Y),\ (9P U0!lJU ..(n1
'!Q\ lU)lP nU91.l'\ 1).\ !9\ gU\l.n.l1 !PIj :1!TI9\ llUPl ()ll.\
lJU!.11 !mh lI:).lJ lU1P p:1 :;.\ !9\ lJH!lj 9uI dVqd gUDJlqd '."'.l.!ql 511l1lJ:)

Dlp i.ll .o\J;),\ J.IS :l.ll.np 9) tjlljp
:l\,x U!;t\U !Sll "9q t\lllq 9111 dV'lu ':)r'l'I. lP!?J \?X
\(,1\ U0!tt l!?qu .1,11\1 !.1\ .o\:l;1,\ IOJ :1.6.np 0\:'\ lll}P j.l\ PI.l;).\ \
nqu 'nequ JVL\)j !',ll ]4 GU<)lJ'1 diH\ 03l!o.n.l1 iiUll.!\ '!A !Yl l\?-nx dill\
'GlIO.ll l\:11\lU r..l j.1\ .1!?J ::limp n>J.r-..:: 01)1.1 0\::.\ 1l1,p j.ll
.up;:"!.\ \(Hll H).\ nUJ) iiunm !Ql JIBQ\ \?91.\ lJUIq 9lU :l.nlJl n
:\ll?S .11qu lll?'Bu ."\'\!l\ l[Ul-\1 J.onp 9:l !91 lJl1111 OUI d!?lJ!..l ;1l1.o.tllld
'un 0\'\\
H)."\ ,l.()np 1lI?1.:1 \.lJlJl I(ll\l "<3Ull.11 d;;'l\U lllp 1\)\
d!:lJd ;;U.0.lll\J '\(2\ t)U! In thwnqu llUlljJ .1,1:- U(::l
6'1 03110.l1 m:lJ :lJ}qu ()p 9\ 9P ll.F'\ .XI
'lpbu 0.1 ,))0\)\
.111 "GU()J1\ u0l o:1U\,!1 ils ()\ "q - )1"1 :lDq 0\ ;:os
.nq\ !.IJ 94 01'1 !J?L]\ ',01 JJit\l lpi?1lI )9.\ !.08 'lpi?lll or::.\
P!n Ulmn J!n()\ J.II U\?qu ;1uilll\ 9s !9.\ 19P [llli llll!lJ Iljn] O:llJl iilln;:>s lllll
\n \,!ii ()l\ oil) UI?)n S\ O;)ql 'quIOl]u fi'uDI 0S Wl]d !.ll ()q
0P '!?UlJ\ LjJl?UI 1?\1:) u\'q JJ}l.]u 9P 111)\ 'ryp ,'q) 19\ UlD J:'lJl
. .
www.Beenvn.com - download sach mien phi
1l1(l hluh IllJ.l dIll \'('I'i /I \'ccIU d;lll yilO 111<.)[ c.tcil
Tl '\('1 \ I du Inae11 1]'('11 hinh S.:::2. DIll \,()'i 1ll.H;h n:1Y. \ ('Ii l1lP\ UI' lll;lY
gt'IIll I (l-bit. 1<1 c() Ihe Ihue lju.t Irlllh 1m) 1111111 hl),] \()ng ..,Ollt-' (kl! \'{!i \,t'1
ca Clic n:ct(j gl<i In lLll\ do. Trollg ill.lp n;IY. c.le I\li ell thL' dU(lC !lICli
dicil hang eJch thilYt 1;ljl co dplh etc gi,[ tn '(j". 'I' Ir('11 de dm\ng \In hi
\()1. f-hong plu.1111l16c ";ll) gi;i Ir! (Llu \ :Ill. !Xii H)-I me.lell IrCll Illnh S 22, dllllig
ta time qU<llrillh ml) hinh 116:1 Ill! \'l)-i e,le \"l:l'Io' d:ILI Y:1U dUU'L hll:L1
l]'cl1 dl' Ill' S-hll: cele gl,i II"! llC:n ell' duung lill hll'u \,<1 Iren (i:!u r'l
dU\lC hlCLl lhl'll Ilcn blnh S 21. (Ju.:i 1]'11111 IIll) hmh h(la d(ll \'('ii kn Il.Il1g "'11 \-
(/-/ lr0n dw\ng Ii /i.// 1.'110 chung 1<1 Ih.yy: 1111 IliCU d'\lI r<l L"lla tllal'll 1:1
/) = ""O\OIOIU\". Tl"tlllg khl d6 d:ill ra Irollg Iruung h,l'P 1ll.,lell khllllg IlII i:J.
I) == '"0101] [01"'. !'."Ilu' \,(Iy bil Ilui ILl' tloh III hen ph'll de! hi Ih:IY d(;1 d'l j(li
hif. 'I'll' d6 wy ra h(l Ir! ( d. h. (, if ) = ( lJ. (), L U ) El bry t'.l;\ If] lhu
ll,ghlCl\l ph.it It'll hi J, cid1 l\l'(mg ILL la d1 Illve Illcn qua Ifluh
mll hloh hoa d()i \,{ii m!}1 It)i ct'J ph,11 hin dll'O'C kil.lL". 0ku t-',l,i Iri rlau r,1
lrong Inl'(mg IH/P tn',lch chll'a Illi kh,\c \'(ii d:iu ra ellC! n\lCh lrong IJ U'il'ng 11(.)'p
mach khllllg b1 l()l Ihl chung la dl thc ke"1 IU:1ll rtmg h<) gl.i tr! d:iu do IU()llg
ling 1:1 h() gl,; Ir! 1111'[ nghil"lll ph:il 11I0n 1\\1
a:OIIlW!01 c: I I I I (]IlOIl I I I I I (]O(]O I: I I I I (Ill I I IIIIIIII
h:1I01 WOI ( f: I I I IIlIlIIIl I I I I IlOIlIl m.ll In I (lO(] I OIOIOIIlI
c: ilOilO I I I I g: 1IIIIlOilli I I I I IlIiIlO n;()O(lO II ()() O()OIlOOOO
d: OOll()Oon I h:IIIIIlOII IIIIIIII p:IlIllIIIOI 1l1OIOIOI
ill i: II II Oil II IIIIIIII
Y
---'"
hi
j:OOOOIIIO OIlOO I I III khull)." lili bi I()I
,,00001100 OOO()OOO()
lIluh X.D. \i(l 11'11111 hOil C,1c 1i'llt."ti1 l'ill)::l1 c.il' bid III d.ll1 \':l\1: h) gl:i II I
tll:11C,ic ilUll'Jlg tillllil'Ulillllg tnrong hO[llw\ch 101 l'iI.JI cllll'.\ 101
Khi m(1 hlnh h6a IhcD phuong ph<.ip 1re'l1, clUing 1:\ IhdY c<.ic ,gi,i In d,]\1
\:10 thalll gia \,:tn qu,; Irlnh m6 hlnh hoa m()t ckh (1/)l1g Ih(\i Irl'n !<'II ea de
bll Clla Ilr meiy do d6 plwo'ng phap n:IY gOl 1:1 /!Ul /rill/' /r(i(/ h;t 1'().; lUll l'lll!
sung suug. Kh.ie v(l'i C<tch I:\ln tren. ChUllg U c() Ihe Ihue hin qu,; Irlllh m6
hinh h6a Irl"n loillJ h() C<le l(\i chi Sll' dl.lug 1l1()1 b() gla lri d:iu 1:1.
ph;ip n:ty gOI 1;1 m(l hinh l\()a 16i ",Ollg ,",ong.
ta !J;ty .\cl \'1 (ill mo hlnh hoa 16i song \'{'ii sci d6 mach tl"'::l1
hinh S.22. TuO'ng Crng \'(j'i phuong pll;ip m(l llinh hml Il1i song. ChLlI1g ta
Ihtfe Illn qU(l Irlllh 1116 hlnll h6a mot deh dong thtii \'6i de Il)]:
279
www.Beenvn.com - download sach mien phi
glO, gl L hiO. yo, JII, klO, kll, \TIl I;
wang lmg \"6i gi<l Iq chtll vao: ( it, b. c. J ) ::: ( L O. 1, 0 ). Trcl1 hinh :-\.24,
Chll11g ta Ikty b(l );.1(\ tri d<.lu y:\o nhu nhau l cllllg btlllg "\010" ) d()i \'6'i tilt e,i
uie h\1 nell tren. Cae II"! dU9C stIr xe"p trong Il[ mCI)' lUting l'rng \'6i ( .. Ie \(}L
tlkl) trlnh I1l'U Ifl'O. Sau f,:11l thlJC que\ 11"11111 !11() hillh ho;i 16gic \'(ii W:t
C:l d.c \()i dJ cho. l"\lling la nhfm dW1C H1 may biC:u dicn de gia IIi dflll ra
II :::: "II \ () 10 1\ ". 'fa IhS), ntng. trong I\w\ng hllp neu xua"' hin de k)i
a: 1111 I III dlOOOOQOO ,,01000000 Ill:O I OOlJ{IO I
h: OOOOOOOli I:OllOOOOO(J j: III 0 I I II n1lllOI011
c: II III II I 0 IIIOOOOlI k: 10111011 p:llllIiOll
d : 1I00(J1Il I( I(J h :01 OOOliOO 1:0\ OOO(JIlO
yy
Hinh H.2..\. l\\C) hlnh hoa lui \Oll!:'.
ktng s6 J(() ho(\c t:./O gi,i tri tIn hi0u d cHiu nt p khic voi gi,i Iri dilu ra ella
nwch Irung Irul1ng 11(,1p kh6ng Cl) Il)L ( Irong Inlll'ng hLJP kh6ng co \oi, ra
p nh,}n gi;i tri hAng 'I' lU(mg ling \'<.1i veclO' gi,i tfi (I:iu \',\0 . to 10". con Irung
1nl'lmg iH.)P hin 16i.i(() hO(IC 1..-10, dil! ra I) nh;:m Iri btmg '0' ). Tlr d6
suy fil \'ccIO' gij Irj d.-iu <to ( 1. 0, \, 0 ) \"(;:clCi gi,i Irj kicm nghitn M ph:11
bin de 16i h,'l11g ,,6.J!'O, k/IJ.
Troll" IlhUIl" dieu kien thon" Ihu'on
o
, \'ll:C tilO ra de n;ctc1 01'-\ tri klcm
t L" 0 e.. e.
nghlt1\ Ll6i y{ji lllQt :.6 hr\1ng Kin 161 str clung phlrong philp 1116 hl11h h6i\ 16i
song song \'J. :'<lU (\6 :IP phlfdng pll<ip ll1() hinh ho,1 Ibi y6i d[iu yilt) "ong
cho nh(}ng 16i dUla dU(1C ph,i1 (;(')[1 lai IiI me)1 lOan 1'-.10 eSc
Yce\o' de: ph:it hin i6i c6 hiu qu,i nlo.
H1nh tU5. Vi du
Khi 1111,1(: pilu'("ing phap ml) hlnh h6a l{)j
cung nhv khi tlwe hin qua trlnh 1110 hlnh h6i\
logic ta co the :-.Lr dyng phlwng ph.ip bicn
Vi dy, Irnng mi.\Ch trcn hinh 8.25, d6i vlii philn
tlr AND chung ta th{I)', bieu thlic ldgic P" cllo
dU'0ng IIIl i1l9U (j c6 d'.l11g:
P,,;;::O xu" + a
l
:
Irong de):
<1",;;::0 1 trollg tni0ng hqp gla lri dung trcn duong
,1,,;;::0 0 tron)!, truling hqp ngu0c
<II:::: I kill li)p giu Ir! '\' c\u'ollg (/:
a
l
:::: 0 trong nhu'ng tru'ong hqp khAc.
Nlu1 V(I)', khi thi(t gi<.! tr! '0' tren uUdng tin (/ ta co
280
www.Beenvn.com - download sach mien phi
III = all = 0:::::) V. = O.
Tuong It! nhu v(ly. tren duang lill h:
1\= yo,. + hi:
Chun" ta thuv "ia tri trcil dUCIn" tin hicu c him" P f-' " tu do suv ra Hi,i
eo. Leo eo. eo "I . e
tri tr211 duong tin hieu c c6 the viet du&i di..lt1g bicu thue Il)gle sau:
.. +CI:
tXii \'6i phrin III OR, oieu thli'C logiC :-oC co d'.Iilt!:
P.=(P, +Pd)CIl+C
1
:
P
d
= ud" + I:
Qua trinh mo hlnh ho;i neu tn:n duqc thuc llH:n b.ll1!! d.ch xrly dUll!!
nhung hicu thii'c logic d6i voi nhfrng trang th,ii 16i eua uk ph'l\l tu 16gie \'J.
tinh de gia tf! eua chung. Kili tinh de hieu logic btlllg nhii'ng phep to,-ln tren
hit voi de tit' e6 do nella gia tr! cac hien, ta co the th\t'C hien m6 hlIlh
ho,( . ..,ong song.
Vi ch.1. doi mach trcn hinh 8.2S. de time hin m6 hlnh hoa drill \':10 song
song d6i voi 16i htll1g so c/(). chung Ia- dn dua ra cac gia eua tr,.mg th{li
16i y,\ Ircn co sii d6 xae d.inh bicu Ih(['c logic cua m'.Ich ( hlnh 8.26 ). Trang
tnt'cl'Ilg heyp 1110 hinh hoa l()i song :-.ong ta dn ph,ii clua fa gia tri clla tn.mg
Ih{li 16i ( hlnh 8.27 ). Trong d cI\1 doi \'6'i nweh Iren hlnh 8.25. chung la tlwc
hil'n qua trlllll 1116 hinh h6a !l'ji song song ello de \l)i: u/(). (/1/, NO. hi I, ('/().
eli. dj(). elli d6i \"6i \'<..:eto gi{\ Il"j dfill vao: x = y = 'I'. /I = '0'.
Mo hlnh hoa drill vi:\O <;ong song:
u 01010101
,00110011
),00001111
a 11111111
b 11111111
c 00000000
d 11111 III
c 11111111
00000000
00000000
00000000
00000000
00000000
lIinh 8.16. 1() hinh hoa lUI vt'1i d.c d.-ill vim \Ollf! wng elm mach trrlJ 1111111 8.25.
u 00000000
,x 11111111
y 11111111
a 00111111
b 11001111
c 11110011
d 11111100
e 11111111
01000000
00010000
00000100
00000001
00000000
Irinh 8.27. r-,j6 hillh hmi.16i ... ong \()llg elm mach tren hlnh 8.25 v6i veclO (till
\';10 ( /I,.r, \,) == (0. f. f )cho C<le 16i I/O. (/11, MO. hif, c/O. 1'/1. i/lI!. tI/I.
281
www.Beenvn.com - download sach mien phi
Khr su dl,mg phuong phjp hi0n d!eh lrong qUii Irlnh me) hlllh hoCl kH.
chung la c\[1 ph{1ll h',lI1g dc ph:in IU 16gic ";1 IIH.re IH':ll Ihl'll Illnll llr L'lla c,\c
Ih(rc 16gic.
J. l\16 hinh h6a I()j suy dicn
Trong plW\illg plulp m(l hillh h{l(l \(Si "ong "ong (1<1 nell Ir':n. dall 11<,:'11
chLlng la dua ra dc \'ccto gr,\ Ir! d{iu \':10. dc Itli \':t d6 klC:lll II-a lh.i 11'-111g
ph,il hill de It)! au'a ra billlg e:ie \'ccl(5 gi,1 If! dell! \-:10 dang .\.cl. Trollg
IfU011g h0P !Iu,fc Ira c6ng. \'ccln gi,\ If! d,lu \;10 dang )'cl
du'(.lc COl vceto' gi<.l tq kiC:m tra phul 16i da cho: n6u Ihue hI':]} Ill() hinl!
hOiI l<:h kh()ng Ih:\nh e()ng. chung 1<1 ph,U tlwc 11I1l qu:t Irlllh m(l hinh Iw,; If)i
eho be) gr,1 Irj d:iu V;IO "il h)i kh:tc. Nlnr VfIY, Irung phuung ph.ip me) hlnh hoj
I(li n6i Iren, m6i quail i(lgie gil}'a de I(\i ":1 de \'CL'IO' gl,\ If\ d."lLI \'i:t()
khong dWK Ihie'L I;)p \'il do d6 hiu LJu,i eua qllj Lrlnll \,.\0 e<.ie b() gl;i Iri ki0m
ph;II hin I(\i kh()ng cao.
tJe timg hlU qua ella IhU(lt lOan m6 hlnh h6a, chung La dua fa phU"()'llg
phjp, Irong d6 !U'ong ung "O'i m()1 n.'clO gi:\ II"! d:iu \';to, ph;tl ph,il hin 1<YI c;'\
dc lell Co the dUQ"C pilat hiGn bang b(,) gi;i Irj nilY. hlll du\)\ __ diell d6.
chung la rb.'li Slf dl.lI1g dc rhcp 10<.111 suy 1{lp hq"p dC: 111l,rc qU:I If JIll!
Ill() hlnh h6a vii do d6 phu'cing ph'lp 11:IY duqe gt)i lit pilll'(l"lIg m(J hillh
b6a 16i su\' elien.
n;.: dO'n giiin \'it et) Ihe th:ly rtJ dunc co che' ..,uy chung la XCL \'1 till
ph(ln ILr AND co b:1 (hlu ":10. N6u de dfiu \':\0 nh{lIl gi'I Iri (/ = '0'. I; = 'I',
(" = 'I', d<.lU ra d ,,is gi,i Irj II = '0'. Til do ra de h,\ng ..,6 do \'celli
gl{l Irj d<.lu \'ito ( u, h, (" ) = ( O. I, 1 ) ph,i! hin sc a/ l. dll ( chung ta
Illull H,28. Vi <ill mo 11il111
lax! kJl SlIY die-n \,(jj ph[ill Itt
AND cO ba ChIli \'ilO.
282
sc \'ie:'t gqn k)' h:lllg .HI-\, IrC'1l
uU'()"ng lin hiu s IiI sh Ihill\h s,). N0'u e,ic d:iu
\';\0 EI {/ = ' I '. h = 'I'. c = '1', la c6 d = '1'.
TLr dt'! suy nt, Wp 11lrp dc 161 h,'lllg dl 11)1.,<
phat hin (ILri,l'C b,\ng yccln' gi<.l If! d.ll! vito ( (/,
h. (" ) = ( L 1. I ) sc 1:1 I till' 1;0' (li" dll 1.
Ch(lI1g ta co Ihe nh:)n dU\1C I<.)p hop n:IY Ihcll
nhung IU(lt sau:
www.Beenvn.com - download sach mien phi
Lm)t suy dicn C: cae phcp toan 1(lp helP d6i phlin ILl ,\ vii cae gi{l Iq lUU
cua pldn ILl eto:
DU'(-mg tin dlO (/ ella pldn ILl la vilo ILr bcn ngoai. Nc'u gi<.i
Irj uutmg lin n;:\)' btlllg '1'. tJ c6 La::: 1 (/0 ): nc"u gi{\ Iri <lLrb"ng tin
btll1g '0', thl 1 (// ):
ra eLla ph:ill ILl' A dw,"l'C bicu dicn dU'6"i dang I{)ng ho,)e tfeh. NfLl
gla tr! dung cu,\ dLlbng tin hlU (/ btl11g '0'. thl k)' hiu (/ trong bic'u
thLrc duo'c thay btlllg L.,. ky' (/ (hl\K thay btlng La' (\jell gi{1 tri
dling cua dUll"llg tIn hiu II b<.l\1g 'I' thl Ihi k)' hiu u trong bieu Ihlie
se thay btlllg L., II duqe thay btmg La.
T(eh logic (.J V;I tCing 16gic (+) trong bicu IhLfe lhay b,\ng de
phcp 100In \{Ip hop n VlI u. Ne"ll gi,i Ir! dll11g eLm Ct:iLl ra htlng '0' thl
bieu thLrc Ihay b,\ng t. n6u la '1' - L.
Trong tnrh'ng IWp khi giJ tri dLlllg ctJa dw\ng tin '0' Ihl
la 119'\1 1(lp \1<)'p 1./; I vilo L; neu gia II"! (tiu ra '1'. 1<.1 se h{.1p qp
hl)"p ('/;, \ "ilo L. Ket lJl1'l ta nh(1ll duo'c t(lp ht,1p 1.,1'
C{le phlitl ttr lrong Illp h0P Lila die lot h:ll1g so e6 Ihe duvc phJI lun
btlOg \'ceta gi<.i Ir! Chill \'UO dang xl5t. V( (\1,1. doi \"('ii phAtl ttl' AND tren
hinh 8.2X. la co d::: (lhc ,\\1i u::: '0'. h::: '1'. c::: 'I' tlf dCl suy ra:
L
J
== (L" n tb n L)u {d , i;
lrong d6 La::: (/,' \. 1.,,, == I h'l \. L" == { ("0 I: m()t s6 phcp bi6n d6i.

Nell e,ic cl[ill nh(lll gia Irj: (/ = 'I'. /J::: 'I'. c::: 'I', la se c6:
(L n Lb nL) => Lei :::(L, n [I> n l,)u {dJ::: (L"u L"u LJu (d
l
.}
lrong d6 La::: I {l1J \. LII = 1 h'l }. L,.::: {("o) Nhu ta nh(ln c111"<JC
Ld::: I (/n, hi,' Co do ).
Trong nhung Inri:mg hq'p khi d:iu \'ao a ella phfin tlf khong piJ,ii Iii d:iu
vao Ilr b0n ngoili. La Iii 1(lp h<fp d.e 16i duqc ph,il hii:n tnr6c mLfe dang
xcI. Do do. khi dl lLI cae dau vao linh llr hen ngoili luan IU [hco llH1'C
eua sa d6 16gic V,\ ap dl.mg nhieu Uln dJ neu Iren. [.:t co the xiie
djnh duqc 1(lp 11(.1p It)1 dLfQ'e hicn bang b() gia trj dlill \'ao dJ cho.
loan ne'll trcn du,\1C g<)i lit to{1I1 ml'! hlnh hoa lui SllY dien.
Chung la hay xct vi ap pl1Lfong phap ma hlnh hoi lui suy dicll deli
283
www.Beenvn.com - download sach mien phi
,,6i mach I["en hlnh 8.29. nil! loan d(lt ra Iii djnl1 cic It'li c6 Ihd cllr{)'c pil,it
,,6'i H:Cto" gi:i II"! d:iu \'ilo:
.r::::)' = T = /I = \':::: II' = I
Illnh S.19. Vi lIl[ mlllli hUiI phuong
ph,ip m() llinh hOii kll slIr dietl.
Lh = ( ho J, L; = ( io I;
I., = ( L" n I." ) u I c, I = I c, I:
Ap dl.l1lg rni\l c.tell
lurin ItI' de luflt hill
dfill Ill' d:.iu dlO,
chung ta nh{l1l dwyc
c,le 1(lp h(!p ,",ill!:
L" = I 0, I:
Lil = { hil I:
Ld = (d<ll:
L, = I /" I:
L,.:::: (Lei (\ L) U (c
II
) :::: l u(I ,e,l] :
Lg::::J-I"U (go J = (dn,cn.g
o
J:
L
j
= L,.u (.in J = I till' ('0' ill j:
L;uLIl)u I k
l
) = I iu.hll,k
l
}:
L[:::: L
j
U ( II J = ("'I' CU'.!<I' 'I ):
Lll) = ( Lk (1 L[ ) U ( III/ J = ( 1111 J:
LII == (L
g
U 1,1) u { 11(1 J = (d,). en, g'I ./,",.lI
o
}:
Ljl== (I." (1L,H)U[PIIJ = {dl!,c,I.,t:rI,.I;"l/o'Po)'
1\'1111 v,}y vcclCi gi<\ Irj diiu "ao X = Y == f:::: II = \'::::: II':::;: '1' e1lO phep phat
lllQi 16i m\m lrong: t,!P hqp 1,1' = { do, Co. go. ;;1' 11
0
, PI' I
I\eu gii.l trj dfiu d.o y thay d6i lilunh '0', Ihl sc c6 nhung Ihay d6i sau
11'011" dJ.v ,>uv dien noi tren:
b , ,
y = 0 :::::::> II = 0. k :::: 1:
Ii = 0:::::::> L
II
:::: { Ii/I:
-
k=!:::::::>
VI m = I :::::::> t", = (L
k
n Lr) U I fIIo) = {c/. dl!' (//' hl . .il' '
0
, kil' nil! I:
VIP:::: I :::::::> LI':::: (l'H (\ [PII] = I hi')" "/I. 'rI.lllo,pl! I:
Til VI dl} tfen chung ta thay rang khi SLr dl}ng phu,(J'ng phap mo hlBh hOii
16i suy dien. chung ta luon sir dl}ng de phep Imin hqp. Dicll do dill1 (tc:n
2R4
www.Beenvn.com - download sach mien phi
dn 111Ii,YI ",ay dung phuo'llg pb:l[1 Ihu'c bien Cll hiu qu,.\ C<1e loall 1(1[1
lH.l'[1 Ir':l1 lllciy Ilnll. Ulling la lil:i'y r;I11i;! dc phcploiin ItlpIH.)P IhutJc \'210
de gj,i II"! d:lll ,,:to I1C'I1 \'IC ,ip dung e:le phcp xu' song kllt'lng the:
Ihvc hi11 du'O'c tJ"(lJlg Inrlillg h(.1P
4. Mil hlnh h6a lui canh f.-anh
Trong phuong phiip m() hlllh 11O,i suy dicn cHi trl11h b;lY (1 tfl'n, danh
de kii c6 Ihe ph;il hll'n b;ing 11l1)1 vee In gia Ir1 lthl cho IrU(K dU0l' 111ll
111[1), bang c{leh Ian Iruycn tu:in li11 then dc mLrc ph'lll I".1ng. Trong phuong
pldp Il;ty U dn phi'tJ Ihue hin cae phcp to.in 1<)p h(.l"p kho tlwc lun Irl'll ]11,iy
tinll.
Tlt'(mg tt.f \'l)'i ttl' tUl\ng danh 161. chung dl:lt1g phlfl1!lg ph.ip
,\:."Iy dVllg danh s.kh king c<-leh m(i hinh hcia th;]), ello cae phcp\ojn Ihp \}()p.
Plllro'ng phap nil)' goi IiI phul1ng ph.lp Illl'l hlnh h6a I{,i c',mh Iranh.
Chllll,!; 1.1 xct \'1 hll1h R.lO \ll'i C(IC d:iu \"210 6w m . [(:11 12t:
a = h = O. (' = I J = L g = 0,
a = h = '0' til co gi.i Ir! dung tai (' = 'I'. I\'hlt'llt! 16i c{Jlhe dU\lC
ph,il nh(l' lllJll'ng gi<-i If\ dfiu \"i\o d{iu ["it d6 nh;)ll de gici If! (Lto
(// I, hi I, (i() , Id c() Ihe \'Jet gc:m IiI c:u.: IClI (/ /' hi' (Ii" Cie I('li Ii!' h"
Irollg tru())\g hop nity khl)ll,f! Ihe phlit hin dli'(Jc b6i \'1 chung 1;1111 eho
,

, -- --[J, , 1---[/

(;, "
I'
(/=h={),d= Lf= J.g=O
lIillh KJO, VI du Illl) h'lllh l{)i
,if ph.!p lllli h'lllh hOil
(hiu ra e6 gici 11"\ Irllllg \'eji gla
tn Ihlre.
D6i \,{ii ph.ln (;2, ]leu
gia Ir! Irl'J] dUll'I1t! d httng 'I'
'illy ra gi,i If! dung l"li dll'(\ng
c bim" '()'. KIll!' \'{IV ciic It)i
o ..
c6 the: du't,1C phill b: (,J'
el,!, (' /. VI de Illi 11;IY thie:t 1(lp
giii tq cltr(l'ilg c b;\ng '1', ch()
]len 1...11;.\ 11,-llIg ph.it uie 16i do btmg bQ gi;i Ir! (tau \,;10 dang .\.Cl c1U\K
(1c'lIll b,'lU. 'I'Ll" do suy ra, \'6i c{le gia Irj c1{iu a = h = '()" d = 'I' Illi de
kli L
"
= ( (ii' d
lJ
, (' I 1 co the dU9'C phat hil'll,
Neu gi<.i tri Iren c1u'0ng lin hiu f = 'j', g = '0', ta :-.c e6 gi:i Ir! dung
trcn d{iu ra Ir Clla ph,in ttl (;31:1 '0', do d6 dlc 16i (./;), gil, h, I e6 the' du(.l'c
2X:'i
www.Beenvn.com - download sach mien phi
ph,il hin. kh,ic. khi lrong mach co loi fl' cbu ra h = '0' nhu v;ly,
danh <teh I{)i cu6i clmg sc dlf(Je phCtl Et: L
h
:::: \ gl.lr
l
\:
D6i \'(')'i pb,-in Ill' (;-1, gi,1 Irj clung In.'11 de Ch1l'Illg 1', It bilng '0' do ckj
gij Iri dting Ircn dui\ng i (Ullg bimg '0'. l\'hu \,(ly de I{)I (6 pkil
duo( ''0 1:1 Iii' 1',. \1<-11 kh;ic :"U:I't hicil CUd k;i (', duqc ..;inh r<1 ILl' danh
,>,kh L
e
., chn ll\i iii n{lln !rong !;:IP lWp L
h
. Kc'l IU;lll, t;:IP hO"p (,ic 16i ..,0
lhl\K ph,l! hlcn Ircn (.1[[u ra : J.'t:
L;= I cu,d!J,".g/. 11/. i
j
).
Tr0n hlnh H.J! [:1 sa d6 Irtnh 1L.l' truycn \':1 llh(ln (lie 101 ell thc' dll'O'C ph'll
hi011 qua cue ph:in tll' logIC Irong ml.lcll Iren hlnh S.JO.
DLfa \';10 cuc suy lu,:1ll ncu IrCll. chung la c6 IhJ (lLl'ct LI Cdl I:\c sau:
Lu,H D:
\. D6i \'6i ph'ln Ill' \l'1gic G 1 cO I,lt d. cae drill \'ao [;1 Ill' ben ngo:li: Chllll)! 1<1
k)' dc diill ";10 [il a,h, (bu ra IiI (': giu Ir! t'.Ii de (till \';\0 [;1
(1, /1 \';\ d,ll! 1'<1 Wong ll'ng 1:1 y. Trollg danh s,ich dc I{l[ :-;0 phcl!
\ (/ .h .c \. cic itJl dU'\)'c coi E\ dU0C phCII hi0n IlC'U chung Ihi0'1 \,\[1 Irl'lI
!( /1
2.
luong Lint! Iii ({ vll/;, d,lu r<l \;\ c. (,iCI Iri lren c1lf(\ng \'ilo II \i\ a . lren
lruycn h jJ. gi{\ Irj Jung (\[ill ra c b\ {. Tlr danb s,icil CiIC 101
e6lhc' ph,it I u".L"(jJ).c,, loi ehlnh IhCre duVc 11m Ih:ly ,..,0];'1

www.Beenvn.com - download sach mien phi
nhCrn!,'. lr'll lhil"l ifC'n ,Uu ra c gi,i If! .,', :--lhiIng Itll nil\' 1;10 \hill1h d.lIlll
s,kh 1(11 l:\n L, C;,J,
_'. Dr)1 n'jl pll<ln Ill' I()gle (;" chi eel de dfiu \ilO bl'll IHlllg lit II, /; \':t d:iu ra
(. ,:,le duijllg lin hi011 (/, Ii dU\K d(tl gd II"! ,lung (( \'il fl. dUl_mg e ,,0 1l!t,:1I1
&1,1 In dUllg Iii;,'. Tel" danh ",tch { L,,(a). LJ.(jf), ('_I Clc Il)l sc ph,ll
\ ,. c'
"0"
___ l;:"
\ :,
, ----[7
du'()"C IiI cle 101 d':11 gi,i Irj Iren dlfLl'JJg (' hil!1g y, NhiIllg It)] \lily t'.IO Ihilllh
danh <tell Ihi Jail Irllycll qua pil[ill IU L .. ('r).
-1-. C;te ](')1 Ian IruY':1l LeIi'! 11m Ih:ty Irl'll d:ill ra hl'll ngo:li ella l11<.\ch ( lao
Ihilllh I.)p h{yp ell' li)i ph,lt hien dLl"<:)'C nho b(l gi;i Irj (L-ILl \'i't() dil eho,
Cilling la :\01 \-{ dl,l dlii \'6i ]\l'.lch trell hlnh )-).12. sau khi ;lp dung IlI;:lt D.
1.:1(1 hop de Ii)) nh:1Il dU\K Sl: 1;1:
1.1' = I cI
"
. ('i" g". II' \1',,'. PI' I
CLlIIg 1II'00I1g IU nhu phuong phiip m(l hillh ho,\ j()i suy Illl,l'e hl':ll
\11' "UII)! til: l't') Ihc lll(') hillh 110.\ d()llg Ilti'!i lrl'll de \'ceto' (L-ill \';10
tHong diii pilLf,," \'.Ip.
:\!lll' \':Iy. chl'lng la d21 J1.l2-hicll eLfU IIhCfllg phU"lillS ph,ip ml) hll1h hO;1 j{1I.
t.)(ii tU'lftlg ap dl.lllg ella nllllng pillfo'ng pll:IP n;IY Iii ph.il ilien e,ie 16i hrlllg
l'lltl ceil' lllach 1(; Ilo'p. Chung ta dJ Ih,t}' lrollg eilUlmg ), khl hlen Ill()
!tl11h !to;l j()1,!Je ei10 1I1'.lCh. ehL"lng La e6 Ihe [inil dell d(l eLla Ciic ph:ill ILl'
cling nllll' hlllh lL,llIg eLla lill hll'U. Do rl{) chung La cung e6 Lhl; XCL d6n llhCfllg
1]1(') hl111! I{)i Ilf{ill!; Il'ng, I\JlI chung. qua Irlnh m() hlnl1 ho,i It)i nl"u duOl' Ilnl1
llj'j L;ic lh()llg \'': 11}(\i glan xLf 1;' s0 pll1l'C I:,\P hon
2S7
www.Beenvn.com - download sach mien phi
S.5. ]\:1()t s6 Illu1P lam dun gian hoa
trinh tra phat lui
V6i ella cbng ngh VLSI. kkh IhulJ'c \'it uo phue lap eua de
m'.lCh nhanh. Khi .... 6 hl\ing de Ilf nweh tfll1g. thai ginn d.n Ihiel
dc' lao de h() gij Ir! thll' nghim ph!l! hin Jeli t[mg. D6i w'ii nhil'ng mach phCfc
I;'IP nhLf de h'J \'1 XLI' I)'. hiu tOiin kicm Ir<l 1(.1 lrO' llell h0't Sll'e pllCle \',Ip. Dc
gi:1l quycl V,lil de nay. ehling \<.1 k.h()llg the chi gl6'j han 6 \'ice I!m ra nhU'llg
phuong ph:\p kidm tra e6 hiu qllii Illa dm ph,ii tim each thie"t k0' nhl"rng
m'.lCh cllO phcp d(m gi,in hoa tmin ph'lt 11'" c:,,'1.
DC: 1<'lIn dOll gi"1l1 h6a qua trinh kicm tra V;I phal hlC'1l I"i. ,.'hlllig I.l,dn
ph.li gi'-II quyel hai hhi lo,in:
mli to,in thCr nh,'ll 1:1 giam thai gian \i.IO de \'cctel glii Iri thu n.
Bili to.ln l1;\Y dWje gi,ii quy0't [x'ing deh xiiy dlfng nhiJll.!:! \(: '11
1'-.10 n:eW gi:\ Iri thu nghi11l hiu qUit hem,
13;'ti loao Ihlf hal Il\ llun gium so IU'Q"ng cae tra \'a
l11l}e dich Iil. Elln gi,'lIn Ihoi gian kiem tra m'.leh.
Them \ ;10 d6. l-.hi thi(1 kc' nhil'ng m;.leh de kie1l1 Ira Ihen dte (Heh
nell Iren lai mlY sinh ra cae v;1'n mO'i:
T[l!1g ,,6Iu'(!ng thiet b\ don gi"1Il h6,1 qu(\ tdnh klClll Ira me.leh.
Ci,-llll t6e dc) lam vie do ht; qu,'1 ella \,IC Jilin don gl'-'lll h('l<\ LJuit trlllh
ki(:'1l1 Ira.
Nhu thi6t ke nhCrng m'.leh de kiC'lll tra e6 COl I:. Ihi(1 k[' mach
l[lgic \"(J"i kh:\ nflllg thC1l11l1(11 s61Wmg l{ii thicu thiet hl'; sung mach
kh6l\g Elm tha)-,' d()\ ehCre m'lng dc 111<1111 ... 6 clla Ill'.ICh b'1I1 d:iu kc'l 11(.1'11 vdl
kh.i 11,lng Ihlfe hin kidm tra hang m()t so IW)"llg IIhb e,ie \'cc\(1 glii 11"(
klc'm nghim dul.1'C tLI' nhiJ"ng phuo'ng ph:lP dlm gi,tll. Trong t1we k'. de
nh(lIl du'qe 1l1()1 pl1l1'ong ph.jp tho.i miln I[it cil de )-.'cu du neu 1r(:11 \:\ l-.h{mg
don gi:l11, do dtl. Il'Ol1g qUi; trinh Ihi(1 ke nhCt'ng llweh de kiC11l Ira. nh;1 Ihi(1
kc ph,li citra ra ducK dch gi'-li quyC"t nhlin),! \',In nOI Irell.
www.Beenvn.com - download sach mien phi
1. Cae giOi h<:l" ve diu true eua sa do
t-.T6 ta ch(rc
phall Ilr
K f(x.),J
I t
2 H)'
x:f
4 ,--+y
5 xl'
ri .\ffi Y
7 "
Citc hilln chuc
l1im)!. ctla ph[\Il tll
Ky hitu phan III
IIinh 8.33. M6 til cflu Ink va
ch(rc nang clill (lhin Ilr co ban.
Tnr6c tien, cluing ta nghicn
cuu nhung phuang phap
thiet ke nhung rn<.lch de
tra bang dl!a tren vic x&y
dl!llg nhung m;:.lch logic co
mot d<;ing nhat dinh. Nhfrng
rn<;lch d6 thuemg c6 cau truc
rna hai Vi
diu truc cua m<;lch co t[nh
guy cao cho ncn chung
ta co th6 tong hqp d.c veeta
gia trj ki6m cho nhfrng rnl.wh lo,:\i nay rn(H each luang doi de dang.
Cluing ta xet vi dl,l mOl rn<;tch kinh dien: rna tr(m d.c phan 111 co ban vai
nhung gia tr! dLICJC giiin ILICJc. Ph,tn Ill' co ban cua rn'.lch la phfin tll' e6 hai dJ.u
vao va rnQt cHi.u ra. Phan IV nay thl!c hin 1119t trong bAy ham logic co ban
nhu trong rno til In::n hlnh 8.33. M<;lch ICing sc co cCiu truc dLICJC rnb ta
duai d<).ng rna tr(m nlur Iren hlnh 8.34. Cac ehu-c nilng logic duqc tht!c hin
Iren co sa kel hCJp ma thu(ln va ma tr4n nghi.ch chio. Ncu chQn ham chu-c
nang cua plutn III trong rna thu(m la xy XY, Ihl hiun chuc nang clla
phan tll logic trong l11a tn)n nghjch c1iio se co d'-.mg (x + y ) va duqe the hin
duai u,!ng chuan tac TLr d6 suy ra,
[rang [wang hqp t6ng quat, chung [a co :', :$:$ .""""" :$. :
dien va x&y dl!ng chtCJc rnQi ham A. __ ._._ _ __ _
logic.
Trong rnl,lc nay chung ta chi xel de loi
hang s6 tren cac duang vao va duong ra x,.,"
eua cae phan Ill, Qua trlnh ph,'it lai se
duqe tht!c hin theo trlnh It! sau:
I. Xac djnh gia tr! cua hqp cae
trang moi cQt, gia Irt dfiu ra z) trung t:" ...
bicn chiu 'laO (.fl. x
2
. " x,,) sao cho #:: :
vaiy). +
z,
Z,,'
Hlnh 8.34. fo,[a cac phan Iii
vCii cae chile ruIng gian il.rqe.
289
www.Beenvn.com - download sach mien phi
2. Neu kbi thay doi gia tri}'J d6i voi b(i gia lr! dau vao X/ chung ta
duqc sl! thay doi gia tri ella zJ' nhu co the ket ding kh6ng co
llii tren cae dau vao va dau ra eua m<;lch. nay duqe thlfc hin voi
mQi e(it trong SO' d6 eau truc CLla m;:.leh.
3. D6i voi phan tt'r tren giao diem cua c(it thu j va dong thu i, chung ta
il!a chQn cae gia If, XI'"'' X"I' X'+I' .. XII va Y
J
(X,i. Y ) sao eho duang
tir dau vao Xi toi dau ra ZJ tluqe kfch hO<;lt.
4. Neu khi thay d6i gia tft x, d6i voi (X,', i) gia tr! eua ZJ cling thay doi
thea, nhu chung ta co the ket rang phan tt'r thu i va thu j ho<).t
d(ing dung. Dieu nay se duqe dung voi mQi phan tu trong
ma
Thea cae buoe thl!e hin neU tren, chung ta co the & dang kiem tra
dng eua b(i ma De co ki6rn tra hOi;lt dng cl.m rna
nghteh dao, chung ta cling co the dang x<1y dlfng cae vecla gia tr, lht'r
bang each khbi cae dUO"ng truyen kfch ho<;ll cam v6i loi mt
cach tuan tl!.
Yo
Cluing ta thay, cau trUc cua eOng giG" nguyen khi xay dl!I1g

K f{x,y)
y Ph:.!n 1I'r co tliin
cae ham khae nhau, chi co
eac chue nang eua cac
f-+--+-f, phan tt'r Iil. thay dei. Do do,
vic xay dlfng cae b gia
f--+-f- f2 Il'i thu nghim trong tmang
hqp nay khong phuc
Nhu rn<;lch co the duqe
eoi Iii de kiern (ra. Tuy
nhien, khi xay dl[ng
f--+-r fm chung ta can su dl,mg so
/{x,y)=,+y (/(=1)
,. X Y (K = 2)
luqng phein tiI cO' ban nhieu
hC1n so voi qua tdnh thiet
k logic thong thuO"ng.
Ngoai ra, d6i vui ma tn:).n
kieh thu6e 11 x nJ, so luqng
Hinh 8.35. Ma trAn NORNAND. dc tiing bang 2m + II, nhu
toe d lam ella rn<;l-ch co the bi giam. Vi nhling Iy do tren, vic tiwc
hin xay dlfng cae rn<:lch 16gie theo phUC1ng pMp neu tren nhieu kho
khan trang thlfC teo SOluqng cae veeto gia tfi thu nghim co the d<;ll toi 2m( II
+ 2 ) d6i VOl mt ham 16gic.
290
www.Beenvn.com - download sach mien phi
Trong ma tr(1I1 noi tren, chung ta sv dl,lI1g IXlY
logic ed ball. IUy
nhien, mQt hi\111
bfit k)' c6 the'
dUQ'e bieu
htlllg hai h:l1n eo
b,in: I'\AI\D
NOR. Nhil'ng ma
tran d6 dUQ'c gQi
Iii cae ma Ir[1II
d<.mg NAf\jD-
l\'OR. Khi
k hhm /I
-
--
--
I
-- -----
r

=lD
--\1
-j
Hlnh X[IY dung Ill,!ch logic
It( nhL'mg ph.-in llf AND dl XOR.
bien bang cac ma tr(\I1 NAND-l\'OR. s6 lU\mg cae vecW gia tl"! thtl'
nghim bfing k( /I + 2 ), va so II19ng cac tiing el.re (t.li b<.\ng /I + k. Nhu
eung la thay rang van de vc soluQ'ng kiem Ira chua dUQ'e giui LJuyet cit
MQi ham logic co biC:u dicn du'oj d ... mg to hqp cae phcp loan XOR ella
d.c tfeh logic ( xem chudng 2 ). dicn duoi d ... mg nhlI v(l.y eho phep
tlWe hin duai m'.leh hai tang clla cae phep loan logic A;-..JD va XOR -
il1i.lch AND-XOR. vi dl,! ham logic:
J( .\"" x
2
x,) = X
I
.\"2 E8 .\":>-\"! E8 xl"r
,
EB
x, x, x,
Xl
0 0 0 0
T,
0 1
j
1 0
o -O-
j
1 1
1_
d 0 1 0
T.\
d 1 0 1
d 1 1 1
\ d - trang nhilng thong
thuang, nl1(\11 gia tri '0' '1 ';
T\ - kitm tra phan tt'! AND; T"\ -
kiem tra phan tv XOR.
IILnh tU7. Cie b6 gia tri lhl( nghim
T deli v6i mach AND-XOR.
se du,<!e bieu duoi m'.leh
hai tang nhlf tren hl11h 8.36. Cae
veeto' giJ tri thu nghim eho nhfrng
m'.lch nay co C[\U true klui dan
gian. CYu true mly bao gam gia Ir(
'0' doi voi ll1ql bien va gia tr! '1' doi
v6i cae bien eon l<;1i,
Neu so 11Iqng cac tfeh CLla /I bien
logic bang p, chung tu co tht thfly
ding s6 1l1qng cac veeld gia tf! Ihu
nghim sc btmg ( 2/1 + 4 ) so
luqng el,fc cae tfing se
p. Neu so sanh voi dc plnJ'aug ph<.lp
xay dL!ng cae vccto gia trj thu
nghim thong thuang, so ilIQ'ng d.e
vccto gii.l tr( tho kh6ng 16n.
291
www.Beenvn.com - download sach mien phi
Mt khac la soluqng d.c !ling vU'Q1 xa so luqng tiing neu so s .. lnh
nJ' xily dvng tn;;tch bAng phU'C1ng phJp thong thuang.
2. Hien the ctta sa Mi m<;tch va cac phau to' them 11100i
N6i chung. de don giiin h6a hai tmin phat 16i trong cae m'.lCh logic,
chung ta d.n don giiin hoa qua trlnh quan silt cac phtin ben lrong cua m,.tch
va gii.\n1 nl19 vie thief 1(lp de gia II', tuy Y h.ti dc ditm ben Irong ella nl<.\ch.
Noi theo dch khae, chung ta can tang tfnh quun sat va kh;i nfmg
smil clla 111<.1cl1. Voi I11l,1e dich do, chung ta dU'a van trong mi.\Ch nhling phan
IU bo sung va thl,fe hin vie hien deli so do clm 111'-.lch sao cho kh6ng
thay doi vc chu'c nang. a day, mt vtin de quan IH,lI1g Ii! chung ta phii Xil.c
d,nh duve tnl,lC dieh chinh khi nghien CLfU x<ly dl.J'ng nhO'ng kiem tra.
Neu xuAt phat Iii. s6 IU'qng clJe lieu cae h gii II'! rhu nhU'
v,)y eh(ing ta co the bien dbi mi..teh sao cho c6 the phat hin duqe 16i chi
crin il nhal Iii. ba be) gii.l trj thu S6 IU\ing nay dU'qc dU'a ra dlJa vaa
nh.)n xet 111m sau: de' co the phat hin 16i ella nhO'ng phfin IU AND va OR c6
hai dilU vao, cluing ta ctln it nhal ba b gi,i tri kie'm vi c6 the' noi
ba b(l gi<.1. Ir, thLr nghim Iii. dn duai thea Iy Ihuyct.
De ph<.1.t hin loi eho m;.leh AND c6 hai dfiu chung ta d.n plu'li
tra ph all tu v6i ha h gia tr! duu ( n. I ), ( I, 0 ). ( I. I ). Do dl'l, pll'-i.n tif
A:"JD co the dli'l,)"( kitlll tra boi btll k)' hai trang so de clay gii II'! dfiu v;\o
sal!:
S,,= I all, 101, 110 I
Trang truong hqp phan tiI OR. ki.hn tra co th0 du9'c hao dam blii h.:ft ky
hai trong s6 de day gii trt duu VllO sau'
S" = I 001,810. 100 I
Dc e6 Ihe plli.lt dU'qc 16i dung cae dily gia Irj drill vaa neu Iren thl
m<.lCh dn phii duqc bien doi nhu sau:
292
I. phai duqc doi Ihanh ll1i.tch chi chua de ph an tu AND,
OR, NOT.
www.Beenvn.com - download sach mien phi
2. Philn tl1 AND ( hoi!.c OR ) co so luqng uau vao la ba hll[te hCiIl SC

x 001
Y101 Z B f
b)
duqe khai trien thimh to hqp
licn kct tu,l.Il tv cua cac ph,l.l1 tu
Al\q ( hm)c OR ) co hai dfiu
Vi uy, phan tll" AND c6 ba
d.l.u van In::n hlnh 8.38a se
dlIt}C kiwi mach
gam e6 cae kct noi eua cac
pluln tu AND hai dau van 1110
ta tl"cn hlnh R.3Rh.
3.
chung
Bat dfiu ttl ok Miu vao,
ta ch91l cae h gia trj
Ilinh Qua lduh phan ra
1ll1.ICh cho phcp phal hien16i chi
Vlji ba b6 gl,i If! dilu vilo.
thu nghim ttl trong c<lc ehuoi
S" va So lUang ling voi u,!-ng clla phan IU. de gia tf! nay toi
U,l.U ra ella m',leh.
4. Neu trong qUi.\. Il"1nh gia trj, khang nh(lIl dUt}e cae day tin hiu
ttl SA So, chung ta dn phai them vao duong tin hiu phun tu
AND OR co hai dau vao.
Vi dL.I, nc'u day d.c gia tr[ duqc Iva cJ1911 nhu trcn hinh 8.38b, khi do
dflu vao clla phan tu AND II se xuat day tin "001". Neu
thcm phan tu OR nhu trcn hinh 8.38e Iva ehQn b gia trj luong
Lrng, llml trinh phat hin 16i t<;li Uit ea cae phun IU trong m,.tch co tht
uuqe thVe hin chi bang ba b gia trj thl! nghim.
5. buoc IB va ll4 cho toi khi lren tal d. de phan tLr trong 111'.ICh
thiet duqe cae gi<i tf! thu nghim.
Vi uy ve phuang phap nay duqc uLfa ra tren hinl) ti.39. Vie ,ip dyng
buoc 1 va 2 uoi v6i m<;teh Iren hinh 8.39a se d,\n t6i Ilweh trcn hitlh 8.39b.
Sau do bang each ap dyng luan II.}' cac buoc 3 va 4 dni v6i m'.lCh tren hlnh
8.39b. chung ta ULfqc m<;tch Iren h1nh 8.39c.
Plll(ong phap noi tren co y nghia ly Ihuyct tfcn quan diem xuy dVng
m<.tch voi solu'lng CLla bl} gia trt kie:m nghim toi thieu. Trang tlwe Ie khong
Ung dyng duqe vi phai tang soluqng cae phan IU len nhicu.
293
www.Beenvn.com - download sach mien phi
Trcn quan diem llwe hanh Ihl ta can phili tang tlnh qua eua qua tr1nh
tong hQ'p cae gia tei kiem nghim voi slf tang t6i thieu so IUQ'ng cae philo tLI'

294
x ----r-- -----
y --,--1-----
Z --r++ __ -------
x 110
100
0,
Y 101
c,
01
001
011
c-
Z 010
,
c,
100
o 1
010
C
4
001
f
a)
b)
100
0,
010
0,
001
e
c)
011 07
0,
101
001
Hinh 8.39. Phan ra cae ph[ill ttf nhicu dall vilo thanh Ole pldn ttr hai diiu vao: a) vi
du mach tci hop: b) xay dung mach theo cAu tnk dang cay: c) ph:l.n ril thanh ok
ph[in ttr hai dau vao.
www.Beenvn.com - download sach mien phi
Rai t:)p cho chu:ung H
I. Tim nweh sCi e6 lhe chua mt loi ngit In4eh kh6ng phal hi9n dm:;1C.
2. C6 ton m"t mi..leh 10 hQP C voi m9t dl1ang tfn S nao d6 "il h9 gJ<l
trj Ihtl' nghim I sao eho b gia t co the phat hin d hai loi s-a-O "il
s-a-l dLIong tin hieu S ? Hay eho vi dl,l chung minh rtmg dieu
d6 la kh6ng the ra.
3. Cho 1TI4ch so hinh D8.1. Hay xac djnh haITI ra ella mach <.lSi v6i
nhung loi sau:
a) Loi hac cUu A:--JD giu'a cae dau vao ella phun tiI U I.
b) Loi kep {Xl s-a-l, Xc s-a-O}.
x,
G1
a
x,
Hlnll 88.1
4. Vai trcn hinh mu. nhu'ng h!) gia nilo lrong cae h gi,ilr!
sau sc phal hin loi Xl s-a-O:
a. (0. 1. I, 1 ):
b. (1. 1. 1. 1 ):
c. (I, I, O. 1 ):
d. (1.0,1.0).
5. Doi voi tren hlnh D8.!, hay xac djnh hieu thue 16gieeho tAt Ci.l
cae b gia tr! thlrnghim ph at hin 16i:
a. x, s-a-O:
b. x
2
s-a-O:
c. x1s-a-l:
6. Hay thLfe hi11 nhG'ng thao lac sau doi nJi lTI<:tch trcn hlnh ll8.2:
295
www.Beenvn.com - download sach mien phi
296
A
B
C
Tim hqp hft ca d.e bQ gia tr! thu ph<it 16i a: s-a-O:
"I1m t(lp hqp Ull d de hQ gia trj thtl nghim philt hin loi b:
Tim hqp tat d. cae bQ gia thu nghim pilat hien 16i kcp
l a s-a-O, b s-a-O)
a
/

-
Z
r-
Hinh 88.2
D
www.Beenvn.com - download sach mien phi
TiIi tham kiulo
1. Sa\"diev. A. Ya. Prikladnaya Theorya Tsiphrov)'kh Avtom<lto\'.
Utsdmik dlya VUZOV po spets EVM. M Vysshaya Shkola 1987.
272 pp.
2. Savclicv. A. Ya. Ovtsinnikov. V. A. Konstruirovanic EVM i ")".;km.
ljt:.;ehnik dlya VUZOV. M Vysshaya Shkola 1984.248 pp.
3. Norcnko\'. L P. Manitscv. V. B. Systemy avtomatizirovannog;o
Proektirovaniya c1cktrollnoi i v)'tsislitelnoi apparatuf)'. M
Vysshaya Shkoia 1994.272 pp.
4. Ugryumov E. P. Proektirovanie elementov i uzlov EVE. M Vysshaya
Skula 1987. 315pp.
5. K. Kinosita. Logitsheckoe proektirovanhie SBlS. M Mir ! 989.3 \0
pp
6. R. S. Sanclige.l\lodcrn Digital Design. McGraw-Hili, Inc. 1990. 7c.t1
Pl'
7. Y. Hsu. K. F. Tsai. J. T. Ltu, E. S. Lin. VHDL modeling for Digital
design synthesis. Kluwer Academic Publishers 1995. T"i6pp.
8. V[\I1 Chuye"t. K5' til s6. r-.;XBGD, 200n.
9. Nguyen Thuy Vtm. Ky s6. NXBKHKT. 19q1J.
10. G. De Micheli. Synthesis and Optimization of Digital Circuits.
Graw-HilL Inc. 1994. 580pp.
11. I .. Nayabi. VHDL. Analysis and Modeling; of Digital Systems. Me
Uraw-HilL Inc. 1998. 632pp.
12. M. Abramovici, Melvin A. Breuer, Arthur D. Friedman. Digital
Systems Testing and Testable Design. Wiley-IEEE Press 1993.
653 pp.
297
www.Beenvn.com - download sach mien phi
THIET KE MACH BANG MAy TiNH

Tac giG: TS. NGUYEN LINH GIANG
Chill !tach nhim Xl/a' han: PGS. TS TO DANG HAl
, ~
Bien t(ip : ThS. NGUYEN HUY TIEN
Sl(a hili:
Trinh bay bia :
NGOC L1NH
HUONGLAN
NHA XUAT BAN KHOA HQC VA KY THu';'T
70 TRAN HUNG 0",,0 - HA NQI
www.Beenvn.com - download sach mien phi
In 1000 eu6n kh6 16 x 24 em, t;;ti: XUdng in :-.JXB Van hoB. Dan t(k
Giay phep xuat ban 56: 113-244-24/4/2003
In xong va nqp luu ehieu thang 7 nam 2003
www.Beenvn.com - download sach mien phi
~ ( ) : ~ m ) : - ;
.. _-,
Gia: 37.000d
www.Beenvn.com - download sach mien phi

You might also like