You are on page 1of 10

75R

R191

SC1_AUDIO_R_OUT

SC1_AUDIO_L_OUT

SC2_AUDIO_R_OUT
22u
50V

C247

50V 22u

Q115
BC848B

VCC_8V_A

C241

50V 22u

Q114
BC848B

VCC_8V_A

C239
1n
50V

DACA_R
17

DACA_L
18

VREF2
19

DACM_L
21

1n

50V
DACM_C
22

C240

DACM_SUB
23

DACM_S
24

R209

100R
SC2_OUT_R
25

R206

100R
SC2_OUT_L
26

VREF1
27

R219

100R
SC1_OUT_R
28

DACM_R
20

R208
100R

R205
100R
L125
50V
1n
C235

L124
BLM21A601S

BLM21A601S
R218
100R
50V 10u

SC1_OUT_L
29

CAPL_A
30

C229

50V 1p8

IC8

R214

100R
R211

VCC_5V

64 NC6

63 STANDBYQ

62 ADR_SEL

61 C_CTR_I/O_0

60 D_CTR_I/O_1

59 NC5

58 NC4

AUD_CL_OUT
VCC_5V 57

56 TP

55 XTAL_OUT
18.432MHz

50V 1p8 X102

C238

54 XTAL_IN

53 TESTEN
56p
50V

R764
4k7

R215

50V
100p
C246
SOUND_SELECT

PC_AUDIO_R_IN
22u

C206
FAV_AUDIO_R_IN

SOUND_SELECT

VCC_5V

S1 11

S3 9

52 ANA_IN2+

50V
10u

1u
16V

S2 10

C234

1n5

AUDIO_R_IN

1Y1
13

SCL5V

51 ANA_IN-

49 AVSUP
560p

C220

R765
12k

R768

50V
56p
C227

100R

100R

Q116

12C_CL

50V

12C_DA

39p

MONO_IN
AVSS

C254

SCL5V

12S_CL

SC1_IN_R

46

C250
39p
50V

12S_WS

VREFTOP

45

SDA5V BC858B

12S_DA_OUT 5

SC1_IN_L

C215

12k

1Y0
12

470R
R216

1Z 14

1u
16V
C474

R217
470R

K
2

12S_DA_IN1

A
1

IC9

BZT55C3V6

1N4148

R213
1k

50V
1n
C204

C256
100u
16V

50V
1n
C205

50V

50V
C252

ASG1

C212

R_IDTV_SD

270p

ADR_DA 7

44

R766
12k

IC1
VDD

DVSUP 10
ADR_CL 9
ADR_WS 8

AUDIO_L_IN

8 GND

50V
470p

SC2_IN_R

48

C473

VCC_5

L127

11

SC2_IN_L

47

2Z 15

7 VEE

DVSS

42

AM_SOUND
C219

2 2Y0

6 E

22u

C251

41

43

16V
220n

VCC
16

5 3Y0

12

C218

16V
330n
16V
330n
C208

1 2Y1

3 3Y1

13

330n
16V
330n

1k
R194
10u

L121

IC6
MSP3452G

SC3_IN_R
ASG2

NC1
12S_DA_IN2

50V
10u
C255

50V
1n5
C253

C209

74HCT4053

VCC_5V

50V 10u

CAPL_M
32

SC3_IN_L

C217

39

FAV_AUDIO_L_IN
1u
16V

16

AOUT
15

VREF
14
C184

AHVSUP
31

C225

SC4_IN_R
ASG3

VCC_5V

1
AIN0

14

38

50V
1n
C197

50V
1n
C196

SC1_AUDIO_L_IN

BLM21A601S
1k
R192
L120

C181

L113

C237
1n
50V

R204
100R

100R

R201

C195

10u
50V

1k
R193

C198

2
AIN1

15

NC2

C214

1k
R198

L119

4 3Z

16V
100u

C236
Q113
BC848B

Q112
BC848B

VCC_8V_A

Q111
BC848B

VCC_8V_A

22u
50V

C228

S107

22u
50V

C221

22u
50V

C207
VCC_8V_A

S106
Q110
BC848B

VCC_8V_A

C194

50V
10n

15k
R180

3
AIN2

NC3

SC4_IN_L

330n
16V 330n

PC_AUDIO_L_IN
1u
16V
C472

100n
16V

SDA5V

LINE_R_OUT

SC2_AUDIO_L_OUT

LINE_L_OUT

R187

12k

10k
R186
+5V
D105

PIN8_SC2

PIN8_SC1
15k
R173
R175

4
AIN3

5
A0
EXT
12

AGND

6
A1

C170
39p
50V

AGNDC

35

C471

13

7
A2

PCF8591

8
VSS
9
C168
39p
50V

34

56p

C186
C169
10k
R167

3k9
R171

L108
50V
2n2
C155

PIP_CVBS

16V
330n

37

IC34

S403

C133

RESETQ 16

36

1k
R195

R_IDTV_SD

SC1_AUDIO_R_IN

PL3

INPUT7
11

L118
BLM21A601S

BLM21A601S

3k9
R170

10 INPUT6

HP_R

AHVSS

40

L_IDTV_SD

1k
R137

75R
R127

16V
220n

C213

R199
1k

BLM21A601S

R164

C120
220n
16V

50V
1n
C245

33

C216

L117

3k9

47k
R158
S402

TXT_CVBS

C134

C113
22u
50V

R138
75R

GND1
12

10k
R151

R168

9 VCC

TO INTERNAL SUBWOOFER

100R

L102
VCC_8V

1k
R141
Q101
BC848B

OSC

10k
R122

SC1_V_OUT

11

R125
47R

OUTPUT1
13

1k

L115

L116

FAV_AUDIO_R_IN

HEADPHONE

HP_L
50V
1n
C243
C244

330n

R197

BLM21A601S

S808

SCL

10k
R121

Q105
BC848B
R134
75R

AUDIO_L_IN

AUDIO_R_IN
+5V

C242
1n
50V

1kV
470p
C249

FAV_AUDIO_L_IN
S807

10

R126
100R

OUTPUT2
14

V8

8 INPUT5

SC2_V_OUT
1k
R143

S103

470u
16V
C180

MAINA_R

C210
25V 100n

1k
R196

L114

BLM21A601S

S607

50V
1n
C163

SDA

7 PROG

16V
L106
100u
C153

R136
75R

10k
R120

S102

S400

L109 22u

SC2_AUDIO_R_IN

PC_AUDIO_R_IN

C167
100u
16V

100R

S401

VDD

BLM21A601S
SC2_AUDIO_L_IN

PC_AUDIO_L_IN

220n
16V

OUTA

Q104
BC848B

OUTPUT3
15

OUTB

MAINA_L

C211

S606

330n 63V

63V
100n
C173

39p
50V

OUTPUT4
16

50V
39p

1k
R140

PL100

39p
50V

C112

R103
75R

C803

R801
75R

PL101

R131
100R

TEA6415C
6 INPUT4

OUT14

R183
33k

R169
4k7

L107

OUTPUT5
17

C116

220n
16V
C802

S406
SD_CVBS

R124
100R

10k
R119

5 INPUT3

INA-

VxtoMAIN

10k
R118

4 CLOCK

6
50V
220p
C166

IC3

50V

SCL5V
TUN1_CVBS

R139
75R

50V
2n2
C151

47p
R107
100R

50V
220p
C154

INBTDA1308

Q103
BC848B

R123
100R

C119

220n
16V

50V

50V
2n2
C150

1k
R146

V8

39p

VxtoPIP

GND2
19

OUTPUT6
18

K9453M
Z103

BLM21A601S
C177

R165
47k

R157
4k7

C148

R142
75R

INA+

50V
1u
C152

330n

Q102
BC848B

INPUT8
20

V8

R102
75R

C103

V8

63V

10k
R117

3 INPUT2

INB+

FAV_IN

C108
SC2_V_IN

VSS

50V 2n2

1k
R212

50V 3u3

Q108
BC848B

HP_L

C132

22u

2 DATA

IN1

50 ANA_IN1+

R156
10k

50V
1n
C149

C118
47p
50V

SDA5V

HP_R

V8

R101
75R

50V

QSS_MAIN

OUT14

3
GND
IN2
OUT25

50V
1n
C202

Z101
IN1

100p
25V

V8

R106
100R

C192

R172
1k

L122

L112

K9356M

220n
16V

39p

C193

L123

50V
1n
C203

GND
OUT25

C111

C102

16V
100n

IC7
+5V

1k
R210

22u

12k

IN2

IC12
1 INPUT1

R176
22k

24

C232
C230

SIF2

C223

VIF1

25V

50V
470p
C222

R767

OUT14

R179
150k

C199

IN1

W/L

220n
16V

VCC_8V_A

SF_63962
Z102

100p
25V
C183

75R
R132

L/Lp

R181
150k

23

QSS_PIP

SIF1

1n5
50V

VIF2

100u
16V
C224

GND
OUT25

100n

D104

C135

W/L
33k
R113
C125
100n
25V

50V
1n5

33p
25V

IN2

50V
39p

Q100
BC848B

47k
R188

33p
25V
33p
25V

C172

R163
100R
C161

50V
10u

3
2

BA591

BA591

1N4148

IC4

R114
6k8

22

W/L

50V
1n
C226

OP2

50V

SC1_V_IN

IC10

47u
50V

OP1

S405

100p
25V

R115
2k2

C105

16V
100n

1k
R207

1k
R203

R185
22k

1n
50V

1
IF1

100n
16V

1k
R202

390p
50V

24

W/L

C121

V8

10u

W/L

21

3u3
C182

R116
1k

C131

100p
25V

50V
10u

IF2

AFC

C191

R174
6k8

IC2

L/Lp=L BG,DK,I,L
C122 +5V
L104
3u3

20

L_IDTV_SD

IF1
L/Lp=H L

C100

FMPLL

!!!!! SHOULD BE
10uF 50V !!!!!

1n
50V
C104

VP

D103

SIF2

1k
R200

+5V

DEEM

S404

VIF1

R189
330R

19

VPLL

100n
25V

1N4148

IF111

OUT14

AFD

C188

10n
50V
C176

S105

C156

1n
50V
C157

AGND 18

TDA9885T

+33V

IF210

23

1n
50V

IN1

DGND

BC848B
Q109

C261
27p
50V

100n
16V

IF111

47R
R100

R154
75R

C189

220n
16V

10n
50V
C175

5k6
D102
AGC_CON

R159

K3953M
Z100

S101

R182
1k

1N4148

SIF1

CVBS 17

C185

VIF2

AUD

R178
2k2

100n C259
25V
27p

R190
220R

50V 50V
1n
1n
C201 C200

C258

VAGC 16 Connected for Secam L/L

470n
63V
C179

VST
9
22k

L/Lp

GND
IN2
OUT25

SC1_V_OUT

R133
22k

TOP

VCC_8V

22

R166

PIP_CVBS

15

R177
6k8

OP2

X101

100p
4MHz
50V C190 63V
470n

NC/ADC
8

+5V

Q107
BC848B

REF

100n

1u

1n
50V

NC6

L111

OP1

R147
1k

100n
25V

R153

10n
50V
C128

C187

SDA

C178

1u

+5V

10

+5V

C144
100n
25V

21

L103

IF210

SDA
5

R155
47R

1k

AFC

390p
50V
IF2

TAGC 14
100R

VS 7

C142

50V
10u

R128
22k

20

R129
6k8

C110

VP

FMPLL

C107

100u
25V

C139

+33V

150R

AUD_PIP

+5V

DEEM

10n
50V
C127

5k6

R220

19
220n
16V

50V
10u

VPLL

R150
C141

AFD

C145
C147

C137

470n
63V
C130

C146

TU101

TDA9885T
6

C114

C106
50V
10u

25V
100n

AGND 18

50V
10n

DGND

R160

SCL
4

25V
100n
50V
1n5

R111

BC848B
Q106

C260
27p
50V

100n

33p
25V

C115

C126

+5V

VST
9

1k
C257

220n
16V

AM_SOUND

VS 7

R104
2k2

CVBS 17

C140

33p
25V

C109

CTF5543_HOR

AUD

C129

NC/ADC
8

SCL

C174

VCC_5V
33p
25V

25V
100n

C164

R145
1k

R130

SDA
5

11

QSS_PIP

C162

C143

4n7
50V

+5V
AS 3

C160

VAGC

TUN1_CVBS

100p
4MHz
50V
C138
470n
63V
16 Connected for Secam L/L

C158
50V
10u

TOP

10k

15

25V
100n

AUD_PIP

TU 2

CTF5543_HOR

33p
25V

REF

X100

S104
SCL
4

R184

PIP

R161
100R

SDA5V

+5V

R105

AS 3

SDA

QSS_MAIN
R110
100R

S100

10

R144

100R
C136

C124

TU 2

R152

TAGC 14
R149

SCL

47k

33p
25V

C123

11

MAIN
R109
100R

13

R135

SDA5V

NC6

C165

AGC
1
R108
100R

SCL5V
AGC
1

NC

AGC_CON
R162
100R

SCL5V

C171

13

SIOMAD

470n
63V

R148

NC

C159

12
12k

SIOMAD

1N4148

12

IC5

47u
50V

C117

R112
100R

470n
63V

VCC_8V

TU100

REMARK THAT, FOR PIP SPLITTER THERE SHOULD BE ADEQUATE PLACE IN LAY-OUT

C101

ESD

L101

1N4148

L110

http://arizanotlari.com

VESTEL ELEKTRONIK
http://arizanotlari.com
17MB11-6
Rev. by
Huseyin E. Cetin

VMBlock A/V Board


Tuner IFDEC MSP HEADPHONE AUDIO SW VIDEO SW

09.05.2005

Author

MUSTAFA YATIR
VESTEL R&D
Sheet 01
MANISA, TURKEY

10k

R278
2k

1N4148

R284

D274

D277

R281
100R

D280
1N4148

PC_VS
DDCC_5V

VCC 8

VCLK 7

IC113

ST24LC21

7 G

8 H

R299
75R

SC1_F

NC2

NC1

B_OUT

5 E

L 12

6 F

K 11

FB_OU

R296
75R

SC2_B

B_OUT_2

R304
75R

7 G

10

8 H

SC1_B

12

14

11

13

16
15

18
17

L280

C292
1n

20
19

21

50V

C294
1n
50V

4
3

L283

C297
150p
50V

SC2_V_IN
D615
n.c
BZT55C12

C303
150p

8
7

10
9

12
11

14
13

16
15

18
17

20
19

21

VESTEL ELEKTRONIK
http://arizanotlari.com
A/V Board IN/OUT

C302

50V

17MB11-6
2

150p

150p

MUTE
S417

S416

S415

S413

PL269

SC1_V_IN

SC1_V_OUT

MAINA_R

D614
n.c
BZT55C12

SC1_FB

SC1_R

SC1_G

PIN8_SC1

C286
150p
50V

MAINA_L

R286
75R
R285
100R

D706

D705

50V

C277
150p
50V
SC1_B

SC1_AUDIO_L_OUT

C284
150p

D704

50V

C278
150p
50V

C283
150p
50V

C296
4n7
50V

150p

2
1

S414

L273

4n7

C282
150p
50V

C295

C299 150p
C298

50V

10

L281

C288
150p
50V

C276

680R

R280

R279
680R

L269

50V

RXD
C293
4n7
50V

PL271

SC1_AUDIO_R_OUT

C274
150p
50V

50V

VCC_5V
2

SC2_V_OUT

C291

50V

150p

25V
100n
C290

680R

DVI_RX2-

BLM21A601S

BLM21A601S

L279

D282

C280

D281

1N4148

C275

DVI_RX2+
17

SC2_FB

18

R302
75R

10

DVI_RX1+

R301
100R

D709

11

SC2_R

19

TXD

S300

D703

12

D708

20

DDC_CLK_DVI
C289
50V 10u

S810

SC2_B

13

SC2_G

21

DDC_DATA_DVI

D707

D702

14

S809

22

ANA_VS_DVI

PIN8_SC2

SC2_AUDIO_L_IN

15

L284

23

SC2_AUDIO_L_OUT

SC2_AUDIO_R_IN

16

R297
680R

PL268

24

B_OU

DVI_VDDC

R288
10k

4n7
50V

C279

25
27
C5-1 C1

L282

4n7
50V

C281

LINE_L_OUT

75R
R300

26
28
C5-2 C2

SC2_AUDIO_R_OUT

C3

100n
16V

PI5V330_SOIC
R294
75R

R303

R290

30
C4

C301

M 13

C300

4 D

G_OUT

50V
4n7

FAV_AUDIO_L_IN
C267
4n7
50V

100n
16V

10

SC1_AUDIO_L_IN

FAV_AUDIO_R_IN

SC1_AUDIO_R_IN

220n
16V

C266
4n7
50V

R_OUT

SC1_G

PC_AUDIO_L_IN

CIN

SC2_F

75R
R289

DVI_RXC+

1 3
C273
50V

L266

L265

C272
4n7
50V

4n7

SVHS_Y_IN

R298
75R

R785
75R

PC_AUDIO_R_IN

C269
C268
75R
R273

N 14

SD_B

DVI_RX0-

BLM21B201S

C271
4n7
50V

VSS
4

FAV_IN

S411

SCL
NC3
3

L270

PL267

NC3
3

50V
39p

S409

S412

K 11

1N4148

R270
10k

150p
50V

3 C

R784
75R

G_OUT_2

LINE_R_OUT

BLM21B201S

50V
4n7

JK265
JACK-AK16

VCCA_3V3

DVI_VDDC

VSS

L274

LINE RIGHT

L267

L268

R783
75R

DVI_RX1-

NC2
2

PL265

SC1_R

R291
75R

SC2_G

L275

ST24LC21

6 SCL

O 15

+5V

FB_OUT_2

R780
75R

L271

C265

S410

L 12

6 F

G_OUT

NC1
1

5 SDA

100R
R271

5 E

L276

100R
R272

2 B

R293
75R

SC2_R

R779
75R

L278

DDC_DATA_DVI

SDA 5
2
2 1 3

BLM21B201S

1 3

A
A

JK266
JK267

VCC
14

6A
13

6Y
12

5A
11

5Y
10

4A
9

4Y

LINE LEFT

R269
10k

1
1A

IC112

2
1Y

1N4148

D272

4
2Y

2A

5
3A

74LVC14A

6
3Y

GND 7

22R
R276

L272

IC111

7 VCLK

R778
75R

SD_G

29

L277

DDC_CLK_DVI

S408

FB_OUT

DVI_RXC-

ANA_VS_DVI

S407

M 13

R782
75R

DVI_RX0+

C270

8 VCC

R 16

R292
75R

PI5V330_SOIC

VCC_5V

DDC_V

100n
16V

4 D

R_OUT_2

D279

DDC_V

SD_FB

150p

BZT55C5V1

1N4148

R266
22R

R781
75R

DDC_DATA_PC
1N4148

R282
100R

R283
10k

R267
75R

22R
R275

1 A

RGB_SW

N 14

C304

1N4148

D271

R265
75R

22R
R274

3 C

R_OUT

1N4148

D275 K
2

A
1

1N4148
R277
2k

R268
75R

IC114
R295
75R

D273

DDC_CLK_PC

PC_HS

PC_VS

PC_B

PC_G

PC_R

1N4148 D270

DDC_DATA_PC

1N4148 D269

DDCC_5V

D267 1N4148
DDC_V D265 1N4148

DDC_CLK_PC
D278

O 15

R777
75R

DDC_V

D276 K
2

A
1

2 B

+5V

50V
47u

BZT55C5V1
1N4148 D268

R 16
50V
47u

R776
75R

SD_R

D266 1N4148

1 A

R775
75R

15

14

13

12

11

10

R774
75R

RGB_SW_2

S802

C768

DIG_DIM

IC115

RGB_SW_2

C767

A/D_DIM_SEL

PL266

S805

http://arizanotlari.com

CONNECTORs BETWEEN
AUDIO AMP. BOARDS

Rev. by
Huseyin E. Cetin

09.05.2005

Author

MUSTAFA YATIR
VESTEL R&D
MANISA, TURKEY

Sheet 02

R807
1k
100n
16V

VCCD_3V3
47n
25V

C809
VY

VUV[0-7]
VY[0-7]
R340

IC17
SAA7118

R346
56R

17MB11-6

Rev. by
Huseyin E. Cetin

C339
C350

15
VDD_XTAL
31
VDDD1
35
VDDD3
52
VDDD5
84
VDDD7
120
VDDD9
124
VDDD11
127
VDDD13
34
VDDD2
36
VDDD4
68
VDDD6
92
VDDD8
121
VDDD10
125
VDDD12
C343
100n

09.05.2005

IC325
3
FAN1616AS-3.3

1n

C351

R345
56R

C352

119
VDDA0
98
VDDA1
82
VDDA2
66
VDDA3
44
VDDA4
103
VDDA1A
87
VDDA2A
72
VDDA3A
56
VDDA4A
16V
100n

47n

25V
100u

R336
56R

VY

R341
22R

R333
56R

TEST19
TEST12
TEST11
TEST2
TEST4
TEST1
AOUT

56R
R330

S756

S755

S754

S757

VCC_5V

R343
22R

R342
22R

R338
22R

R335
22R

R332
22R

R339
22R

C327

156
53
40
12
24
11
117

100
102
115
130
142
101
116

IGPH
IGPV
IDQ
ICLK
ITRDY
IGP1
IGP0

C332

23
XTRI
114
ITRI
134
CE
129
FSW
43 C328
AI4D
55
AI44
41 C329
AI43
47n
42
AI42
13
AI41
63
C330
AI3D
71
47n
AI34
65
C331
AI33
47n
64
AI32
57
AI31
79
AI2D
89
AI24
80
C333
AI23
47n
73
C334
AI22
47n
74
AI21
C335
97
AI1D
10547n
AI14
96 C336
C337
AI13
47n
47n
95
AI12
C338 47n
88
AI11

C356

MAIN_V

R3 6
R4 5

R2 7

2
3

R337

99
93
94
85
86
83
76
78

IPD7
IPD6
IPD5
IPD4
IPD3
IPD2
IPD1
IPD0

R328
100R

R327

47n

FIELD

VVPEN

VVVS

R1 8

R2 7

2
3
R3 6
R4 5

R1 8

R3 6
R4 5

R2 7

R334 R331
R1 8

C476

VVHS

VY[0]

VY[1]

VY[2]

2
3

153
SDA
139
SCL

L325
24.576MHz 10u

X325

C475

VXCLK

VY[3]

VY[4]

VY[5]

VY[6]

R3 6
R4 5

R2 7

2
3

C326
39p

VY[7]

VUV[0]

VUV[1]

VUV[2]

R1 8

1
XTOUT
2
XTALO
16
XTALI

C325

HPD7
HPD6
HPD5
HPD4
HPD3
HPD2
HPD1
HPD0

C806

VUV[3]

77
70
69
62
60
61
59
54

VXCLK

VXRV

VXRH

10n
25V

VUV[4]

R3 6
R4 5

R2 7

33
XRH
48
XRV
6
XCLK
19
XDQ
5
XRDY

39p

VUV[5]

R329 TP327
R1 8

NRES
INT_A
RTCO
RTS1
RTS0
LLC2
LLC

10k
R508

2
3

148
152
112
140
126
135
147

37
XPD7
10
XPD6
22
XPD5
9
XPD4
21
XPD3
8
XPD2
20
XPD1
7
XPD0

VXRH

10k
R509

VUV[6]

47R
R326

IC35

VVCLK

VXRH_H

TP325

ADP8
ADP7
ADP6
ADP5
ADP4
ADP3
ADP2
ADP1
ADP0

AGND
AGNDA
VSSA0
VSSA1
VSSA2
VSSA3
VSSA4
VSS_XTAL
VSSD1
VSSD3
VSSD5
VSSD7
VSSD9
VSSD11
VSSD13
VSSD2
VSSD4
VSSD6
VSSD8
VSSD10
VSSD12
TEST13
TEST14
TEST15
TEST16
TEST17
TEST18

VUV[7]

VXRV
VXRV_V

74LX1G86STR
R325
4k7

149
122
108
137
150
109
123
151
138

136
CLKEXT
154
AMCLK
141
ASCLK
155
ALRCLK
128
AMXCLK
146
EXMCLR
17
TDI
4
TDO
46
TMS
18
TCK
32
NTRST

220n
V_ODD_EVEN

C807

5 VCC

39
38
30
29
26
25
14

TEST10
TEST9
TEST8
TEST7
TEST6
TEST5
TEST3

28
104
118
90
81
58
27
3
45
49
51
75
106
110
113
47
50
67
91
107
111
131
132
133
143
144
145

4 1Y

3 GND

2 1B

220n

C810

C808
100n
16V

1 1A

V_ODD_EVEN

VxtoMAIN

PIP_V

SVHS_Y_IN

G_OUT

MAIN_G

CIN

12

B_OUT

MAIN_B

11

R_OUT

MAIN_R

PL503

10

FB_OUT

MAIN_FB

VY

SCL3V

SDA3V

S428

S427

S426

S425

S424

S423

S422

S421

S420

S419

S418

S505

http://arizanotlari.com

R344
56R
16V
100n

R347
56R
C353
16V
100u

C354

16V
100u

C355

100n

100nC341
100n

C340
100n

C342
100n
L326

22u

Author

VCCD_3V3

C344
100n

C345
100n

C346
100n

C347
100n
C348
100n
C349
100n

TP328

VESTEL ELEKTRONIK
http://arizanotlari.com
MAIN VIDEO DECODER SAA711
MUSTAFA YATIR
VESTEL R&D
Sheet 03
MANISA, TURKEY

GDE

GAF

HDS

GHS

GHS

PC_B

VCDGA1_3V3

C391

VCDGA1_3V3

VCDGA1_3V3

PC_G
47n

VCC
16

2 1I0

OEN
15

3 1I1

4I014

47n

C477

GND11
41

4 1Y

C392

5 2I0

GND10
40
VD3
39

63 GND18

CLAMP
38

GVS

R383

64 VSOUT

MIDSCV
37

HDSOG

R384

65 SOGOUT

GND9
36

3I011

7 2Y

3I110

8 GND

3Y9

100n
VCDGA1_3V3
25V
100n

GBLKSPL

67 DATACK

PVD1
34

22R
R375
2

R1 8

70 RED7

AD9883

71 RED6

HSYNC
30

PC_HS

R3 6

72 RED5

COAST
29

GCOAST

73 RED4

GND7
28

R1 8

74 RED3

VD2
27

R376
2
R2 7

VD1
26

R3 6

76 RED1

GND6
25

R4 5

77 RED0

GND5
24

78 VDD5

VDD3
23

79 VDD6

VDD2
22

80 GND20

GND4
21

C396

C397

VCD_3V3
VCD_3V3

20 GND3

19 BLUE0

18 BLUE1

17 BLUE2

16 BLUE3

15 BLUE4

14 BLUE5

13 BLUE6

12 BLUE7

11 VDD1

10 GND2

9 GREEN0

8 GREEN1

7 GREEN2

6 GREEN3

5 GREEN4

4 GREEN5

3 GREEN6

2 GREEN7

1 GND1

VCDGA1_3V3

GGE[0-7]
EVEN 8-bits BLUE

GBE[0]

GBE[1]

GBE[2]

GBE[3]

GBE[4]

GBE[5]

GBE[6]

GBE[0-7]

1
22R
R381

3
6

1
8

22R

4
5

R380
GBE[7]

7
GGE[0]

VCD_3V3

1
22R
R379

3
6
GGE[1]

22R

4
GGE[2]

GGE[3]

GGE[4]

GGE[5]

4
GGE[6]

R1

R2

R3

R4

R1

R2

R3

R1

R2

R3

R4

100n
25V

R4

R1

R2

R3

R4

R378

C385

GGE[7]

C379

100n

C375

VCD_3V3

10u

GRE[0]

C399

75 RED2

VCDGA1_3V3

C400

22R
1

C395

GRE[1]

R4

C394

GRE[3]

100n
25V

R2

S429

GRE[4]

GRE[2]

PC_VS

3n9
50V

VSYNC
31

100n
100u

GRE[0-7]

GRE[5]

VCC_5V

100n
25V

GRE[6]

GND8
32

100n
100u

GRE[7]

IC375
3
FAN1616AS-3.3

C401

IC18

69 VDD4

VCD_3V3

50V
C402 33n

R382
3k3

FILT
33

68 GND19

100u
16V

PVD2
35

C403
C404

66 HSOUT

R386
C378

R385

100n

GCLK

C478

GFBK

16V
100n

C398

100n
16V

62 VD10

4Y12

6 2I1

100u
16V
C406

61 GND17

4I113

74LVC257A

C405

C390

VD4
42

BAIN
43

C389

100n

GND12
44

100n

VD6
46

GND13
47

100n

VD5
45

C388

C386

GAIN
48

SOGIN
49

VD7
51

VD8
52

GND15
53

RAIN
54

AO55

SCL
56

GND14
50

100n

SDA
57

REFBYPASS
58

VD9
59

C384

100n

VCD_

1 S

S430

VCDGA1_3V3

C381

100n

IC19

C393

C377

100n

GND16
60

100n

C382

C383

1n

C387

VCDGA1_3V3

VCDGA1_3V3

PC_R

SCL3

47n

10u

VCDGA1_3V3

VCDGA1_3V3

VCDGA1_3V3

L376
STBY_3V3

22u
50V

10u

100n
25V
C380

C376

L375

VCD_3V3

SDA

http://arizanotlari.com

VESTEL ELEKTRONIK
http://arizanotlari.com
17MB11-6
Rev. by
Huseyin E. Cetin

VGA INPUT TO GRAPHIC PORT PW18


09.05.2005

Author

MUSTAFA YATIR
VESTEL R&D
Sheet 04
MANISA, TURKEY

GFBK

GRE[0]

GRE[1]

GRE[2]

GRE[3]

GRE[5]

GRE[6]

GRE[7]

EVEN 8-bits RED


GRE[4]

GCLK

GPEN

GVS

GHSSIL

http://arizanotlari.com

GRE[0-7]

VCCD_3V3
50V
330p
C462

R4 5
4

R2 7

R3 6

22R
R1 8
1

R460
2

R4 5
4

R3 6

R2 7

QE14
26

QE15
27

OGND1
28

OVCC1
29

QE16
30

QE17
31

QE18
32

QE19
33

QE20
34

QE21
35

QE22
36

QE23
37

S431

S432

22R
R1 8

R458
2

VCCD_3V3

VCC2
38

GND2
39

CTL1
40

S434

S433

CTL2
41

CTL3
42

OVCC2
43

ODCK
44

OGND2
45

DE46

VSYNC
47

HSYNC
48

R1 8

R461
22R
R1 8

R454
2

R2 7

R2 7

22R

GGE[6]

51

QO2

QE13

25

R4 5

52

QO3

QE12

24

R3

GGE[5]

R3

GBO[3]

GGE[4]
4

R4 5

R1 8

53

QO4

QE11

23

R464
22R
R1 8

R455
2

R2 7

54

QO5

QE10

22

R2 7

55

QO6

QE9

21

R4 5

56

QO7

QE8

20

GBO[4]

22R

GBO[5]
GBO[6]

GGE[3]
GGE[2]

R3

GGE[1]

R3

GBO[7]

GGE[0]

C451
330p
50V
R453
22R
R1 8

57

OVCC3

OGND 19

58

OGND3

OVCC 18

59

QO8

60

QO9

GGO[1]
2

QE6

16

QE5

15

QE4

14

QE3

13

1
2

62

QO11

63

QO12

64

QO13

QE2

12

65

QO14

QE1

11

R3 6

66

QO15

QE0

10

67

VCC3

PDO

68

GND3

SCDT

69

QO16

R4

GGO[4]

R450
22R
R1 8

R2

R2

GBE[5]

R3 6

GBE[4]
5

R4

GBE[3]

22R
R1 8

GBE[2]

R463

GGO[5]
2

GBE[6]

R462

R3 6

GBE[7]

R1 8

R2

GBE[1]

R3 6
GBE[0]

GGO[7]

VCCD_3V3
4

GRO[0]

R4

C453
330p
50V
1

R451
22R
R1 8

R4
SIL

R467
4k7

S451

VCCD_3V3

R468
10k

R472
4k7

STAG-OUT 7

GRO[1]

R2 7

70

QO17

VCC1

R3 6

71

QO18

GND1 5

R4 5

72

QO19

PIXS

R1 8

73

QO20

ST

74

Q021

PD

R469
4k7

75

Q022

HS-DJTR

4k7

C467
330p
50V

C468
330p
50V

VCCD_3

Connected: Staggered output


Open: Normaloutput

C464
100p
25V

S435

S458
SDA3V

S452

S454

R470
4k7
VCCD_3V3

390R
R459

SCL3V
DDC_CLK_DVI

C465
330p
50V

VCCD_3

R465

S436

VCCA_3V3

25V
100p
C461

C460
100p
25V

C456
100p
25V

C455
100p
25V

Connected: Low output drive strength


Open: High output drive strength

S456
VCCD_3V3

DVI_RX2+

C463

L450
50V
10u

C452

C454
330p
50V

VCCD_3V3

R466
10k

S457

VCCD_3V3

S453

100OCK_INV

95 AVCC3

94 RXC-

93 RXC+

92 AGND4

91 RX0-

90 RX0+

89 AGND3

88 AVCC2

87 AGND2

86 RX1-

85 RX1+

84 AVCC1

83 AGND1

82 AVCC

81 RX2-

80 RX2+

79 AGND

22R

78 OVCC4

R452

77 QO23

R4

76 OGND4

99 RESERVED

R3

GRO[7]

98 PGND

R2

97 PVCC

2
GRO[6]

96 EXT_RES

GRO[5]

C469

R471
4k7

GRO[4]

100n
25V

GRO[3]

50V
10u

GRO[0-7]

GRO[2]

S455

VCCD_3V3

DDC_DATA_DVI

GGO[0-7]

QO10

GGO[3]

VCCD_3V3

22R

17

61

GGO[2]

R4 5

C466
330p
50V

QE7

R2

GBE[0-7]

50V
10u

C450

VCCD_3V3

IC20
SIL151B

GGO[6]

EVEN 8-bits GREEN

GBO[2]

GGE[7]

GGE[0-7]

GBO[1]

GBO[0-7]

C459

GBO[0]

ODD 8-bits BLUE

QO0
49

QO1
50

C458

C457
330p
50V

R476
22R

R475
22R

R474
22R

R473
22R

R457
47R

C479

VCCD_3V3

S450

BLM21A601S

VCCA_3V3

DVI_RX2DVI_RX1+
DVI_RX1DVI_RX0+
DVI_RX0-

VESTEL ELEKTRONIK
http://arizanotlari.com
17MB11-6

DVI RECEIVER SIL151B

DVI_RXC+
DVI_RXC-

Rev. by
Huseyin E. Cetin

Author
09.05.2005

MUSTAFA YATIR
VESTEL R&D
Sheet 05
MANISA, TURKEY

RESET

XIN_OPT
S860

C520
14.31818MHz

R512
C522
KPD5

KPD0

C544

X500

STBY_3V3
R524
4k7
R849
AMPON

R854
MUTE

GDECOE
BL_ON/OFF

R851
SIL

R850
KPD4

R536
4k7

R537
4k7

STBY_3V3
R539
4k7
R540
4k7

STBY_3V3
R557
3k3

DIM_PORT
R523
10k

DIG_DIM

GAFEOE

R538
4k7

AN_DIM

BL_ON/OFF

DIM_PORT
A/D_DIM_SEL

Q504
BC858B
R534
1k

Q505
BC848B
R543
10k

Huseyin E. Cetin

24 A1

R546
3k3

STBY_3V3

2 NC2
SCL
6

4 VSS

ASM3P2779A
VDD
4

C541

VCLK
7
C539

ST24LC21

25V
100n

VCC
8

25V
560p

4k7
R550

S850

IC36
VSS
6

MODOUT
5

C531

10u
100n

50V

25V

CY25814

S853
STBY_3V3

17MB11-6
Rev. by
09.05.2005

Author

R553
10k

24LC32A

BC848B

R552
10k

Q506

BC848B

DVI_DATA

Q509

STBY_3V3

4k7
R551
STBY_3V3

DVI_CLK
BC848B

Q508

Z2

VCCD_3V3

Z3

VCCD_3V3

C484

BC848B

DEN

Q507

C537

16V
100n

C485

C789 10p

S856

STBY_3V3

SS_CLK

7
8

5
6

3
4

1
2

A[1]

A[17]

11

A[1]

27

R558
3k3

29

S509

VCC_3V3
R547
3k3

A[2]

VCC_3V3

D[0-15]

1 NC1

STBY_3V3

A025

CE26

C538

GND4
29

TXIN26
30

TXCLKIN
31

PWRDVNINV
32

PLLGND
33

PLLVCC
34

PLLGD
35

LVDSGND1
36

TXOUT3+
37

TXOUT338

TXCLKOUT+
39

TXCLKOUT40

TXOUT2+
41

16V
100u
C540

A[1]

23 A2

VSS1
27

A[16]

A[2]

22 A3

S855

A[3]
STBY_3V3

D[3]
ROMOEN

R861

OE28

D[8]

3 NC3

A[4]

SCL
6

DQ0
29

DCLK

21 A4

SDA3V

20 A5

SDA
5

A[5]

SDA
5

100n

4 VSS

C793

SCL3V

25V
100p

SCL
6

D[0]

3 XIN/CLKIN

D[8]

1 PD-

DQ8
30

25V

19 A6

WP7
C791

STBY_3V3

3 A2

A[6]

D[4]
D[0-15]

D[13]

D[1]

100n

DQ1
31

C542

18 A7

D[9]

D[14]
S859

SSCLK

DQ9
32

D[9]
XIN_OPT

FRSEL

17 A17

D[2]

VDD

DQ2
33

XOUT

16 A18

R544
10k

A[7]

R542

4 GNDS0

27p

C794

27p

2 XOUT

D[10]

S1

D[3]

D[11]

STBY_3V3

DQ10
34

C536

VSS

DQ3
35

DQ11
36

STBY_3V3

C795

4k7
R533

KPD4

S849

15 NC3

VCC
37

25V
100n

D[4]

R532
4k7

STBY_3V3

28 TXIN25

27 TXIN24

26 VCC3

WP7

A[8]

S523

DQ4
38

D[12]

Q503
BC848B

KPD3

4k7
R530

DVS

DHS

C527
100n
16V

25 TXIN23

24 TXIN22

23 TXIN21

22 TXIN20

21 GND3

20 TXIN19

19 TXIN18

18 TXIN17

17 R_FB

16 TXIN16

2 A1

A[18]

STBY_3V3

VCC
8

A[19]

S507

2 A1

14 WP

A[2]

XIN/CLKIN

3k3

A[3]

STBY_3V3

A[6]

MT28F800B3W

A[7]

S511

A[4]

S857

13 VPP

A[8]

D[0-15]

12 RP

A[9]

C528

1 A0

A[0-19]

KPD1

R855

IC24

3k3
R545

R856

PL505

1 A0

STBY_3V3

R852

S854

STBY_3V3

S508

A[17]

3 A2

11 WE

A[11]

D[5]

STBY_3V3

ROMWEN

A[12]

25V
100n
D[13]

C1 8

C532

A[5]

S858

DQ12
39

A[13]

STBY_3V3

10 NC2

A[14]

D[0-15]

DQ5
40

A[15]

D[6]

R531
4k7

VCCD_3V3
C788

9 NC1

A[18]

DQ13
41

A[19]

8 A8

D[0]

DQ6
42

D[1]

7 A9

D[15]

A[9]

220R
R527

A[10]

D[2]
D[14]

D[5]

DQ14
43

D[6]

DQ7
44

D[7]

6 A10

220R
R526
Q502
BC848B

STBY_3V3

5 A11

LED1

RE[0-7]

A[11]

DVS

D[7]

DHS

R817
R818

DQ15_A_1
45

R816

4 A12

C4 5
LED2

GE[0-7]

A[12]

DEN

D[15]

VSS2
46

D[10]

STBY_3V3

R815

BYTE
47

C783
10p
C1 8

3 A13

A[10]

A16
48

2 A14

D[11]

D[0-15]

D[12]

1 A15

S518

C483

BE[0-7]

IC23

278
D15
279
D14
298
D13
338
D12
318
D11
299
D10
280
D9
319
D8
300
D7
320
D6
340
D5
301
D4
321
D3
341
D2
342
D1
302
D0

C482

STBY_3V3

50V
10u

R853

R528
10k

A[13]

209
CPUTD_O
194
CPUTDI
334
CPUTCK
225
CPUTMS

PARITY

R521
33R

Z1
EXC24CD600

R814

R529
4k7

A[14]

287
ADR24B
226
MODE3
257
MODE2
210
MODE1
288
MODE0

10p

A[15]

227
A19
237
A18
238
A17
253
A16
273
A15
254
A14
239
A13
228
A12
293
A11
240
A10
313
A9
274
A8
294
A7
255
A6
314
A5
333
A4
275
A3
295
A2
256
A1
276
A0

A20

349
PORTC7
329
PORTC6
289
PORTC5
309
PORTC4
348
PORTC3
328
PORTC2
308
PORTC1
269
PORTC0

C526
10u
50V

D[0-15]

223
DEN
78
DHS
60
DVS
155
DCLK

BE[5]

BE[4]

BE[0-7]
BE[3]

BE[2]

BE[1]

VCCD_3V3
BE[7]

BE[6]

TXOUT242

DS90C385MTD

C790
LVDSGND2
43

LVDSVCC
44

TXOUT1+
45

TXOUT146

TXOUT0+
47

TXOUT048

C524

A[16]

VDD2.5_1
VDD2.5_2
VDD2.5_3
VDD2.5_4
VDD2.5_5
VDD2.5_6
100n

C481

ROMOEN

R858

15 TXIN15

14 TXIN14

13 GND2

12 TXIN13

11 TXIN12

10 TXIN11

9 VCC2

LVDSGND3
49

TXIN27
50

RE[6]

RE[0]

RE[1]

RE[2]

R813

C525

IC21
PW181

Z5

A[0-19]

C501
C480

ROMWEN

R857

BE[0]

GE[5]

C521
100n
16V

8 TXIN10

7 TXIN9

TXIN0
51

TXIN1
52

GND5
53

TXIN2
54

RE[3]

Z4

50V
1u

R848

R847

R846

C784
10p gnd

KPD3

C4 5

PWRDN_LVDS

R845

C3

A20

C2 7

STBY

GE[0-7]

GE[4]

GE[3]

GE[7]

VCCD_3V3

GE[6]

6 TXIN8

5 GND1

4 TXIN7

PARITY

R860

R844

gnd

RE[0]

RE[1]

RE[2]

RE[3]

RE[0-7]

GE[2]

GE[1]

GE[0]

3 TXIN6

TXIN3
55

RE[4]

7
9
11
13
15
17
19
21
23
25
27
29

12
14
16
18
20
22
24
26
28
30

6
8

10

PL502
2

R806
4k7

VCCD

PANEL_

PANEL_VC

PANEL_VCC_5

S519

S520

S521

S861

DIM_PORT

C2 2

C3

RGB_SW

C4 4

R859

C2 7

249
PORTB0
330
PORTB1
310
PORTB2
350
PORTB3
290
PORTB4
351
PORTB5
331
PORTB6
270
PORTB7

R843

C1 1

A/D_DIM_SEL

C523

S506

C781
10p 8

R842

R841

306
DNC3
59
DNC2
40
DNC1
322
NM
282
EXTINT
336
CS1
296
CS0
337
RAMWE
317
RAMOE
316
ROMWE
297
ROMOE
324
BHEN
335
WR
315
FD

R519 47R

R3 6
R4 5

R2 7
4

2
3

R518

PORTA0
PORTA1
PORTA2
PORTA3
PORTA4
PORTA5
PORTA6
PORTA7

R840

R839

R838

305
325
345
346
326
347
327
307

R1 8

RE[5]

RE[6]

RE[7]

R837

R836

R835

R3 6
R4 5

R2 7

GE[0]

GE[1]

GE[2]

GE[3]

GE[5]

C519 100n

KPD2

IRRCVR

2
3

RE[4]

C4 4

303
IR_RCVR0
323
IR_RCVR1

292
DRE7
312
DRE6
332
DRE5
250
DRE4
291
DRE3
248
DRE2
352
DRE1
311
DRE0

R517 47R R514


1
R1 8

R3 6
R4 5

R2 7

2
3

4
R1 8

S811

R522

GBO[0-7]
R834

RESET
MCKEXT
DCKEXT
X
XO

344
RXD
304
TXD

343
85
66
3
45

RE[5]

RE[0-7]

R833

R831

GE[6]

GE[7]

2 TXIN5

TXIN4
56

BL_ON/OFF_1

R520

C543

R3 6
R4 5

RE[7]

1 VCC1

IC22

gnd

TXD

RXD

VB[0-7]

R2 7

GE[4]

BE[0]

BE[1]

VCCD_3V3

SS_CLK

VG[0-7]

2
3

47R R513
R1 8

C2 2

R830

C4 4
1

R511 47R

C2 2

C779
10p
C1 1

236
DGE7
235
DGE6
234
DGE5
204
DGE4
252
DGE3
251
DGE2
272
DGE1
271
DGE0

C1 1

BE[2]

Q754
BC848B

VB[7]

IRQ_PING
8
BE[3]

C787
10p

VB[6]

BE[4]

C782
10p
C3

BE[7]

RE[0-7]

VB[5]

R3 6
R4 5

C4

VB[4]

R2 7

PL508 OPSIYON
C3

2
3

VB[3]

6
C2

R3 6
R4 5

IR_SW

R1 8

BE[6]

BE[5]

VB[2]

5
C1

VB0
VB1
VB2
VB3
VB4
VB5
VB6
VB7

176
175
177
178
193
191
207
192

4
C780 8
10p

VB[1]

VB[0]

R2 7

2
3

17IR18T KARTI ICIN

R510 47R
1
R1 8

3
C3

190
DBE7
189
DBE6
171
DBE5
205
DBE4
187
DBE3
206
DBE2
224
DBE1
203
DBE0

VG[7]

VG[6]

VG[5]

2
7

VG[4]

1
gnd

gnd

PL506

RESETN

VR[0-7]

VG0
VG1
VG2
VG3
VG4
VG5
VG6
VG7

gnd

VG[3]

gnd

PL508

VG[2]

C3

VG[1]

141
DRO7
142
DRO6
157
DRO5
156
DRO4
158
DRO3
172
DRO2
174
DRO1
173
DRO0

146
127
145
144
143
161
160
159

VRO
VR1
VR2
VR3
VR4
VR5
VR6
VR7

RXD

VG[0]

82
101
102
117
116
129
128
115

112
DG07
113
DGO6
114
DGO5
124
DGO4
125
DGO3
126
DGO2
139
DGO1
140
DGO0

S803

VR[7]

VR[6]

VR[5]

VR[4]

VR[3]

VR[2]

VR[1]

R829
VR[0]

R828

VCLK
VPEN
VVS
VHS
FIELD

L751 SOKULU OLMALI!!! PANEL VCC_12V ICIN

R515
R516

VHS
FIELD

R827

onemli:L610 SOKULU OLMALI!!! PANEL_VCC_3V3 ICIN

AN_DIM

GGO[0-7]
VVS

VDD3.3_1
VDD3.3_2
VDD3.3_3
VDD3.3_4
VDD3.3_5
VDD3.3_6
VDD3.3_7
VDD3.3_8
VDD3.3_9
VDD3.3_10
VDD3.3_11
VDD3.3_12
VDD3.3_13
VDD3.3_14
VDD3.3_15
VDD3.3_16
VDD3.3_17
VDD3.3_18
VDD3.3_19

81
208
83
103
61

24
VDD1.5P_1
44
VDD1.5P_2

R826

VDD1.5_1
VDD1.5_2
VDD1.5_3
VDD1.5_4
VDD1.5_5
VDD1.5_6
VDD1.5_7
VDD1.5_8
VDD1.5_9
VDD1.5_10
VDD1.5_11
VDD1.5_12
VDD1.5_13
VDD1.5_14
VDD1.5_15
VDD1.5_16
VDD1.5_17
VDD1.5_18
VDD1.5_19
VDD1.5_20
VDD1.5_21
VDD1.5_22
VDD1.5_23
VDD1.5_24
VDD1.5_25
VDD1.5_26
VDD1.5_27
VDD1.5_28
VDD1.5_29
VDD1.5_30
VDD1.5_31
VDD1.5_32
VDD1.5_33
VDD1.5_34
VDD1.5_35

VCLK

AMPON

R825

S846

R824

S845

GCOAST

S844

77
DBO7
76
DBO6
79
DBO5
80
DBO4
111
DBO3
98
DBO2
99
DBO1
100
DBO0

S843

GFBK
GREF
CBLK_SPL
GCOAST
NC

KPD1

11
70
54
18
49

S842

GBLKSPL

R823

S841

GFBK

STBY_3V3

GBO[7]

STBY_3V3

GBO[6]

S840

GBO[5]

S839

C518
10n
50V

GBO[4]

S837

GBO0
GBO1
GBO2
GBO3
GBO4
GBO5
GBO6
GBO7

STBY_3V3

GBO[3]

S838

58
97
57
39
96
56
55
74

Q612
BC848B

GBO[2]

LED1

GBO[1]

S836

GBO[0]

PL507

GGO[7]

LED2

GGO[6]

TFT icin R618,R619,R620


PL107 N.C olmali.

GGO[5]

S835

GGO[4]

GGO0
GGO1
GGO2
GG03
GGO4
GGO5
GGO6
GGO7

10k
R506

GGO[3]

PL107

PANEL_VCC SINYALI 3V3,12V VE GND OPSIYONLUDUR!!

DVI_CLK

GRO[0-7]

53
35
14
34
13
52
33
12

STBY_3V3

GGO[2]

S833

GGO[1]

R501
100k

GGO[0]

IR_SW

GRO[7]

10k
R503

GRO[6]

AMPON

SD_IR

GRO[5]

GRO[4]

GRO[3]

C517
100n
25V

KPD2

GRO[2]

GRO0
GRO1
GRO2
GRO3
GRO4
GRO5
GRO6
GRO7

S847

S848

STBY_3V3

TFT icin PL107 NC olmali

SCL3V

GBE[0-7]

6
47
26
5
67
25
46
4

R507
220R

GRO[1]

R504
10k

GRO[0]

STBY_3V3

10k
R502

GBE[7]

C516
22u
50V

R812
47k

GBE[6]

Q500
BC848B

GBE[5]

33k
R1

R811
4k7

R621
10k
N.C

STBY_3V3

DVI_DATA

GGE[0-7]
GBE[4]

PL500

GBE[3]

S503

BC848B
Q752

R810
4k7

R619
27k
N.C

BL_ON/OFF

IMPORTANT:L751 N.C

SDA3V

GRE[0-7]
KPD5

GBE[2]

TXD
STBY_3V3

GBE0
GBE1
GBE2
GBE3
GBE4
GBE5
GBE6
GBE7

100n
25V
gnd

38
20
37
19
36
17
16
15

C515
CPUGO_1

GBE[1]

S504

STBY_3V3

KPD0

GBE[0]

100n
25V
STBY_5

GGE[7]

100n
25V
C513
100n
25V
C514
100n
25V
IRQ_PING

STBY_5

S502
R505
10k

IRRCVR

GGE[6]

C512

86
88
105
106
107
108
109
119
120
121
122
154
186
195
202
242
243
244
260
S522

Q611
BC848B

GGE[5]

C511
BL_ON/OFF_1

GGE[4]

100n
25V
R809
1k

GGE[3]

VDD_2V5
C510

2
268
277
281
283
285

GGE0
GGE1
GGE2
GGE3
GGE4
GGE5
GGE6
GGE7

100n
25V

51
32
31
8
28
48
7
27

VPD_1V5 100n
25V
C509
BL_ON/OFF_1

GGE[2]

C507

GGE[1]

100n
25V

GGE[0]

C508

GRE[7]

GRE[6]

R500
4k7

RGB_SW_2

GRE[5]

gnd

GRE[4]

S834

GRE[3]

100n
25V

GRE0
GRE1
GRE2
GRE3
GRE4
GRE5
GRE6
GRE7

VDD_1V5
C500

84
43
21
104
42
41
63
62

100n
25V
S765

GRE[2]

STBY_3V3
S500

GRE[1]

C506

R822

100n

GHS

S832

GRE[0]

DIG_DIM

R821

339
GND59
286
GND58
284
GND57
241
GND56
233
GND55
222
GND54
221
GND53
218
GND52
217
GND51
216
GND50
215
GND49
214
GND48
213
GND47
201
GND46
200
GND45
199
GND44
198
GND43
197
GND42
196
GND41
188
GND40
185
GND39
184
GND38
183
GND37
182
GND36
181
GND35
180
GND34
169
GND33
168
GND32
167
GND31
166
GND30
165
GND29
164
GND28
162
GND27
153
GND26
152
GND25
151
GND24
150
GND23
149
GND22
148
GND21
137
GND20
136
GND19
135
GND18
134
GND17
133
GND16
132
GND15
130
GND14
123
GND13
118
GND12
75
GND11
73
GND10
72
GND9
71
GND8
69
GND7
68
GND6
65
GND5
64
GND4
23
GND3
22
GND2
1
GND1

gnd

GVS

C505
100n

GCLK
GPEN
GVS
GHS
GSOG

C504
100n

10
29
9
50
30

C503
100n

87
89
90
91
92
93
94
95
110
131
138
147
163
170
179
211
212
219
220
229
230
231
232
245
246
247
258
259
261
262
263
264
265
266
267

R820

S831

R819

GPEN

GCLK

N.C
R620
100k

CPUGO

C502
100n
2k7
R618

http://arizanotlari.com
PANEL_VCC_5V KULLANILMADIGINDA L609 SOKULECEK; S862 TAKILACAK
S862

VCCD_3V3
VCCD_3V3

S510
PWRDN_LVDS

Q501
DCLK

4k7
R548

R554
100R
SDA5V

4k7
R549
STBY_5V

R555
100R

C535
C534
C529
C533
C530

100n
22u
100n
22u

25V
50V
25V
50V

SCL5V

IC25

S512
STBY_3V3

RESET

SDA
5

STBY_1V5

L500 10u

L501 10u
VPD_1V5

VDD_1V5

X501

IC26
VCC
8

STBY_3V3

10

PL504
2

3
4

5
6
ROMOEN

D[0]

8
D[8]

R556
3k3

D[15]
A[17]

D[1]

10
D[9]

D[2]

12
D[10]

D[3]

13

14
D[11]

15
16
D[4]

D[12]

17

18
D[5]

D[13]

19

20
D[6]

D[14]

21

22
D[7]

23
24

25
26

A[15]

28
A[16]

A[13]

30
A[14]

A[11]

31

32
A[12]

A[9]

33
34
A[10]

35
36

37
38

39
40

ROMWEN

A[0-19]
A[19]

41

42
A20

A[8]

43
44
A[18]

A[6]

45
46
A[7]

A[4]

47
48
A[5]

49
50
A[3]

STBY
S513

VESTEL ELEKTRONIK
http://arizanotlari.com

A20

PW181 CONTROLLER
MUSTAFA YATIR
VESTEL R&D
Sheet 06
MANISA, TURKEY

VCC_8V

http://arizanotlari.com
10

17MB11-6

POWER INPUT

VESTEL ELEKTRONIK

L610

1u
50V

PL602

4 VCC-

NONINVIN2
5

C608

R601
330k

BL_ON/OFF

INVIN2
6

3n3

DIG_DIM

100n
25V

LM358N
3 NONINVIN1

DIG_DIM_PWM

S602

OUT2
7

C602
68n
50V

S603

R607
20k

C609

2 INVIN1

DIG_DIM_PWM

Sheet 07

Huseyin E. Cetin

VCC+
8

R603
51k

R600
330k

1 OUT1

AN_DIM

09.05.2005
C607

A/D_DIM_SEL

R
http://arizanotlari.com

Rev. by

IC119

5
4

Author

AR-GE

PANEL_VCC

R602
200k

STBY1

100u
16V

+33V

C618

VCC_12V_ON

[12V]

D607
1N4007
LM317
IC600
OUT
2
ADJ
R614
1
270R

VCC_8V
47u
50V

47u
50V

C610

D602

IN

C615
100n
25V

100n
25V

1N4148

C606

PL600

C611
100n
25V

R613
330R

VCC_12V_ON

C613

L607

R808
10k

Q753
BC848B

STBY1

STBY_5

D600

1N4007

STBY_3V3A
L606

VDD_2V5

C604

25V
100u

1N4007

C600

16V
1000u

16V
100n

D601

STBY_3V3

C603

6
STBY_3V3

7
50V
1u

2k2
R617

C612

STBY_3V3A

16V
1000u

L608

C616

VCCD_3V3

STBY1
VCCA_3V3
STBY

8
9
10
11
12

R609
1k

Q602
BC848B

STBY_1V5

R611
4k7

IC27

ENABLE 1

S601

L604

25V
220n

VCC_3V3
VCD_3V3

L603

R612
4k7

L602

GND1

L601

4
5

IN

OUT

C766

VCCD_3V3

16V
100u

L600

[3V3]
PANEL_VCC

L751

120k
R616

C601

16V
1000u

VCC_5V

TPS72501

STBY_5V
L605

RESET/FB

STBY_3V3

S600

PL601

GND 3

PANEL_VCC_5V

C614
47k
R615

C617

100u

L609

GBE[0-7]
PIP_V

GCLK

GPEN
4

2
3

R663

R3 6
R4 5

R2 7

R661
R1 8

C486

IC28

SAA7118

17MB11-6

Rev. by
Huseyin E. Cetin
09.05.2005

C664
C672

100n

15
VDD_XTAL C667
31
VDDD1
35
C668
VDDD3
100n
52
50V
VDDD5
84
C669
VDDD7
100n
120
VDDD9
124
C670
VDDD11
100n
127
VDDD13
34
C671
VDDD2
100n
36
VDDD4
68
VDDD6
100n
92
VDDD8
121
VDDD10
125
VDDD12

Author

C677

16V
100n

IC650
3
FAN1616AS-3.3

47n

47n

C659

C662
47n

47n
47n
C663

C661

47n

56R
R654

3
5
7

4
6
8

VxtoPI
CIN

MAIN_

SVHS_

G_OUT

G_OUT

B_OUT

B_OUT

R_OUT

R_OUT

FB_OU

FB_OUT

GDECO

SCL3V

SDA3V

VCC_5V

SD_IR

R665
22R

S619

S615

S618

S614

S617

S613

S616

S612

IR_

SD_F

R_IDTV_SD

S620

SD_B

SD_G

SD_R

R664
22R

R662
22R

R659
22R

R656
22R

20 19

18 17

16 15

14 13

12 11

10 9

VCC_5V

R666
56R

C678

119
VDDA0
98
VDDA1
82
VDDA2
66
VDDA3
44
VDDA4
103
VDDA1A
87
VDDA2A
72
VDDA3A
56
VDDA4A
25V
100u

47n

C660

47n

C658

C657

47n

C656

C655

R660
56R

TEST19
TEST12
TEST11
TEST2
TEST4
TEST1
AOUT

IGPH
IGPV
IDQ
ICLK
ITRDY
IGP1
IGP0

47n

C654

R657
56R

156
53
40
12
24
11
117

100
102
115
130
142
101
116

47n

C653

GVS

R3 6
R4 5

IPD7
IPD6
IPD5
IPD4
IPD3
IPD2
IPD1
IPD0

R652

R651

10u
24.576MHz
L650

X650

C682

GHS

GGE[0-7]
4

R2 7

2
3

99
93
94
85
86
83
76
78

23
XTRI
114
ITRI
134
CE
129
FSW
43
AI4D
55
AI44
41
AI43
42
AI42
13
AI41
63
AI3D
71
AI34
65
AI33
64
AI32
57
AI31
79
AI2D
89
AI24
80
AI23
73
AI22
74
AI21
97
AI1D
105
AI14
96
AI13
95
AI12
88
AI11

153
SDA
139
SCL

1
XTOUT
2
XTALO
16
XTALI

1n
50V

GGE[0]

GGE[1]

GGE[2]

R1 8

R3 6
R4 5

R2 7

2
3

R655 R658
1
R1 8

R3 6
R4 5

R2 7

2
3

HPD7
HPD6
HPD5
HPD4
HPD3
HPD2
HPD1
HPD0

C652

GGE[3]

GGE[4]

GGE[5]

GGE[6]

GGE[7]

GBE[0]

GBE[1]

R1 8

77
70
69
62
60
61
59
54

39p
C651

R3 6
R4 5

R2 7

R653
R1 8

SD_CVBS

L_IDTV_SD

39p

GBE[2]

2
3

33
XRH
48
XRV
6
XCLK
19
XDQ
5
XRDY

37
XPD7
10
XPD6
22
XPD5
9
XPD4
21
XPD3
8
XPD2
20
XPD1
7
XPD0

136
CLKEXT
154
AMCLK
141
ASCLK
155
ALRCLK
128
AMXCLK
146
EXMCLR
17
TDI
4
TDO
46
TMS
18
TCK
32
NTRST

10n
25V

GBE[3]

GBE[4]

GBE[5]

NRES
INT_A
RTCO
RTS1
RTS0
LLC2
LLC

ADP8
ADP7
ADP6
ADP5
ADP4
ADP3
ADP2
ADP1
ADP0

AGND
AGNDA
VSSA0
VSSA1
VSSA2
VSSA3
VSSA4
VSS_XTAL
VSSD1
VSSD3
VSSD5
VSSD7
VSSD9
VSSD11
VSSD13
VSSD2
VSSD4
VSSD6
VSSD8
VSSD10
VSSD12
TEST13
TEST14
TEST15
TEST16
TEST17
TEST18

GBE[6]

GBE[7]

148
152
112
140
126
135
147

149
122
108
137
150
109
123
151
138

39
38
30
29
26
25
14

TEST10
TEST9
TEST8
TEST7
TEST6
TEST5
TEST3

28
104
118
90
81
58
27
3
45
49
51
75
106
110
113
47
50
67
91
107
111
131
132
133
143
144
145
2

PL510

http://arizanotlari.com

C650
R667
56R
16V
100n

C679
16V
100u

C680

16V
100u

100n
C665
100n

100n

C681

100n

C666

100nC674

C673

L651

22u
VCCD_3V3

C675

100n

C676

100n

VESTEL ELEKTRONIK
http://arizanotlari.com
PIP VIDEO DECODER SAA7118

MUSTAFA YATIR
VESTEL R&D
MANISA, TURKEY

Sheet 08

VY[0-7]

PW1231_3V3

C741

BLM21A601S

100n
25V

C728
C733

PW1231_3V3_SW

25V
100u

100n
16V

22u

C737

L701
C727

C726

100n
16V

L700
VCD_3V3

100n
25V
100u

PW1231_3V3_SW

VY[0]

VY[1]

VY[2]

VY[3]

VY[4]

VY[5]

VY[0-7]

PW1231_3V3_SW

C705 C706

VY[6]

PW1231_2V5_SW
VY[7]

VVCLK

VVPEN

VUV[0-7]

VVVS

VVHS

VUV[0]

VUV[0-7]

VUV[1]

VUV[2]

VUV[3]

VUV[4]

VUV[5]

VUV[6]

VUV[7]

PW1231_3V3_SW

http://arizanotlari.com

D700

DPAVDD
77

125SCL

DPDVSS
76

100n
25V
100u

C742

C738

100n
25V
100u

C743

C739

BLM21A601S

PW1231_2V5

C744

DPAVSS
78

124MPAVDD

L704
100n
25V
100u

123MPDVSS

PW1231_2V5

PW1231_3V3_SW

C740

PVSS4
79

100n
25V

PVDD3
80

122PVSS6

BLM21A601S

100n
25V

C735
C720

100n

121PVDD5

C736

100n
25V

PW1231_2V5_D2

PW1231_2V5

L703

C721
100n

C722

100n
25V

C700
C701

PW1231_3V3_SW

BLM21A601S

100n
25V

C729
C734

25V
100u

D701

1N4007

1
4

L702
PW1231_2V5_SW

MACRO
81

VB0
82

VB1
83

VB2
84

VB3
85

VB4
86

VB5
87

VB6
88

100n

VB7
89

PVDD4
90

PVSS5
91

SVHS
92

SVVS
93

SVCLK
94

VG0
95

VG1
96

VG2
97

VG3
98

VG4
99

VG5
100

VG6
101

VG7
102

VDD3
103

VSS3
104

100n

OUT IN 3
VOUTGND

R724

R723

PVCLK
105

CREF
106

PVVS
107

PVHS
108

VR0
109

VR1
110

VR2
111

VR3
112

VR4
113

VR5
114

VR6
115

C710

VR7
116

XTALI
117

XTALO
118

2W_A1
119

2W_A2
120

100n

C717

10MHz

C704

IC31
LM1117

C488

X700

1N4007

C489

R715

100n

S851
SCL3V

PW1231_2V5_D1

S852

CGMS
74

R711
10k

128TCK

DEN
73

R712
10k

129TDI

TESTCLK
72

R713
10k

130TMS

VSS2
71

R714
10k

131TRSTN

VDD2
70

132RESET-N

MD0
69

133VDD4

MD15
68

134VSS4

MD1
67

135TEST

MD14
66

PW1231_3V3_SW

100n

C723

DPDVDD
75

127TDO

100n

PW1231_3V3_SW

126SDA
R710
10k

C724

SDA3V

PW1231_2V5_SW

R706

136DCLK

MD2
65

VVS

R707

137DVS

MD13
64

R708

138DHS

MD3
63

VHS
1

R700
8
R1

IC29
PW1231

139DB0

VB[1]
2
VB[2]

Author

http://arizanotlari.com Huseyin E. Cetin

RAMD[14]
RAMD[2]
RAMD[13]

17MB11-6

09.05.2005

Sheet 09

RAMD[3]

MD12
62

140DB1

R3 6

141DB2

MD11
60

142DB3

MD5
59

143DB4

MD10
58

R2

Rev. by

RAMD[0-15]

VCLK

VB[0]

VB[0-7]

RAMD[1]

DEINTERLACER FOR
MAIN VIDEO ROUTE

RAMD[0-15]

C490

PW1231_2V5_SW

VESTEL
ELEKTRONIK
AR-GE

RAMD[15]

RAMD[12]

RAMD[0-15]

C702

25V
100n

R709

RAMD[0]
RESETN

RAMD[4]

MD4
61

RAMD[11]
RAMD[5]
RAMD[10]

25V
100n

RAMD[9]
RAMD[0-15]

MD7
55
RAMD[8]

MD8
54

150DG1

R3 6

MCLK
51

151DG2

R722

RCLK

MWE
50

R719
47R

MCAS
49

R720
47R

MRAS
48

R721
47R

VG[3]
4

R4 5

R703
R1 8

VG[4]

152DG3
153DG4

R2 7

154DG5

R3 6

155DG6

MA12
46

5
R4
R704

156DG7

MA11
45

R1 8

157DR0

MA13
44
MA9
43

159DR2

MA10
42

23

RAMA[0]

RAMA[8]

33

A8

A10

22

RAMA[10]

RAMA[9]

34

A9

BA1

21

RAMA[13]
RAMA[12]

RAMA[11]35

A11

BA0

20

36

NC1

CS

19

37

CKE

RAS

18

38

CLK

CAS

17

39

RAMD[0-15]

40 MA0

39 MA7

38 MA1

37 MA6

36 MA2

35 MA5

34 MA3

33 MA4

C731
RAMD[9]

44

RAMD[10]

45

RAMD[0-15]

100n
25V

RAMD[14]

RAMA[1]

46

DQMH

C493
C494

WE 16

NC2

DQML 15

VSS2
DQ8

C492

VDD2 14

C747

MT48LC4M16A2

VDDQ3

DQ7

13

VSSQ2

12

DQ6

11

DQ5

10

DQ9
DQ10
VSSQ3

VDDQ2 9

RRASNR
RCASNR
RWENR

25V
100n

PW1231_3V3_SW
RAMD[7]

RAMD[6]
25V
100n

RAMD[5]

C748

RAMA[0-13]
RAMA[0-13]

RAMA[0-13]

47

DQ11

DQ4

RAMD[4]

48

DQ12

DQ3

RAMD[3]

VSSQ1

DQ2

49
50
51
52

VDDQ4
DQ13
DQ14

DQ1

VSSQ4

VDDQ1 3

RAMD[2]
25V
100n

RAMD[1]

C749

PW1231_3V3_SW

RAMD[15]

53
gnd

RAMD[0-15]
RAMA[12]

RAMA[11]

RAMA[13]

RAMA[9]

RAMA[10]

RAMA[8]

RAMA[0]

RAMA[7]

RAMA[1]

RAMA[6]

RAMA[2]

RAMA[5]

C732
RAMD[13]

RAMA[3]

RAMA[2]

PW1231_3V3_SW

RAMD[12]
25V
100n
PW1231_3V3_SW

RAMA[4]

42
43

RAMD[11]

PW1231_2V5_1

PW1231_3V3_SW

32 RSV22

31 RSV21

30 PVDD1

29 RSV20

28 RSV19

41

PW1231_3V3_SW
25V
100n

C719

100n C718
PW1231_2V5_1

10n 10u

10n

C715

PW1231_3V3

R716
270R

100n
25V

C713

R4 5

100n
25V

27 RSV18

C716 100n

C712

PW1231_3V3

VR[7]

100n
25V

PW1231_3V3

R3 6

100n
25V

PW1231_3V3

100n
25V

PW1231_3V3

R2

100n
25V

26 RSV17

25 RSV16

24 RSV15

23 RSV14

22 RSV13

21 RSV12

C711

C714

C709

20 RSV11

19 RSV10

18 RSV9

17 RSV8

16 RSV7

15 RSV6

14 RSV5

12 RSV3

13 RSV4

C708

C487

PW1231_2V5_1

PW1231_2V5_SW

R1 8

VR[5]

VR[0-7]

11 RSV2

10 RSV1

9 PVSS2

8 VSS1

7 VDD1

6 DR7

5 DR6

4 DR5

3 DR4

VR[0-7]

C707

VR[6]

A0

RAMD[8]

MA8
41

2 DR3

160PVDD7
1 PVSS1

C491

R4 5

R705
1

A7

gnd

R3 6

158DR1

PW1231_3V3_SW

VR[4]

RAMA[7]

32

gnd

R2 7

VR[3]
4

24

gnd

A1

40
gnd

VR[1]
2

A6

RCLK

VG[7]

VR[2]

31

PW1231_3V3_SW

RRASNR

MCLKFB
47

VR[0]

RAMA[6]

RAMA[3]

RCASNR

25V
10p

25

RWENR

VG[5]
VG[6]

A2

RAMD[0-15]

R2

A5

gnd

VG[0-7]

RAMA[5]

30

gnd

C725

RAMA[0-13]

PVDD2
52

PW1231_3V3_SW
10p
50V

149DG0

VG[1]
VG[2]

26

100n

PVSS3
53

C495

R702
8
R1

A3

C730

100n

147PVSS7
148DB7

VG[0]

A4

RAMA[4]

C703
100n

VDD3 27

29

RAMD[7]

146PVDD6

gnd

gnd

R4

RAMA[0-13]

VSS1

PW1231_3V3_SW

PW1231_3V3_SW
VB[7]

C746

28

RAMA[0-13]

MD9
56

RAMA[0-13]

145DB6

gnd

MD6
57

gnd

144DB5

R3 6

gnd

R2

R718
330R

C786

RAMA[0-13]

VB[5]
VB[6]

gnd

RAMD[6]

RAMD[0-15]
RAMD[0-15]

R701
R1 8

RAMD[0-15]

RAMD[0-15]
RAMD[0-15]

VB[4]

R4

gnd

54

DQ15

DQ0

VSS3

VDD1 1

25V
100n

RAMD[0]
PW1231_3V3_SW
gnd

VB[3]

C750

IC30

C745
100u

Rev. by
Huseyin E. Cetin

17MB11-6

09.05.2005
Author

TELETEXT DECODER

MUSTAFA YATIR
Sheet 10
VESTEL R&D
MANISA, TURKEY
3V3_TXT
16V
100n
10k
R757

3V3_TXT
C760

VESTEL ELEKTRONIK
http://arizanotlari.com
16V
100n

C762
3V3_TXT

R763
24k

B 32

WDDA
31

21 P0.7

22 VSSA

26 IREF

25 SYNC_FILTER

24 CVBS1

FRAME
27

TEST
28

COR
29

PWM7
30

C763

100n
50V

G 33

20 P0.6

23 CVBS0

R 34

VDS
35

18 P0.4

19 P0.5

HSYNC
36

VSYNC
37

16 P0.2

17 P0.3

VSSP
38

C761

15 SDA-NVRAM

R762

33R

R761

33R

R760

33R

R759

47R

S814

S812

S815

S813

50V
100n

C765

VDDC
39

3V3_TXT

TXT_B

TXT_G

TXT_R

TXT_FB

3V3_TXT

1
A

47k

R754

VXRH

VXRH_H

VXRV

VXRV_V

R752
47k

100n
50V

C764

OSCGND
40

13 VSSC

18p
50V

2
D950 K

2V4

BC858B

TXT_CVBS

XTALIN
41

12 ADC3

18p
50V
C759

C758

C757

50V
10u

100R

SDA
5

XTALOUT
42

11 ADC2

3V3_TXT

Q751

4 GND

SAA5264

RESET
43

10 ADC1

14 SCL-NVRAM

VDDP
44

9 ADC0

X750

SCL
6

P1.0
45

8 PWM6

50V
100n

24C32

P1.1
46

7 PWM5

SCL3V

R751
100R

Q750

3 A2

C755

50V 100u

50V
33p

WP7

L750

16V 100n

P1.2
47

6 PWM4

SDA3V

R750
100R

BC858B

2 A1

VCC
8

22u
100n
16V
C754

SCL
49

4 PWM2
C752
12MHz

P1.3
48

SDA
50

3 PWM1

C756

5 PWM3

P1.4
51

2 PWM0
C990

C753

P1.5
52

1 PWM

50V
33p

1 A0

IC33

VCCA_3V3

C751

50V
100u

IC32

R756
1k

47k

R753

3V3_TXT

http://arizanotlari.com
3V3_TXT

R755

50V
100n

10k
R758

S750
TXT_B

MAIN_B

S751
TXT_FB

MAIN_FB

S752
MAIN_G

TXT_G

S753
MAIN_R

TXT_R

You might also like