You are on page 1of 142

TS. NGUYN NH HIN, TS.

NGUYN MNH TNG












IU KHIN LOGIC V PLC
Sch chuyn kho dng cho o to i hc v Sau i hc
ngnh iu khin & T ng ho


















NH XUT BN KHOA HC T NHIN V CNG NGH
H NI - 2007
1
MC LC
Ni dung Trang
CHNG 1 : L THUYT C S
1.1 Nhng khi nim c bn......................................................................................... 3
1.2. Cc phng php biu din hm logic .................................................................. 8
1.3. Cc phng php ti thiu ho hm logic........................................................... 11
1.4. Cc h mch logic ............................................................................................... 15
1.5. Grafcet - m t mch trnh t trong cng nghip ........................................... 17
CHNG 2: MT S NG DNG MCH LOGIC TRONG IU KHIN
2.l. Cc thit b iu khin.......................................................................................... 27
2.2. Cc s khng ch ng c rto lng sc........................................................ 28
2.3. Cc s khng ch ng c khng ng b rto dy qun.............................. 32
2.4. Khng ch ng c in mt chiu..................................................................... 34
CHNG 3: L LUN CHUNG V IU KHIN LOGIC LP TRNH PLC
3.1. M u................................................................................................................. 36
3.2. Cc thnh phn c bn ca mt b PLC.............................................................. 37
3.3. Cc vn v lp trnh ........................................................................................ 41
3.4. nh gi u nhc im ca PLC ...................................................................... 47
CHNG 4: B IU KHIN PLC CPM1A
4.l. Cu hnh cng....................................................................................................... 49
4.2. Ghp ni .............................................................................................................. 53
4.3. Ngn ng lp trnh............................................................................................... 54
CHNG 5: B IU KHIN PLC - S5
5.l. Cu to ca h PLC Step5.................................................................................... 58
5.2. a ch v gn a ch .......................................................................................... 59
5.3. Vng i tng.................................................................................................... 61
5.4. Cu trc ca chng trnh S5.............................................................................. 62
5.5. Bng lnh ca S5 - 95U....................................................................................... 63
5.6. C php mt s lnh c bn ca S5..................................................................... 64
CHNG 6: B IU KHIN PLC - S7-20
6.1. Cu hnh cng...................................................................................................... 74
6.2. Cu trc b nh ................................................................................................... 77
6.3. Chng trnh ca S7-200..................................................................................... 79
6.4. Lp trnh mt s lnh c bn ca S7-200............................................................ 80

2
CHNG 7: B IU KHIN PLC - S7-300
7.l. Cu hnh cng....................................................................................................... 83
7.2. Vng i tng.................................................................................................... 86
7.3. Ngn ng lp trnh............................................................................................... 88
7.4. Lp trnh mt s lnh c bn............................................................................... 89
PH LC 1 CC PHN MM LP TRNH PLC
1. Tp trnh cho OMRON............................................................................................. 98
2. Lp trnh cho PLC - S5........................................................................................... 105
3. Lp trnh cho PLC - S7200..................................................................................... 111
4. Lp trnh cho PLC - S7-300 ................................................................................... 116
PH LC 2 BNG LNH CA CC PHN MM PLC
1. BNG LNH CA PLC CPM1A......................................................................... 121
2. BNG LNH CA PLC - S5................................................................................ 125
3. BNG LNH CA PLC - S7-200 ........................................................................ 128
4. BNG LNH CA PLC S7-300........................................................................... 135
TI LIU THAM KHO
3
PHN 1 : LOGIC HAI TRNG THI V NG DNG

CHNG 1 : L THUYT C S

1.1 Nhng khi nim c bn

1. Khi nim v logic hai trng thi
Trong cuc sng cc s vt v hin tng thng biu din hai trng thi i
lp, thng qua hai trng thi i lp r rt ca n con ngi nhn thc c s vt v
hin tng mt cch nhanh chng bng cch phn bit hai trng thi . Chng hn
nh ni nc sch v bn, gi c t v r, nc si v khng si, hc sinh hc gii v
dt, kt qu tt v xu...
Trong k thut, c bit l k thut in v iu khin, thng c khi nim v
hai trng thi: ng v ct nh ng in v ct in, ng my v ngng my...
Trong ton hc, lng ho hai trng thi i lp ca s vt v hin tng
ngi ta dng hai gi tr: 0 v 1. Gi tr 0 hm c trng cho mt trng thi ca s
vt hoc hin tng, gi tr 1 c trng cho trng thi i lp ca s vt v hin tng
. Gi cc gi tr 0 hoc 1 l cc gi tr logic.
Cc nh bc hc xy dng cc c s ton hc tnh ton cc hm v cc bin
ch ly hai gi tr 0 v 1 ny, hm v bin c gi l hm v bin logic, c s ton
hc tnh ton hm v bin logic gi l i s logic. i s logic cng c tn l i
s Boole v ly tn nh ton hc c cng u trong vic xy dng nn cng c i s
ny. i s logic l cng c ton hc phn tch v tng hp cc h thng thit b v
mch s. N nghin cu cc mi quan h gia cc bin s trng thi logic. Kt qu
nghin cu th hin l mt hm trng thi cng ch nhn hai gi tr 0 hoc 1 .
2. Cc hm logic c bn
Mt hm y = f(x
1
, x
2
, x
n
) vi cc bin x
1
, x
2
, x
n
ch nhn hai gi tr: 0 hoc 1 v
hm y cng ch nhn hai gi tr: 0 hoc 1 th gi l hm logic.
Hm logic mt bin: y = f(x)
Vi bin x s nhn hai gi tr: 0 hoc 1, nn hm y c 4 kh nng hay thng gi
l 4 hm y
o
, y
1
, y
2
, y
3
cc kh nng v cc k hiu mch rle v in t ca hm mt
bin nh trong bng 1.1

4
Bng 1.1

Trong cc hm trn hai hm y
o
v y
3
lun c gi tr khng i nn t c quan
tm, thng ch xt hai hm y
1
v y
2

Hm logic hai bin y = f (x
1
, x
2
)
Vi hai bin logic x
1
, x
2
mi bin nhn hai gi tr 0 v 1, nh vy c 16 t hp
logic to thnh 16 hm. Cc hm ny c th hin trn bng 1.2
5
Bng 1.2

6

Cc hm i xng nhau qua trc nm gia gia bng 1.2 l: y
7
v y
8
, ngha l

Hm logic n bin y = f (x
1
, x
2,
x
n
)
Vi hm logic n bin, mi bin nhn mt trong hai gi tr 0 hoc 1 nn vi hm
logic n bin c 2
n
t hp bin, mi t hp bin li nhn hai gi tr 0 hoc 1, do vy s
hm logic tng l 22. Do , vi 1 bin c 4 kh nng to hm, vi 2 bin c 16 kh
nng to hm, vi 3 bin c 256 kh nng to hm. Nh vy, khi s bin tng th s
hm c kh nng to thnh rt ln.
Trong tt c cc hm c to thnh c bit ch n hai loi hm l hm tng
chun v hm tch chun. Hm tng chun l hm cha tng cc tch m mi tch c
tt c cc bin ca hm. Hm tch chun l hm cha tch cc tng m mi tng u
7
c tt c cc bin ca hm.
3. Cc php tnh c bn
Ngi ta xy dng ba php tnh c bn gia cc bin logic l:
1. Php ph nh (o): k hiu bng du "-" pha trn k hiu ca bin.
2. Php cng (tuyn): k hiu bng du "+". (song song).
3. Php nhn (hi): k hiu bng du ".". (ni tip).
4. Tnh cht v mt s h thc c bn
4.1. Cc tnh cht
Tnh cht ca i s logic c th hin bn lut c bn l: lut hon v, lut
kt hp, lut phn phi v lut nghch o.
+ Lut hon v:
x
1
+ x
2
= x
2
+ x
1

+ Lut kt hp:
x
1
+ x
2
+ x
3
= (x
1
+ x
2
) + x
3
= x
1
+ (x
2
+ x
3
)
x
1
.x
2
.x
3
= (x
1
.x
2
).x
3
= x
1
.(x
2
.x
3
)
+ Lut phn phi:
(x
1
+ x
2
).x
3
= x
1
.x
3
+ x
2
.x
3
x
1
+ x
2
.x
3
= (x
1+
x
2
) . (x
1+
x
3
)
C th minh ho kim chng tnh ng n ca lut phn phi bng cch lp
bng 1.3.
Bng 1.3
x
1
0 0 0 0 1 1 1 1
x
2
0 0 1 1 0 0 1 1
x
3
0 1 0 1 0 1 0 1
(x
1+
x
2
) . (x
1 +
x
3
) 0 0 0 1 1 1 1 1
x
1
+ x
2
.x
3
0 0 0 1 1 1 1 1
Lut phn phi c th hin qua s rle hnh 1.1 :

Hnh 1.1. Th hin lut phn phi
+ Lut nghch o:

Cng minh ho tnh ng n ca lut nghch o bng cch thnh lp bng 1.4.
8
Bng 1.4

Lut nghch o c th hin qua mch rle nh trn hnh 1.2:

Lut nghch o tng qut c th hin bng nh l De Morgan:

4.2. Cc h thc c bn
Mt s h thc c bn thng dng trong i s logic c cho bng 1.5.
Bng 1.5

1.2. Cc phng php biu din hm logic
C th biu din hm logic theo bn cch l: biu din bng bng trng thi, biu
din bng phng php hnh hc, biu din bng biu thc i s, biu din bng bng
Karnaugh (ba Can).
9
1. Phng php biu din bng bng trng thi
phng php ny cc gi tr ca hm c trnh by trong mt bng. Nu hm
c n bin th bng c n + 1 ct (n ct cho bin v 1 ct cho hm) v 2
n
hng tng ng
vi 2
n
t hp ca bin. Bng ny thng gi l bng trng thi hay bng chn l.
V d: Mt hm 3 bin y = f(x
1
, x
2
, x
3
) vi gi tr ca hm cho trc c biu din
thnh bng 1.6:
Bng 1.6
TT t hp bin x
1
x
2
x
3
y
0 0 0 0 1
1 0 0 1 0
2 0 1 0 1
3 0 1 1 1
4 1 0 0 0
5 1 0 1 0
6 1 1 0 1
7 1 1 1 0
u im ca phng php biu din bng bng l d nhn, t nhm ln, nhc
im l cng knh, c bit khi s bin ln.
2. Phng php biu din hnh hc
Vi phng php hnh hc hm n bin c biu din trong khng gian n chiu,
t hp bin c biu din thnh mt im trong khng gian, phng php ny rt
phc tp khi s bin ln nn thng t dng.
3. Phng php biu din bng biu thc i s
Ngi ta chng minh c rng, mt hm logic n bin bt k bao gi cng c th
biu din thnh cc hm tng chun y v tch chun y .
Cch vit hm di dng tng chun y
- Hm tng chun y ch quan tm n t hp bin m hm c gi tr bng 1
S ln hm bng 1 s chnh l s tch ca cc t hp bin.
- Trong mi tch, cc bin c gi tr bng 1 c gi nguyn, cn cc bin c gi
tr bng 0 th c ly gi tr o; ngha l nu x
i
= 1 th trong biu thc tch s c
vit l x
i
, cn nu x
i
=0 th trong biu thc tch c vit l x
i
. Cc tch ny cn gi l
cc mintec v k hiu l m.
- Hm tng chun y s l tng ca cc tch .
V d: Vi hm ba bin bng 1.6 trn, c hm dng tng chun y l:

Cch vit hm di dng tch chun y
- Hm tch chun y ch quan tm n t hp bin m hm c gi tr bng 0
10
S ln hm bng khng s chnh l s tng ca cc t hp bin.
Trong mi tng cc bin c gi tr 0 c gi nguyn, cn cc bin c gi tr 1
c ly o; ngha l nu x
i
= 0 th trong biu thc tng s c vit l x
i
, cn nu x
i

= 1 th trong biu thc tng c vit bng x
i
. Cc tng c bn cn c gi tn l cc
Maxtec k hiu M.
- Hm tch chun u s l tch ca cc tng .
V d: Vi hm ba bin bng 1.6 trn, c hm dng tch chun y l:

4. Phng php biu din bng bng Karnaugh (ba can)
Nguyn tc xy dng bng Karnaugh l:
- biu din hm logic n bin cn thnh lp mt bng c 2
n
, mi tng ng
vi mt t hp bin. nh s th t cc trong bng tng ng vi th t cc t hp
bin.
- Cc cnh nhau hoc i xng nhau ch cho php khc nhau v gi tr ca 1
bin.
- Trong cc ghi gi tr ca hm tng ng vi gi tr t hp bin.
V d l: Bng Karnaugh cho hm ba bin bng 1.6 nh bng 1.7 sau:

V d 2: Bng Karnaugh cho hm bn bin nh bng 1.8 sau:

11
1.3. Cc phng php ti thiu ho hm logic
Trong qu trnh phn tch v tng hp mch logic, phi quan tm n vn ti
thiu ho hm logic. Bi v, cng mt gi tr hm logic c th c nhiu hm khc nhau,
nhiu cch biu din khc nhau nhng ch tn ti mt cch biu din gn nht, ti u
v s bin v s s hng hay tha s c gi l dng ti thiu. Vic ti thiu ho hm
logic l a chng t mt dng bt k v dng ti thiu. Ti thiu ho hm logic mang
ngha kinh t v k thut ln, c bit khi tng hp cc mch logic phc tp. Khi
chn c mt s ti gin s c s bin (thit b) cng nh cc kt ni (thit b) ti
gin, gim c chi ph vt t cng nh gim ng k xc sut hng hc do s phn t
nhiu.
V d: Hai s hnh 1.3a v hnh 1.3b u c chc nng nh nhau, nhng s a s
tip im cn l 3, ng thi cn thm 1 rle trung gian p, trong khi s b ch cn
2 tip im, khng cn rle trung gian.

Thc cht vic ti thiu ho hm logic l tm dng biu din i s n gin nht
ca hm v thng c hai nhm phng php l:
- Phng php bin i i s.
- Phng php dng thut ton.
1. Phng php ti thiu ho hm logic bng bin i i s
phng php ny cn da vo cc tnh cht v cc h thc c bn ca i s
Boole thc hin ti gin cc hm logic. Nhng do tnh trc quan ca phng php
nn nhiu khi kt qu a ra vn khng khng nh r c l ti thiu hay cha.
Nh vy, y khng phi l phng php cht ch cho qu trnh ti thiu ho.
V d: Cho hm

2. Phng php ti thiu ho hm logic dng thut ton
Phng php dng bng Karnaugh
y l phng php thng dng v n gin nht, nhng ch tin hnh c vi
h c s bin n 6. phng php ny cn quan st v x l trc tip trn bng
Karnaugh.
12
Quy tc ca phng php l: nu c 2
n
c gi tr 1 nm k nhau hp thnh mt
khi vung hay ch nht th c th thay 2
n
ny bng mt ln vi s lng bin
gim i n ln. Nh vy, bn cht ca phng php l tm cc k nhau cha gi tr 1
(cc c gi tr hm khng xc nh cng gn cho gi tr 1) sao cho lp thnh hnh
vung hay ch nht cng ln cng tt. Cc bin nm trong khu vc ny b loi b l
cc bin c gi tr bin i, cc bin c dng l cc bin c gi tr khng bin i
(ch l 0 hoc l).
Quy lc ny p dng theo th t gim dn ln cc , sao cho cui cng ton
b cc cha gi tr 1 u c bao ph. Cng c th tin hnh ti thiu theo gi tr 0
ca hm nu s lng ca n t hn nhiu so vi gi tr 1, lc by gi hm l hm ph
nh.
V d: Ti thiu hm

+ Lp bng Karnaugh c nh bng 1.9. Bng Karnaugh c 3 bin vi 6 mintec
c gi tr 1.
Bng 1.9

+Tm nhm cc (hnh ch nht) cha cc c gi tr bng 1, c hai nhm,
nhm A v nhm B.
+ Loi bt cc bin cc nhm: Nhm A c bin z = 1 khng i vy n c
gi li cn hai bin x v y thay i theo tng ct do vy mintec mi A ch cn bin z:
A = z. Nhm B c bin x v z thay i, cn bin y khng i vy mintec mi B ch
cn bin y : B = y .
Kt qu ti thiu ho l: f = a+b = z+y.
Phng php Quine Mc. Cluskey
y l phng php c tnh tng qut, cho php ti thiu ho mi hm logic vi
s lng bin ln.
a. Mt s nh ngha
+ nh: l mt tch cha y cc bin ca hm, nu hm c n bin th nh l
tch ca n bin.
nh 1 l nh m hm c gi tr bng 1 .
nh 0 l nh m hm c gi tr bng 0.
13
nh khng xc nh l nh m ti hm c th ly mt trong hai gi tr 0 hoc
1 .
+ Tch cc tiu: l tch c s bin l cc tiu hm c gi tr bng 1 hoc khng
xc nh.
+ Tch quan trng: l tch cc tiu m gi tr hm ch duy nht bng 1 tch ny.
b. Ti thiu ho bng phng php Quine Mc. Cluskey
r phng php hy xt v d minh ho, ti thiu ho hm f(x
1
,x
2
,x
3
,x
4
) Vi
Cc nh bng 1 l L = 2, 3, 7, 12, 14, 15 v cc nh c gi tr hm khng xc nh l
N = 6, 13. Cc bc tin hnh nh sau:
Bc 1: Tm cc tch cc tiu
Lp bng biu din cc gi tr hm bng 1 v cc gi tr khng xc nh ng vi
m nh phn ca cc bin theo th t s s 1 tng dn (bng 1.10a.
Xp thnh tng nhm theo s lng ch s 1 vi th t tng dn. (bng 1.10b
c 4 nhm: nhm 1 c 1 s cha 1 ch s 1 ; nhm 2 gm 3 s cha 2 ch s 1 ;
nhm 3 gm 3 s cha 3 ch s 1, nhm 4 c 1 s cha 4 ch s 1).
So snh mi t hp th i vi t hp th i + 1, nu hai t hp ch khc nhau
mt ct th kt hp 2 t hp thnh mt t hp mi, ng thi thay ct s
khc nhau ca 2 t hp c bng mt gch ngang (-) v nh du v vo hai t
hp c (bng 1.10c). V c s ton hc, y thu gn cc t hp dng
tnh cht:

C tip tc c ng vic, t bng 1.10c chn ra cc t hp ch khc nhau 1 ch s
1 v c cng v tr gch ngang (-) trong mt ct, ngha l c cng bin va c
gin c bng 1.10c, nh vy c bng 1.10d.
Bng 1.10
a b c d
S thp
phn
C s 2
x
1
x
2
x
3
x
4

S ch
s 1
S thp
phn
C s 2
x
1
x
2
x
3
x
4
Lin
kt
x
1
x
2
x
3
x
4
Lin kt x
1
x
2
x
3
x
4
2 0010 1 2 0010v 2,3 001-v
2,3,6,7
2,6,3,7
0-1-
3 0011 3 0011v 2,6 0-10v
6,7,14,15
6,14,7,15
-11-
6 * 0110 6 0110v 3,7 0-11v 12,13,14,15 11- -
12 1100
2
12 1100v 6,7 011-v
7 0111 7 0111v 6,14 -110v
13 * 1101 13 1101v 12,13 110-v
14 1110
3
14 1110v 12,14 110v
15 1111 15 1111v 7,15 -111v
13,15 11-1v

4
14,15 111-v
14
Qu trnh tip tc cho n khi khng cn kh nng kt hp na. Cc t hp tm
c bng 1.10d l t hp cui cng, cc t hp ny khng cn kh nng kt hp
na, y chnh l cc tch cc tiu ca hm cho. Theo th t x
1
x
2
x
3
x
4
, cc x
k
v tr
c du (-) c lc b, cc x
k
v tr gi tr 0 c ly nghch o, cc tch cc tiu
trong v d c vit nh sau:
0-1- (ph cc nh 2, 3, 6, 7) ng vi: x
1
x
3
.
-11- (ph cc nh 6, 7, 14, 15) ng vi: x
2
x
3
.
1 1- - (ph cc nh 12, 13, 14, 15 ) ng vi : x
1
x
2
.
Bc 2: Tm cc tch quan trng
Vic tm cc tch quan trng cng c tin hnh theo cc bc nh.
Gi L
i
l tp cc nh 1 ang xt bc nh th i, lc ny khng quan tm n
cc nh c gi tr khng xc nh na.
Z
i
l tp cc tch cc tiu ang bc nh th i.
E
i
l tp cc tch quan trng bc nh th i.
Vi i = 0

Xc nh cc tch quan trng E
o
t tp L
o
v Z
o
nh sau:
+ Lp bng trong mi hng ng vi mt tch cc tiu thuc Z
o
mi ct ng
vi mt nh thuc L
o
. nh du "x" vo cc trong bng ng vi tch cc tiu bng
1.11 (tch x
1
x
3
ng vi cc nh 2, 3, 7; tch x
2
x
3
ng vi cc nh 7, 14, 5; tch x
1
x
2

ng vi cc nh 12, 14, 15 bng 1.10).
Bng 1.11

Xt tng ct, ct no ch c mt du "x" th tch cc tiu (hng) ng vi n l
tch quan trng, i thnh du "(x)". Vy tp cc tch quan trng bc ny l:

Vi i = 1
Tm L
1
t L
o
bng cch loi khi L
o
cc nh 1 ca E
o

Tm Z
1
t Z
o
bng cch loi khi Z
o
cc tch trong E
o
v cc tch nm trong
15
hng c chn t E
o
. Khi tm c L
1
, v Z
1
, lm li nh bc i = 0 s tm
c tch quan trng E
1
.
Cng vic c tip tc cho n khi L
k
= 0.
Trong v d ny v E
o
= (x
1
x
3
, x
1
x
2
) m cc nh 1 ca x
1
x
3
l 2, 3, 7; cc nh 1
ca x
1
, x
2
l 12, 14, 15 (b qua nh 6, 13 l cc nh khng xc nh); do L
1
= 0,
qu trnh kt thc. Kt qu dng hm ti thiu chnh l tng ca cc tch cc tiu. Vy
hm cc tiu l:

1.4. Cc h mch logic
Cc php ton v nh l ca i s Boole gip cho thao tc cc biu thc logic.
Trong k thut thc t l cch ni cng logic ca cc mch logic vi nhau (theo kt
cu ti gin nu c). thc hin mt bi ton iu khin phc tp, s mch logic
s ph thuc vo s lng u vo v cch gii quyt bng loi mch logic no, s
dng cc php ton hay nh l no. y l mt bi ton ti u nhiu khi c khng ch
mt li gii. Tu theo loi mch logic m vic gii cc bi ton c nhng phng php
khc nhau. V c bn cc mch logic c chia lm hai loi:
+ Mch logic t hp.
+ Mch logic trnh t.
1. Mch logic t hp
Mch logic t hp l mch m u ra ti bt k thi im no ch ph thuc t
hp cc trng thi ca u vo thi im . Nh vy, mch khng c phn t nh.
Theo quan im iu khin th mch t hp l mch h, h khng c phn hi, ngha
l trng thi ng m ca cc phn t trong mch hon ton khng b nh hng bi
trng thi tn hiu u ra.
S mch logic t hp nh hnh 1.4.

Hnh 1.4. Mch t hp
Vi mch logic t hp tn ti hai loi bi ton l bi ton phn tch v bi ton
tng hp.
+ Bi ton phn tch c nhim v l t mch t hp c, m t hot ng v
vit cc hm logic ca cc u ra theo cc bin u vo v nu cn c th xt ti vic
ti thiu ho mch.
+ Bi ton tng hp thc cht l thit k mch t hp. Nhim v chnh l thit k
c mch t hp tho mn yu cu k thut nhng mch phi ti gin. Bi ton tng
16
hp l bi ton phc tp, v ngoi cc yu cu v chc nng logic, vic tng hp mch
cn ph thuc vo vic s dng cc phn t, chng hn nh phn t l cc loi: rle -
cng tc t, loi phn t kh nn hay loi phn t l bn dn, vi mch... Vi mi loi
phn t logic c s dng th ngoi nguyn l chung v mch logic cn i hi phi
b sung nhng nguyn tc ring lc tng hp v thit k h thng.
V d: Mch logic t hp nh hnh 1.5.

2. Mch logic trnh t
Mch trnh t hay cn gi l mch dy (sequential circuits) l mch trong
trng thi ca tn hiu ra khng nhng ph thuc tn hiu vo m cn ph thuc c
trnh t tc ng ca tn hiu vo, ngha l mch c nh cc trng thi. Nh vy, v
mt thit b th mch trnh t khng nhng ch c cc phn t ng m m cn c c
cc phn t nh.
S nguyn l mch logic trnh t nh hnh 1.6.
Xt mch logic trnh t nh hnh 1.7. Xt hot ng ca mch khi thay i trng
thi ng m ca x
1
v x
2
. Biu hnh 1.7b m t hot ng ca mch, trong biu
cc nt m biu hin tn hiu c gi tr 1, cn nt mnh biu hin tn hiu c gi tr 0.

Hnh 1.7. S mch trnh t
T biu hnh l.7b thy, trng thi z = 1 ch t c khi thao tc theo trnh t
x
1
= 1, tip theo x
2
= 1. Nu cho x
2
= 1 trc, sau cho x
1
= 1 th c y v z u khng
th bng 1 .
m t mch trnh t c th dng bng chuyn trng thi, dng hnh trng
thi Mealy, hnh trng thi Moore hoc dng phng php lu . Trong
phng php lu c dng trc quan hn. T lu thut ton d dng chuyn sang
dng hnh trng thi Mealy hoc hnh trng thi Moore, v t c th thit k
17
c mch trnh t.
Vi mch logic trnh t cng c bi ton phn tch v bi ton tng hp.
1.5. Grafcet - m t mch trnh t trong cng nghip
1. Hot ng ca thit b cng nghip theo logic trnh t
Trong dy chuyn sn xut cng nghip, cc thit b my mc thng hot ng
theo mt trnh t logic cht ch nhm m bo cht lng sn phm v an ton cho
ngi v thit b.
Mt qu trnh cng ngh no cng c th c ba hnh thc iu khin hot
ng sau:
+ iu khin hon ton t ng, lc ny ch cn s ch huy chung ca nhn vin
vn hnh h thng.
+ iu khin bn t ng, qu trnh lm vic c lin quan trc tip n cc thao
tc lin tc ca con ngi gia cc chui hot ng t ng.
+ iu khin bng tay, tt c hot ng ca h u do con ngi thao tc.
Trong qu trnh lm vic m bo an ton, tin cy v linh hot, h iu khin
cn c s chuyn i d dng t iu khin bng tay sang t ng v ngc li, v nh
vy h iu khin mi p ng ng cc yu cu thc t.
Trong qu trnh lm vic s khng bnh thng trong hot ng ca dy chuyn
c rt nhiu loi, khi thit k phi c gng m t chng mt cch y nht. Trong
s cc hot ng khng bnh thng ca chng trnh iu khin mt dy chuyn t
ng, ngi ta thng phn bit ra cc loi sau:
+ H hng mt b phn trong cu trc iu khin, lc ny cn phi x l ring
phn chng trnh c ch h hng, ng thi phi lu tm cho dy chuyn hot ng
lc c h hng v sn sng chp nhn li diu khin khi h hng c sa cha xong.
+ H hng trong cu trc trnh t iu khin.
+ H hng b phn chp hnh (nh h hng thit b chp hnh, h hng cm
bin, h hng cc b phn thao tc...).
Khi thit k h thng phi tnh n cc phng thc lm vic khc nhau m
bo an ton v x l kp thi cc h hng trong h thng, phi lun c phng n can
thip trc tip ca ngi vn hnh n vic dng my khn cp, x l tc nghn vt
liu v cc hin tng nguy him khc. Grafcel l cng c rt hu ch thit k v
thc hin y cc yu cu ca h t ng cho cc qu trnh cng ngh k trn.
2. nh ngha Grafcet
Grafcet l t vit tt ca ting Php "Graphe fonctionnel de commande tape
transition" (chui chc nng iu khin giai on - chuyn tip), do hai c quan
AFCET (Lin hp Php v tin hc, kinh t v k thut) v ADEPA (t chc nh nc
v pht trin nn sn xut t ng ho) hp tc son tho thng 11/1982 c ng k
18
t chc tiu chun ho Php. Nh vy, mng grafcet c tiu chun ho v c
cng nhn l mt ngn ng thch hp cho vic m t hot ng dy ca qu trnh t
ng ho trong sn xut.
Mng grafcet l mt hnh chc nng cho php m t cc trng thi lm vic
ca h thng v biu din qu trnh iu khin vi cc trng thi v s chuyn i t
trng thi ny sang trng thi khc, l mt hnh nh hng c xc nh bi
cc phn t l: tp cc trng thi, tp cc iu kin chuyn trng thi.
Mng grafcet m t thnh chui cc giai on trong chu trnh sn xut.
Mng grafcet cho mt qu trnh sn xut lun lun l mt hnh khp kn t
trng thi u n trng thi cui v t trng thi cui v trng thi u.
3. Mt s k hiu trong grafcet
- Mt trng thi (giai on) c biu din bng mt hnh vung c nh s th
t ch trng thi. Gn lin vi biu tng trng thi l mt hnh ch nht bn cnh,
trong hnh ch nht ny c ghi cc tc ng ca trng thi hnh l.8a v b. Mt trng
thi c th tng ng vi mt hoc nhiu hnh ng ca qu trnh sn xut
Trng thi khi ng c th hin bng 2 hnh vung lng vo nhau, th t
thng l 1 hnh l.8c.
- Trng thi hot ng (tch cc) c thm du trong hnh vung trng thi hnh
l.8d.

Hnh 1.8. Cc trng thi trong grafcet
- Vic chuyn tip t trng thi ny sang trng thi khc ch c th c thc
hin khi cc iu kin chuyn tip c tho mn. Chng hn, vic chuyn tip gia
cc trng thi 3 v 4 hnh 1.9a c thc hin khi tc ng ln bin b, cn chuyn tip
gia trng thi 5 v 6 c thc hin sn tng ca bin c hnh 1.9b, hnh l.9c l
tc ng sn gim ca bin d. Chuyn tip gia trng thi 9 v 10 hnh 1.9d s xy
ra sau 2s k t khi c tc ng cui cng ca trng thi 9 c thc hin.
19

- K hiu phn nhnh nh hnh 1.10, s phn nhnh li tn ti hai loi l s
r nhnh v s song song.
S r nhnh l phn s c hai iu kin lin h gia ba trng thi nh hnh
1.1a v b .
S song song l s ch c mt iu kin lin h gia 3 trng thi nh hnh
1.10c v d .
hnh 1.10a, khi trng thi 1 ang hot ng, nu chuyn tip t
12
tho mn th
trng thi 2 hot ng; nu chuyn tip t
13
tho mn th trng thi 3 hot ng.
hnh 1.10b nu trng thi 7 ang hot ng v c t
79
th trng thi 9 hot ng,
nu trng thi 8 ang hot ng v c t
89
th trng thi 9 hot ng.
hnh 1.10c nu trng thi 1 ang hot ng v c t
123
th trng thi 2 v 3 ng
thi hot ng.
hnh 1.10d nu trng thi 7 v 8 ang cng hot ng v c t
789
th trng thi 9
hot ng
20

K hiu bc nhy nh hnh 1.11 .

Hnh 1.11. K hiu bc nhy
Hnh 1.11a biu din grafcet cho php thc hin bc nhy, khi trng thi 2 ang
hot ng nu c iu kin a th qu trnh s chuyn hot ng t trng thi 2 sang
trng thi 5 b qua cc trng thi trung gian 3 v 4, nu iu kin a khng c tho
mn th qu trnh chuyn tip theo trnh t 2, 3, 4, 5.
Hnh 1.11b khi trng thi 8 ang hot ng nu tho mn iu kin f th qu trnh
21
chuyn sang trng thi 9, nu khng tho mn iu kin 8 th qu trnh quay li trng
thi 7.
4. Cch xy dng mng grafcet
xy dng mng grafcet cho mt qu trnh no th trc tin phi m t mi
hnh vi t ng bao gm cc giai on v cc iu kin chuyn tip, sau la chn
cc dn ng v cc cm bin ri m t chng bng cc k hiu, sau kt ni chng
li theo cch m t ca grafcet.
V d : kp cht chi tit c v khoan trn mt l hnh 1.12 th trc tin ngi
iu khin n nt khi ng d khi ng chu trnh cng ngh t ng, qu trnh bt
u t giai on 1 :

Hnh 1.12. S quy trnh khoan
+ Giai on 1: S
1
Pttng A chuyn ng theo chiu A+ kp cht chi tit c.
Khi lc kp t yu cu c xc nh bi cm bin p sut a
1
th chuyn sang giai
on 2.
+ Giai on 2: S
2
u khoan B i xung theo chiu B+ v mi khoan quay theo
chiu R, khi khoan su, xc nh bng nt b
1
th kt thc giai on 2, chuyn sang
giai on 3.
+ Giai on 3: S
3
mi khoan i ln theo chiu B- v ngng quay. Khi mi khoan
ln cao, xc nh bng b
o
th khoan dng v chuyn sang giai on 4.
+ Giai on 4: S
4
Pttng A tr v theo chiu A- ni lng chi tit, v tr tr v
c xc nh bi a
o
khi mung ngng chuyn ng, kt thc mt chu k gia cng.
S grafcet nh hnh 1.13 .
5. Phn tch mng grafcet
5.1. Quy tc vt qua, chuyn tip
- Mt trng thi trc ch chuyn tip sang trng thi sau khi n ang hot ng
(tch cc) v c iu kin chuyn tip.
- Khi qu trnh chuyn tip sang trng thi sau th giai on sau hot ng
(tch cc) v s kh b hot ng ca trng thi trc (giai on trc ht tch cc)
22

Vi cc iu kin hot ng nh trn th c nhiu khi s khng hot ng
c hoc hot ng khng tt. Ngi ta gi:
+ S khng hot ng c l s c nhnh cht. (S c nhnh cht c
th vn hot ng nu nh khng i vo nhnh cht).
+ S khng sch l s m ti mt v tr no c pht lnh hai ln.
V d 1 : S hnh 1.14 l s c nhnh cht. S ny khng th lm vic
c do S
2
v S
4
khng th cng tch cc v gi s h ang trng thi ban u S
o
nu
c iu kin 3 th S
o
ht tch cc v chuyn sang S
3
tch cc. Sau nu c iu
kin 4 th S
3
ht tch cc v S
4
tch cc. Nu lc ny c iu kin 1 th S
1
cng khng
th tch cc c v S
o
ht tch cc. Do khng bao gi S
2
tch cc c na, m
S
5
tch cc th phi c S
2
v S
4
cng tch cc km iu kin 5 nh vy h s nm im
v tr S
4

Mun s trn lm vic c phi chuyn mch r nhnh thnh mch song
song.
23

V d 2: S hnh 1.15 l s khng sch. Gi s mng ang trng thi
ban u nu c iu kin 1 th s chuyn trng thi cho c S
1
v S
3
tch cc, nu c
iu kin 3 ri 4 th s chuyn cho S
5
tch cc, khi cha c iu kin 6 m li c iu
kin 2 ri 5 trc th S
5
li chuyn tch cc ln na. Tc l c hai ln lnh cho S
5
tch
cc, vy l s khng sch.
V d 3: S hnh 1.16 l s sch. s ny nu c S
3
tch cc (diu
kin 3) th nu c iu kin 1 cng khng c ngha v S
o
ht tch cc. Nh vy,
mch r sang nhnh 2, nu ln lt c cc iu kin 4 v 6 th S
5
s tch cc sau
nu c iu kin 7 th h li tr v trng thi ban u.
5.2. Phn tch mng grafcet
Nh phn tch trn th nhiu khi mng grafcet khng hot ng c hoc hot
ng khng tt. Nhng i vi cc mng khng hot ng c hoc hot ng khng
tt vn c th lm vic c nu nh khng i vo nhnh cht. Trong thc t sn xut
mt h thng c th ang hot ng rt tt, nhng nu v l do no m h thng
phi thay i ch lm vic (do s c tng phn hoc do thay i cng ngh...) th c
th h thng s khng hot ng c nu l nhnh cht.
Vi cch phn tch s nh trn th kh nh gi c cc mng c phc -
tp ln. Do , phi xt mt cch phn tch mng grafcet l dng phng php gin
im.
thnh lp gin im cn i theo cc bc sau:
+ V mt u tin cho gin im, ghi s 0. Xut pht t giai on u trn
grafcet c coi l ang tch cc, giai on ny ang c du ".", khi c mt iu kin
c thc hin, s c cc giai on mi c tch cc th:
- nh du "." vo cc giai on va c tch cc trn grafcet,
24
- Xo du "." giai on ht tch cc trn grafcet,
- To mt mi trn gin im sau iu kin va thc hin,
- Ghi ht cc giai on tch cc ca h (c du ".") vo mi va to.
+ T cc thnh lp khi mt iu kin no li c thc hin th cc giai
on tch cc li c chuyn i, li lp li bn bc nh trn.
+ Qu trnh c nh vy tip tc, c th v hon thin c gin im (s
to thnh mch lin tc, sau khi kt thc li tr v im xut pht) hoc khng v hon
thin c. Nhn vo gin im s c cc kt lun sau:
- Nu trong qu trnh v n giai on no khng th v tip c na (khng
hon thin s ) th s l s c nhnh cht, v d 2.
- Nu v c ht m v tr no c cc im lm vic cng tn th l s
khng sch v d 3.
- Nu v c ht v khng c v tr no c cc im lm vic cng tn th l s
lm vic tt, s sch v d 1 .
V d 1 : V gin im cho s sch hnh 1.17a.
thi im u h ang giai on S
o
(c du "."), khi iu kin 1 c thc
hin th c S
l
v S
3
cng chuyn sang tch cc, nh du "." vo S
l
v S
3
xo du "."
S
o
. Vy, sau iu kin 1 to mi v trong ny cn ghi hai trng thi tch cc l 1,3.
Nu cc iu kin khc khng din ra th mch vn trng thi 1 v 3.
Khi h ang 1,3 nu iu kin 4 c thc hin th giai on 4 tch cc (thm
du "."), giai on 3 ht tch cc (mt du "."). Vy sau iu kin 4 to mi (ni vi
1,3), ny ghi hai trng thi tch cc cn li trn grafcet l 1, 4.

Hnh 1.17. Gin im s sch
25
Khi h ang 1,3 nu iu kin 2 c thc hin th giai on 2 tch cc (thm
du "."), giai on 1 ht tch cc (mt du "."). Vy sau iu kin 2 to mi (ni vi
l,3), ny ghi hai trng thi tch cc cn li trn grafcet l 2,3.
Khi h ang 1,4 hoc 2,3 nu c iu kin 5 th qu trnh vn khng chuyn
tip v chuyn giai on 5 phi c S
2
v S
4
Cng tch cc kt hp iu kin 5.
Khi h ang 1,4 nu iu kin 2 c thc hin th giai on 2 tch cc (thm
du "."), giai on 1 ht tch cc (mt du "."). Vy sau iu kin 2 to mi (ni vi
l,4), ny ghi hai trng thi tch cc cn li trn grafcet l 2,4.
Khi h ang 2,3 nu iu kin 4 c thc hin th giai on 4 tch cc (thm
du "."), giai on 3 ht tch cc (mt du "."). Vy sau iu kin 4 to mi (ni vi
2,3), ny ghi hai trng thi tch cc cn li trn grafcet l 2,4.
Khi h ang 2,4 nu iu kin 5 c thc hin th giai on 5 tch cc (thm
du "."), giai on 2 v 4 ht tch cc (mt du "."). Vy sau iu kin 5 to mi (ni
vi 2,4), ny ghi trng thi tch cc cn li trn grafcet l 5.
Khi h ang 5 nu iu kin 6 c thc hin th giai on 0 tch cc (thm
du "."), giai on 5 ht tch cc (mt du "."), h tr v trng thi ban u.
T gin im, thy khng c no c 2 im lm vic cng tn v v c c
s , vy l s sch.

V d 2 : V gin im cho s c nhnh cht hnh 1.14
Gin im nh hnh 1.18. Trong trng hp ny khng th v tip c na
v S
5
tch cc phi c c S
2
v S
4
cng tch cc cng iu kin 5, nhng khng c
no c 2, 4.
V d 3: V gin im cho s khng sch hnh 1.5.
Cch tin hnh v gin im nh trn, gin im nh hnh 1.19. T gin
26
im nhn thy c nhiu c 2 im lm vic trng nhau (cng tn), vy l s
khng sch. gin im hnh 1.19 c th tip tc v gin s m rng.

27
CHNG 2: MT S NG DNG MCH LOGIC
TRONG IU KHIN

2.l. Cc thit b iu khin
1. Cc nguyn tc iu khin
Qu trnh lm vic ca ng c in truyn ng mt my sn xut thng
gm cc giai on: khi ng, lm vic v iu chnh tc , dng v c th c c giai
on o chiu. Xt ng c l mt thit b ng lc, qu trnh lm vic v c bit l
qu trnh khi ng, hm thng c dng in ln, t thn ng c in va l thit b
chp hnh nhng cng va l i tng iu khin phc tp. V nguyn l khng ch
truyn ng in, khi ng v hm ng c vi dng in c hn ch trong gii
hn cho php, thng dng ba nguyn tc khng ch t ng sau:
- Nguyn tc thi gian: Vic ng ct thay i tc ng c da theo
nguyn tc thi gian, ngha l sau nhng khong thi gian xc nh s c tn hiu iu
khin thay i tc ng c. Phn t cm bin v khng ch c bn y l rle
thi gian.
- Nguyn tc tc : Vic ng ct thay i tc ng c da vo nguyn l
xc nh tc tc thi ca ng c. Phn t cm bin v khng ch c bn y l
rle tc .
- Nguyn tc dng in: Bit tc ng c do m men ng c xc nh, m
m men li ph thuc vo dng in chy qua ng c, do vy c th o dng in
khng ch qu trnh thay i tc ng c in. Phn t cm bin v khng ch c
bn y l rle dng in.
Mi nguyn tc iu khin u c u nhc im ring, ty tng trng hp c
th m chn cc phng php cho ph hp.
2. Cc thit b iu khin
iu khin s lm vic ca cc thit b cn phi c cc thit b iu khin.
ng ct khng thng xuyn thng dng ptmt. Trong ptmt h thng
tip im c b phn dp h quang v cc b phn t ng ct mch bo v qu ti
v ngn mch. B phn ct mch in bng tc ng in t theo kiu dng in cc
i. Khi dng in vt qu tr s cho php chng s ct mch in bo v ngn
mch, ngoi ra cn c rle nhit bo v qu ti.
Phn t c bn ca rle nhit l bn lng kim gm hai ming kim loi c dn
n nhit khc nhau dn li vi nhau. Khi bn lng kim khi b t nng (thng l
bng dng in cn bo v) s b bin dng (cong), bin dng ti ngng th s tc
ng vo cc b phn khc ct mch in.
Cc rle in t, cng tc t tc dng nh lc ht in t. Cu to ca rle in
28
t thng gm cc b phn chnh sau: cun ht; mch t tnh lm bng vt liu st t;
phn ng cn gi l phn ng v h thng cc tip im.

Mch t ca rle c dng in mt chiu chy qua lm bng thp khi, cn mch
t ca rle dng in xoay chiu lm bng l thp k thut in. chng rung v lc
ht ca nam chm in c dng xung trn mt cc ngi ta t vng ngn mch. Sc
in ng cm ng trong vng ngn mch s to ra dng in v lm cho t thng qua
vng ngn mch lch pha vi t thng chnh, nh lc ht phn ng khng b gin
on, cc tip im lun c tip xc tt.
Tu theo nguyn l tc ng ngi ta ch to nhiu loi thit b iu khin khc
nhau nh rle dng in, rle in p, rle thi gian....
H thng tip im ca cc thit b iu khin c cu to khc nhau v thng
m bc hay thic m bo tip xc tt. Cc thit b ng ct mch ng lc c dng
in ln, h thng tip im chnh c b phn dp h quang, ngoi ra cn c cc tip
im ph ng ct cho mch iu khin. Tu theo trng thi tip im ngi ta chia
ra cc loi tip im khc nhau. Mt s k hiu thng gp nh bng 2.1.

2.2. Cc s khng ch ng c rto lng sc
Tu theo cng sut v yu cu cng ngh m ng c khng ng b rto lng
sc c th c ni trc tip vo li in, dng i ni sao-tam gic, qua in khng,
qua bin p t ngu, ngy nay thng dng cc b khi ng mm khi ng ng
c. Xt mt s s n gin.
1. Mch khng ch n gin
29
Vi ng c cng sut nh c th ng trc tip vo li in. Nu ng c ch
quay theo mt chiu th mch ng ct c th dng cu dao, ptmt. Vi thit b ng
ct ny c nhc im l khi ang lm vic nu mt in, th khi c in tr li ng
c s t khi ng. trnh iu dng khi ng t n ng ct cho ng c.
Xt s ng ct c o chiu dng khi ng t kp nh hnh 2.1.
Cu dao trn mch ng lc l cu dao cch ly (cu dao ny ch yu ng ct
khng ti, cch ly khi sa cha).
Cc tip im T
1
, T
2
, T
3
ng ng c chy thun, cc tip im N
1
, N
2
, N
3

ng ng c chy ngc (o th t hai trong ba pha li in).
Cc tip im T
5
v N
5
l cc kho lin ng v in khng ch cc ch
chy thun v ngc khng th cng ng thi, nu ang chy thun th T
5
m, N
khng th c in, nu ang chy ngc th N
5
m, T khng th c in. Ngoi cc
lin ng v in khi ng t kp cn c lin ng c kh. Khi cun T ht th
ly c kh kho khng cho cun N ht na, khi cun N ht th ly c kh kho

Trong mch dng hai rle nhit RN
1
v RN
2
bo v qu ti cho ng c, khi
ng c qu ti th rle nhit tc ng lm cc tip im ca n bn mch iu khin
m, cc cun ht mt in ct in ng c.
khi ng ng c chy thun (hoc ngc) n nt K
T
(hoc K
N
) cun ht
T c in, ng cc tip im T
1
... T
3
cp in cho ng c chy theo chiu thun, tip
im T
4
ng li t duy tr.
dng ng c n nt dng D, cc cun ht mt in, ct in ng c khi
li in, ng c t dng.
o chiu ng c trc ht phi n nt dng D, cc cun ht mt in mi
n nt o chiu.
2. Mch khng ch o chiu c gim st tc
30
Xt s khng ch ng c rto lng sc quay theo hai chiu v c hm ngc.
Hm ngc l hm xy ra lc ng c cn ang quay theo chiu ny (do qun tnh),
nhng li ng in cho ng c quay theo chiu ngc li m khng ch cho ng c
dng hn ri mi ng in cho ng c o chiu. Hm ngc c kh nng hm
nhanh v c th to m men hm ln (do s dng c hai ngun nng lng l ng
nng v in nng to thnh nng lng hm), tuy vy dng in hm s ln v trong
ng dng c th phi lu hn ch dng in hm ny.
S hnh 2.2 thc hin nhim v c nhim v khi ng, o chiu. Trong
s c thm rle trung gian, hai rle tc (gn vi ng c), rle tc thun c
tip im K
T
v rle tc ngc c tip im K
N
cc rle ny khi tc cao th cc
tip im rle kn, tc thp th tip im rle h.

Khi khi ng chy thun n nt khi ng thun KT, tip im KT
1
h ngn
khng cho P c in, KT
3
h ngn khng cho cun ht N c in, tip im KT
2

kn cp in cho cun ht T, cc tip im T
1
... T
3
kn cp in cho ng c chy
thun, tip im T
4
kn t duy tr, tip im T
5
h cm cun N c in.
Khi ang chy thun cn chy ngc n nt khi ng ngc KN, tip im
KN
1
h khng cho P c in, tip im KN
2
h ct in cun ht T lm mt in
ch chy thun, tip im KN
3
kn cp in cho cun ht N cp in cho ch
chy ngc, khi N ht tip im N
4
kn t duy tr.
Nu mun dng n nt dng D, cp in cho cun ht P, cun ht P ng tip
im P
l
t duy tr, h P
2
ct ng ngun ang cp cho cun ht T hoc N, nhng
lp tc P
3
kn cun ht N hoc T li c cp in, nu khi trc ng c ang chy
thun (cun T lm vic) tc ang ln th K
T
kn, cun N c cp in ng in
cho ch chy ngc lm ng c dng nhanh, khi tc gim thp th K
T
m
ct in cun ht N, ng c dng hn.
31
Khi cc rle nhit tc ng th ng c dng t do.
3. Khng ch ng c lng sc kiu i ni / c o chiu
Vi mt s ng c khi lm vic nh mc ni th khi khi ng c th ni hnh
sao lm in p t vo dy cun giml(do dng in khi ng gim. S hnh
2.3 cho php thc hin i ni Y c o chiu.

Hnh 2.3. Khng ch ng c lng sc kiu i ni / c o
Trong s c khi ng t T ng in cho ch chy thun, khi ng t N
ng in cho ch chy ngc, khi ng t S ng in cho ch khi ng
hnh sao, khi ng t ng in cho ch chy tam gic. Rle thi gian Tg duy
tr thi gian khi ng, c hai tip im Tg
1
l tip im thng kn m chm thi
gian t
1
, Tg
2
l tip im thng m ng chm thi gian t
2
vi t
1
>

t
2
.
Khi cn khi ng thun n nt khi ng thun KT, tip im KT
2
ngn
khng cho cun N c in, tip im KT
1
kn ng in cho cun thun T, T c in
ng cc tip im T
1
...T
3
a in p thun vo ng c, T
4
ng t duy tr, T
5
m
ngn khng cho N c in, T
6
ng cp in cho rle thi gian Tg, ng thi cp in
ngay cho cun ht S, ng c khi ng kiu ni sao, tip im S
5
m cha cho cun
c in. Khi Tg c in, sau thi gian ngn t
2
th Tg
2
ng chun b cp in cho
cun ht . Sau khong thi gian duy tr t
1
tip im Tg
1
m ra cun ht S mt in
ct ch khi ng sao ca ng c, tip im S
5
kn cp in cho cun ht , a
ng c vo lm vic ch ni tam gic v t duy tr bng tip im
4

Khi cn o chiu (nu ang chy thun) n nt khi ng ngc KN, T mt
in lm T
6
m qu trnh li khi ng theo ch ni sao nh trn vi cun ht N,
cc tip im N
1
... N
3
i th t hai trong ba pha (i pha A v B cho nhau) lm chiu
quay i chiu.
32
Khi mun ng n nt dng D, ng c dng t do.

2.3. Cc s khng ch ng c khng ng b rto dy qun
Cc bin php khi ng v thay i tc nh ng c rto lng sc cng c
th p dng cho ng c rto dy qun. Nhng nh vy khng tn dng c u im
ca ng c rto dy qun l kh nng thay i dng khi ng cng nh thay i tc
bng cch thay i in tr ph mc vo mch rto. Do , vi ng c rto dy
qun gim dng khi khi ng cng nh thay i tc ng c ngi ta dng
phng php thay i in tr ph mc vo mch rto.
1. Khi ng ng c rto dy qun theo nguyn tc thi gian
Cch ny thng dng cho h thng c cng sut trung bnh v ln. S khng
ch nh hnh 2.4.
Trong s c 2 rle nhit RN
1
v RN
2
bo v qu ti cho ng c, hai rle
thi gian 1Tg v 2Tg vi hai tip im thng m ng chm duy tr thi gian loi
in tr ph mch rto.
khi ng n nt khi ng K cp in cho cun ht K, cc tip im K,,
K
2
, K
3
ng cp in cho ng c, ng c khi ng vi hai cp in tr ph, tip
im K
4
ng t duy tr, tip im K
5
ng cp in cho cc rle thi gian. Sau
khong thi gian chnh nh tip im thng m ng chm 1Tg ng li cp in
cho 1K loi in tr ph R
2
ra khi mch rto, tip im 1K
3
ng cp in cho
rle thi gian 2Tg. Sau thi gian chnh nh tip im thng m ng chm 2Tg
ng li cp in cho 2K loi nt in tr R
1
khi mch khi ng, ng c lm vic
trn c tnh c t nhin. Tip im 2K
4
t duy tr, 2K
5
ct in cc rle thi gian.
Khi mun dng n nt dng D, ng c c ct khi li v dng t do.

Hnh 2.4. Khi ng ng c rto dy qun theo nguyn tc thi gian
33
2. Thay i tc ng c rto dy qun bng thay i in tr ph
Trong cng nghip c nhiu my sn xut dng truyn ng ng c rto dy
qun iu chnh tc nh cu trc, my cn.... v y thng dng thm khu
hm ng nng dng my. Hm ng nng l cch hm s dng ng nng ca
ng c ang quay to thnh nng lng hm. Vi ng c rto dy qun, mun
hm ng nng th khi ct in phi ni cc cun dy stato vo in p mt chiu
to thnh t thng kch thch cho ng c to m men hm. S nguyn l ca h
thng nh hnh 2.5.
ng c rto dy qun c th quay theo hai chiu, theo chiu thun nu 1S, 2S
ng v theo chiu ngc nu 1S, 3S ng. Cng tc t H ng ngun mt chiu
lc hm ng nng, cng tc t 1K, 2K ct in tr ph trong mch rto lm thay
i tc ng c khi lm vic. Khi hm ng nng ton b in tr ph r
1
v r
2
c
a vo mch rto hn ch dng in hm, cn in tr ph R trong mch mt
chiu t gi tr mmen hm.

Hnh 2.5. Thay i tc ng c rto dy qun
Trong h thng c b khng ch ch huy kiu chuyn mch c kh KC. B KC c
nguyn l cu to l mt tr trn c kh, c th quay hai chiu, trn trc c gn cc
tip im ng v kt hp vi cc tip im tnh to thnh cc cp tip im c
ng ct tu thuc vo v tr quay ca tr. th ng m tip im ca b khng ch
34
KC c th hin trn hnh 2.5c. V d, v tr 0 ca b khng ch ch c tip im 1-
2 ng, tt c cc v tr cn li ca cc tip im u ct hoc cp tip im 9- 1 0 s
ng cc v tr 2, 3 bn tri v 2

, 3

bn phi.
Hot ng ca b khng ch nh sau: khi ng in cp ngun cho h thng.
Ban u b khng ch c t v tr 0 cng tc t K c in, cc tip im K
mch khng ch ng li, chun b cho h thng lm vic. Nu mun ng c quay
theo chiu thun th quay b KC v pha tri, nu mun ng c quay ngc th quay
b KC v pha phi. Gi thit quay b KC v v tr 2 pha tri, lc ny cc tip im 3-
4, 5-6, 9-10 ca b KC kn, cc cun dy cng tc t 1S, 2S, 1K v cc rle thi gian
1Tg, 2Tg c in, cc tip im 1S, 2S mch ng lc ng li, cun dy stato c
ng vo ngun 3 pha, tip im 1K trong mch rto ng li ct phn in tr ph r
2

ra, ng c c khi ng v lm vic vi in tr ph r
1
trong mch rto, tip im
1Tg m ra, 2Tg ng li chun b cho qu trnh hm ng nng khi dng. Nu mun
dng ng c th quay b KC v v tr 0, cc cng tc t 1S, 2S, 1K v cc rle thi
gian 1Tg, 2Tg mt in, ng c c ct khi ngun in 3 pha vi ton b in tr
r
1
, r
2
c a vo rto, ng thi tip im thng kn ng chm 1Tg ng li
(ng chm mt thi gian ngn m bo h c ct khi li in), tip im
thng m m chm 2Tg cha m (t
2
> t
1
) cng tc t H c in tip im H
1
, H
2

ng li cp ngun mt chiu cho stato ng c v ng c c hm ng nng. Sau
thi gian chnh nh t2 tip im thng m m chm m ra tng ng vi tc
ng c nh, cun dy H mt in, ngun mt chiu c ct khi cun dy
stato, kt thc qu trnh hm ng nng. Trong thc t, ngi ta yu cu ngi vn
hnh khi quay b khng ch KC qua mi v tr phi dng li mt thi gian ngn h
thng lm vic an ton c v mt in v c.

2.4. Khng ch ng c in mt chiu
Vi ng c in mt chiu khi khi ng cn thit phi gim dng khi ng.
gim dng khi khi ng c th a thm in tr ph vo mch phn ng. Ngy
nay nh k thut in t v tin hc pht trin ngi ta ch to cc b bin i mt
chiu bng bn dn cng sut ln lm ngun trc tip cho ng c v iu khin cc
b bin i ny bng mch s logic kh trnh. Cc b bin i ny ni trc tip vo
ng c, vic khng ch khi ng, hm v iu chnh tc u thc hin bng cc
mch s kh trnh rt thun tin v linh hot. Tuy nhin, mt s mch n gin vn c
th dng s cc mch logic nh hnh 2.6.
khi ng ng c n nt khi ng K lc cng tc t K c in, cc
tip im thng m K, ng li cp in cho ng c vi 2 in tr ph, K
2
ng
li t duy tr, K
3
ng li, K
4
m ra lm rle thi gian 3Tg mt din, sau thi gian
chnh nh tip im thng ng ng chm 3Tg, ng li lm cng tc t 1K c
in, ng tip im 1K
1
loi in tr ph r
2
khi mch ng c v lm rle thi gian
35
2Tg mt in, sau thi gian chnh nh tip im thng ng ng chm 2Tg
1
ng
li cp in cho cng tc t 2K ng tip im 2K
2
loi r
1
ra khi mch ng lc qu
trnh khi ng kt thc.

Hnh 2.6. Khng ch ng c in mt chiu
dng ng c n nt dng D lc cng tc t K mt in, tip im K
1

mch ng lc m ra ct phn ng ng c khi ngun in. ng thi tip im K
2

K
3
m ra lm rle thi gian 1 Tg mt in bt u tnh thi gian hm, K
4
ng li lm
cng tc t H c in ng tip im H
1
a in tr hm R
h
vo thc hin qu
trnh hm. Sau thi gian chnh nh tip im thng m m chm 1 Tg
1
m ra, cng
tc t H mt in kt thc qu trnh hm, h thng khng ch v mch ng lc tr v
trng thi ban u chun b cho ln khi ng sau.
36

PHN 2: IU KHIN LOGIC C LP TRNH (PLC)

CHNG 3: L LUN CHUNG V IU KHIN
LOGIC LP TRNH PLC
3.1. M u
S pht trin ca k thut iu khin t ng hin i v cng ngh iu khin
logic kh trnh da trn c s pht trin ca tin hc m c th l s pht trin ca k
thut my tnh.
K thut iu khin logic kh trnh PLC (Programmable Logic Control) c
pht trin t nhng nm 1968 -1970. Trong giai on u cc thit b kh trnh yu cu
ngi s dng phi c k thut in t, phi c trnh cao. Ngy nay cc thit b
PLC pht trin mnh m v c mc ph cp cao.
Thit b iu khin logic lp trnh c PLC l dng thit b iu khin c bit
da trn b vi x l, s dng b nh lp trnh c lu tr cc lnh v thc hin
cc chc nng, chng hn cho php tnh logic, lp chui, nh gi, m, v cc thut
ton iu khin my v cc qu trnh cng ngh. PLC c thit k cho cc k s,
khng yu cu cao v kin thc my tnh v ngn ng my tnh, c th vn hnh.
Chng c thit k cho cc nh k thut c th ci t hoc thay i chng trnh. V
vy, cc nh thit k PLC phi lp trnh sn sao cho chng trnh iu khin c th
nhp bng cch s dng ngn ng n gin (ngn ng iu khin). Thut ng logic
c s dng v vic lp trnh ch yu lin quan n cc hot ng logic, v d nu c
cc iu kin A v B th C lm vic... Ngi vn hnh nhp chng trnh (chui lnh)
vo b nh PLC. Thit b iu khin PLC s gim st cc tn hiu vo v cc tn hiu
ra theo chng trnh ny v thc hin cc quy tc iu khin c lp trnh.
Cc PLC tng t my tnh, nhng my tnh c ti u ho cho cc tc v tnh
ton v hin th, cn PLC c chuyn bit cho cc tc v iu khin v mi trng
cng nghip. V vy cc PLC:
+ c thit k bn chu c rung ng, nhit, m v ting n,
+ C sn giao din cho cc thit b vo ra,
+ c lp trnh d dng vi ngn ng iu khin d hiu, ch yu gii quyt cc
php ton logic v chuyn mch.
V c bn chc nng ca b iu khin logic PLC cng ging nh chc nng ca
b iu khin thit k trn c s cc rle cng tc t hoc trn c s cc khi in t
l:
+ Thu thp cc tn hiu vo v cc tn hiu phn hi t cc cm bin,
+ Lin kt, ghp ni cc tn hiu theo yu cu iu khin v thc hin ng m
37
cc mch ph hp vi cng ngh,
+ Tnh ton v son tho cc lnh iu khin trn c s so snh cc thng tin thu
thp c,
+ Phn pht cc lnh iu khin n cc a ch thch hp.
Ring i vi my cng c v ngi my cng nghip th b PLC c th lin kt
vi b iu khin s NC hoc CNC hnh thnh b iu khin thch nghi. Trong h
thng ca cc trung tm gia cng, mi quy trnh cng ngh u c b PLC iu
khin tp trung.

3.2. Cc thnh phn c bn ca mt b PLC
1. Cu hnh phn cng
B PLC thng dng c nm b phn c bn gm: b x l, b nh, b ngun,
giao din vo/ra v thit b lp trnh. S h thng nh hnh 3.1 .
1.1 B x l
B x l cn gi l b x l trung tm (CPU), l linh kin cha b vi x l. B
x l bin dch cc tn hiu vo v thc hin cc hot ng iu khin theo chng
trnh c lu trong b nh ca CPU, truyn cc quyt nh di dng tn hiu hot
ng n cc thit b ra.
Nguyn l lm vic ca b x l tin hnh theo tng bc tun t, u tin cc
thng tin lu tr trong b nh chng trnh c gi ln tun t v c kim sot bi
b m chng trnh. B x l lin kt cc tn hiu v a kt qu iu khin ti u
ra. Chu k thi gian ny gi l thi gian qut (scan). Thi gian mt vng qut ph
thuc vo dung lng ca b nh, vo tc ca CPU. Ni chung chu k mt vng
qut nh hnh 3.2.
S thao tc tun t ca chng trnh dn dn mt thi gian tr trong khi b m
ca chng trnh i qua mt chu trnh y , sau bt u li t u.
38


nh gi thi gian tr ngi ta o thi gian qut ca mt chng trnh di 1K
byte v coi l ch tiu so snh cc PLC. Vi nhiu loi PLC thi gian tr ny c
th ti 20ms hoc hn. Nu thi gian tr gy tr ngi cho qu trnh iu khin th phi
dng cc bin php c bit, chng hn nh lp li nhng ln gi quan trng trong thi
gian mt ln qut, hoc l iu khin cc thng tin chuyn giao b bt i nhng ln
gi t quan trng khi thi gian qut di ti mc khng th chp nhn c. Nu cc
gii php trn khng tho mn th phi dng PLC c thi gian qut ngn hn.
1. 2. B ngun
B ngun c nhim v chuyn i in p AC thnh in p thp cho b vi x l
(thng l 5V) v cho cc mch in u ra hoc cc module cn li (thng l 24V).
1.3. Thit b lp trnh
Thit b lp trnh c s dng lp cc chng trnh iu khin cn thit sau
c chuyn cho PLC. Thit b lp trnh c th l thit b lp trnh chuyn dng, c
th l thit b lp trnh cm tay gn nh, c th l phn mm c ci t trn my tnh
c nhn.
1.4. B nh
B nh l ni lu gi chng trnh s dng cho cc hot ng iu khin. Cc
39
dng b nh c th l RAM, ROM, EPROM. Ngi ta lun ch to ngun d phng
cho RAM duy tr chng trnh trong trng hp mt in ngun, thi gian duy tr
tu thuc vo tng PLC c th. B nh cng c th c ch to thnh module cho
php d dng thch nghi vi cc chc nng iu khin c kch c khc nhau, khi cn
m rng c th cm thm.
1.5. Giao din vo/ra
Giao din vo l ni b x l nhn thng tin t cc thit b ngoi vi v truyn
thng tin n cc thit b bn ngoi. Tn hiu vo c th t cc cng tc, cc b cm
bin nhit , cc t bo quang in.... Tn hiu ra c th cung cp cho cc cun dy
cng tc t, cc rle, cc van in t, cc ng c nh... Tn hiu vo/ra c th l tn
hiu ri rc, tn hiu lin tc, tn hiu logic... Cc tn hiu vo/ra c th th hin nh
hnh 3.3.
Mi im vo ra c mt a ch duy nht c PLC s dng.

Hnh 3.3: Giao din vo/ra
Cc knh vo/ra c cc chc nng cch ly v iu ho tn hiu sao cho cc b
cm bin v cc b tc ng c th ni trc tip vi chng m khng cn thm mch
in khc.
Tn hiu vo thng c ghp cch in (cch ly) nh linh kin quang nh hnh
3.4. Di tn hiu nhn vo cho cc PLC c ln c th l 5v, 24v, 110v, 220v. Cc PLC
c nh thng ch nhp tn hiu 24v.

Tn hiu ra cng c ghp cch ly, c th cch ly kiu rle nh hnh 3.5a, cch
40
ly kiu quang nh hnh 3.5b. Tn hiu ra c th l tn hiu chuyn mch 24v, 100mA;
110v, 1A mt chiu, thm ch 240v, 1A xoay chiu tu loi PLC. Tuy nhin, vi PLC
c ln di tn hiu ra c th thay i bng cch la chn cc module ra thch hp.

2. Cu to chung ca PLC
Cc PLC c hai kiu cu to c bn l: kiu hp n v kiu modulle ni ghp.
Kiu hp n thng dng cho cc PLC c nh v c cung cp di dng
nguyn chic hon chnh gm b ngun, b x l, b nh v cc giao din vo/ra.
Kiu hp n thng vn c kh nng ghp ni c vi cc module ngoi m
rng kh nng ca PLC. Kiu hp n nh hnh 3.6.

Kiu module ghp ni gm cc module ring cho mi chc nng nh module
ngun, module x l trung tm, module ghp ni, module vo/ra, module m, module
PID... cc module c lp trn cc rnh v dc kt ni vi nhau. Kiu cu to ny
c th c s dng cho cc thit b iu khin lp trnh vi mi kch c, c nhiu b
chc nng khc nhau c gp vo cc module ring bit. Vic s dng cc module
tu thuc cng dng c th. Kt cu ny kh linh hot, cho php m rng s lng u
ni vo/ra bng cch b sung cc module vo/ra hoc tng cng b nh bng cch
tng thm cc n v nh.
41
3.3. Cc vn v lp trnh
1 Khi nim chung
PLC c th s dng mt cch kinh t hay khng ph thuc rt ln vo thit b lp
trnh. Khi trang b mt b PLC th ng thi phi trang b mt thit b lp trnh ca
cng mt hng ch to. Tuy nhin, ngy nay ngi ta c th lp trnh bng phn mm
trn my tnh sau chuyn sang PLC bng mch ghp ni ring.
S khc nhau chnh gia b iu khin kh trnh PLC v cng ngh rle hoc
bn dn l ch k thut nhp chng trnh vo b iu khin nh th no. Trong
iu khin rle, b iu khin c chuyn i mt cch c hc nh u ni dy "iu
khin cng", cn vi PLC th vic lp trnh c thc hin thng qua mt thit b lp
trnh v mt ngoi vi chng trnh. C th ch ra quy trnh lp trnh theo gin hnh
3.8.
lp trnh ngi ta c th s dng mt trong cc m hnh sau y:

Hnh 3.8. Quy trnh lp trnh
+ M hnh dy.
+ M hnh cc chc nng.
+ M hnh biu ni dy.
+ M hnh logic.
Vic la chn m hnh no trong cc m hnh trn cho thch hp l tu thuc vo
loi PLC v iu quan trng l chn c loi PLC no cho php giao lu tin li v
trnh c chi ph khng cn thit. a s cc thit b PLC lu hnh trn th trng
hin nay l dng m hnh dy hoc biu ni dy. Nhng PLC hin i cho php
ngi dng chuyn t mt phng php nhp ny sang mt phng php nhp khc
ngay trong qu trnh nhp.
Trong thc t khi s dng biu ni dy th vic lp trnh c v n gin hn v
n c cch th hin gn ging nh mch rle cng tc t. Tuy nhin, vi nhng ngi
c sn nhng hiu bit c bn v ngn ng lp trnh th li cho rng dng m hnh
dy d dng hn, ng thi vi cc mch c ln th dng m hnh dy c nhiu u
im hn.
42
Mi nh ch to u c nhng thit k v phng thc thao tc thit b lp trnh
ring, v th khi c mt loi PLC mi th phi c thi gian v cn phi c hun
luyn lm quen vi n.
2. Cc phng php lp trnh
T cc cch m t h t ng cc nh ch to PLC son tho ra cc phng
php lp trnh khc nhau. Cc phng php lp trnh u c thit k n gin, gn
vi cc cch m t c bit n. T ni chung c ba phng php lp trnh c
bn l phng php bng lnh STL, phng php biu bc thang LAD v phng
php lu iu khin CSF. Trong , hai phng php bng lnh STL v biu
bc thang LAD c dng ph bin hn c.
2.1. Mt s k hiu chung
Cu trc lnh
Mt lnh thng c ba phn chnh v thng vit nh hnh 3.9 (c loi PLC c
cch vit hi khc):
1. a ch tng i ca lnh (thng khi tp trnh thit b lp trnh t a ra).
2. Phn lnh l ni dung thao tc m PLC phi tc ng ln i tng ca lnh,
trong lp trnh LAD th phn ny t th hin trn thanh LAD, khng c ghi ra.
3. i tng lnh, l phn m lnh tc ng theo yu cu iu khin, trong i
tng lnh li c hai phn:
4. Loi i tng, c trng hp sau loi i tng c du ":", c cc loi i
tng nh tn hiu vo, tn hiu ra, c (rle ni)...
5. Tham s ca i tng lnh xc nh c th i tng, cch ghi tham s
cng ph thuc tng loi PLC khc nhau.

K hiu thng c trong mi lnh:
Cc k hiu trong lnh, quy c cch vit vi mi quc gia c khc nhau, thm
ch mi hng, mi thi ch to ca hng c th c cc k hiu ring. Tuy nhin, cch
ghi chung nht cho mt s quc gia l:
M:
+ K hiu u vo l I (In), u ra l Q (out trnh nhm O l khng).
+ Cc lnh vit gn ting Anh v d ra l out.
+ Lnh ra (gn) l out.
+ Tham s ca lnh dng c s 10.
43
+ Pha trc i tng lnh c du %.
+ Gia cc s ca tham s khng c du chm.
V d: AND% I09; out%Q10.
Nht:
+ u vo k hiu l X, u ra k hiu l Y.
+ Cc lnh hu nh c vit tt t ting Anh.
+ Lnh ra (gn) l out.
+ Tham s ca lnh dng c s 8.
V d: A X 10; out Y 07
Ty c
+ u vo k hiu l I, u ra k hiu l Q.
+ Cc lnh hu nh c vit tt t ting Anh.
+ Lnh ra (gn) l =
+ Tham s ca lnh dng c s 8.
+ Gia cc s ca tham s c du chm phn bit khe v knh.
V d: A I 1.0; = Q 0.7.
Ngoi cc k hiu kh chung nh trn th mi hng cn c cc k hiu ring, c
b lnh ring. Ngay cng mt hng cc thi ch to khc nhau cng c c im
khc nhau vi b lnh khc nhau. Do , khi s dng PLC th mi loi PLC phi tm
hiu c th hng dn s dng ca n.
Mt s k hiu khc nhau vi cc lnh c bn c th hin r trn bng 3.1.
2.2. Phng php hnh thang LAD (Ladder Logic)
Phng php hnh thang c dng ca biu nt bm. Cc phn t c bn ca
phng php hnh thang l:
+ Tip im: thng m
Thng kn + Cun dy (m t cc rle)
+ Hp (m t cc hm khc nhau, cc lnh c bit)
Bng 3.1
IEC
1131-3
Misubishi OMRON Siemens
Telemec-
anique
Spreher
v Schuh
Ch thch
LD LD LD A L STR Khi u vi tip
im thng m
LDN LDI LD NOT AN LN STR
NOT
Khi u vi tip
im thng kn
AND AND AND A A AND Phn t ni tip c
tip im m
44
IEC
1131-3
Misubishi OMRON Siemens
Telemec-
anique
Spreher
v Schuh
Ch thch
ANDN ANI AND
NOT
AN AN AND
NOT
Phn t ni tip c
tip im kn
O OR OR O O OR Phn t song song c
tiu im m
ORN ORI OR NOT ON ON OR NOT Phn t song song c
tip im kn
ST OUT OUT =

=

OUT Ly tn hiu ra
Mng LAD l ng ni cc phn t thnh mt mch hon chnh, theo th t t
tri sang phi, t trn xung di. Qu trnh qut ca PLC cng theo th t ny. Mi
mt nc thang xc nh mt s hot ng ca qu trnh iu khin. Mt s LAD c
nhiu nc thang. Trn mi phn t ca biu hnh thang LAD c cc tham s xc
nh tu thuc vo k hiu ca tng hng sn xut PLC.
V d: Mt nc ca phng php hnh thang nh hnh 3.10.


Hnh 3.10. Phng php lp trnh thang LAD
Hnh 3.10a l kiu k hiu ca Misubishi (Nht)
Hnh 3.10b l kiu k hiu ca Siemens (Ty c)
Hnh 3.10c l k hiu ca Allen Bradley
2.3. Phng php lit k 1nh STL (Statement List)
Phng php STL gn vi biu logic. phng php ny cc lnh c lit
k th t. Tuy nhin, phn bit cc on chng trnh ngi ta thng dng cc m
nh, mi m nh tng ng vi mt nc thang ca biu hnh thang. khi u
mi on (tng ng nh khi u mt nc thang) khi lp lun s dng cc lnh khi
u nh LD, L, A, O... (bng 3.l). Kt thc mi on thng l lnh gn cho u ra,
u ra c th l u ra cho thit b ngoi vi c th l u ra cho cc rle ni.
V d: Mt on STL ca PLC S5 (Siemens)
45


Mt on STL ca PLC S7-200 (Siemens)
0 LD I 0.1
1 A I 0.2
3 = Q 1.0
Mt on STL ca PLC MELSEC Fl (Nht)
0 LD X 400
1 O X 403
2 ANI X 404
3 OUT Y 433
Mt on STL ca CPM1A (OMRON)
0 LD 000.01
1 OR 010.00
2 AND NOT 000.00
3 AND 000.03
4 OUT 010.00
2.4. Phng php lu iu khin CSF (Control System Flow)
Phng php lu iu khin CSF trnh by cc php ton logic vi cc k
hiu ho c tiu chun ho nh hnh 3.15. Phng php lu iu khin
thch hp vi ngi quen vi php tnh iu khin bng i s Boo1e.

Hnh 3.15. Phng php lp trnh CSF
3. Cc rle ni
Trong cc loi PLC c nhiu thut ng dng ch cc linh kin loi ny, v d:
rle ph, b vch du, c hiu, lu tr bt, bt nh... y l linh kin cung cp cc
chc nng c bit gn lin vi PLC v c dng ph bit trong lp trnh. Rle ni
ny tng t nh cc rle trung gian trong s rle cng tc t. Rle ni cng c
coi l cc u ra nhn cc lnh gn u ra, nhng thc cht u ra ny khng a ra
ngoi (khng phi thit b ngoi vi) m ch nm ni ti trong PLC. PLC nh c th c
ti hng trm rle ni, cc rle ni u c nui bng ngun d phng khi mt in.
Mt s k hiu cc rle ni:
46
Hng Tn gi K hiu V d
Misubishi Rle ph hoc b nh du M M100; M101
Siemens C hiu F F0.0; F0.1
Sprecher v Schuh Cun dy C C001; C002
TelemecaniQue Bt B B0; B1
Toshiba Rle ni R R000; R001
Bradley Lu tr bt B B3/001 ; B3/002

V d: S dng rle ni (ca Misibishi)
0 LD X 400
1 OR X 403
2 ANI X 404
3 OUT M 100
4 LD M 100
5 AND X 401
6 OUTY 433
4. Cc rle thi gian
Trong cc h thng iu khin lun lun phi s dng rle thi gian duy tr
thi gian cho qu trnh iu khin. Trong cc PLC ngi ta cng gn cc rle thi gian
vo trong . Tuy nhin, thi gian y c xc nh nh ng h trong CPU. Cc
rle thi gian cng c cc tn gi khc nhau nhng thng gi nht l b thi gian
(Time).
Cc nh sn xut PLC khng thng nht v cch lp trnh cho cc rle thi gian
ny. Mi loi PLC (thm ch trong cng hng) cng c cc k hiu v cch lp trnh
rt khc nhau cho rle thi gian. S lng rle thi gian trong mi PLC cng rt khc
nhau.
im chung nht i vi cc rle thi gian l cc hng u coi rle thi gian l
cc u ra ni, do rle thi gian l u ra ca nc thang, hay ca mt on chng
trnh.
5. Cc b m
B m cho php m tn sut xut hin tn hiu vo. B m c th c dng
trong trng hp m cc sn phm di chuyn trn bng chuyn v s sn phm xc
nh cn chuyn vo thng. B m c th m s vng quay ca trc, hoc s ngi
i qua ca. Cc b m ny c ci t sn trong PLC.
C hai loi b m c bn l b m tin v b m li. Cc nh sn xut PLC
cng s dng cc b m theo nhng cch khc nhau. Tuy nhin, cng nh cc b thi
gian, b m cng c coi l u ra ca PLC v y cng l u ra ni, xut tn
47
hiu ra ngoi phi qua u ra ngoi vi (c chn ni ra ngoi PLC).
3.4. nh gi u nhc im ca PLC
Trc y, b PLC thng rt t, kh nng hot ng b hn ch v quy trnh
lp trnh phc tp. V nhng l do m PLC ch c dng trong nhng nh my v
cc thit b c bit. Ngy nay do gim gi lin tc, km theo tng kh nng ca PLC
dn n kt qu l ngy cng c p dng rng ri cho cc thit b my mc. Cc b
PLC n khi vi 24 knh u vo v 16 knh u ra thch hp vi cc my tiu
chun n, cc trang thit b lin hp. Cn cc b PLC vi nhiu kh nng ng dng
v la chn c dng cho nhng nhim v phc tp hn.
C th k ra cc u im ca PLC nh sau:
+ Chun b vo hot ng nhanh: Thit k kiu module cho php thch nghi
nhanh vi mi chc nng iu khin. Khi c lp ghp th PLC sn sng lm vic
ngay. Ngoi ra n cn c s dng li cho cc ng dng khc d dng.
+ tin cy cao: Cc linh kin in t c tui th di hn cc thit b c-in.
tin cy ca PLC ngy cng tng, bo dng nh k thng khng cn thit cn
vi mch rle cng tc t th vic bo dng nh k l cn thit.
+ D dng thay i chng trnh: Nhng thay i chng trnh c tin hnh
n gin. sa i h thng iu khin v cc quy tc iu khin ang c s
dng, ngi vn hnh ch cn nhp tp lnh khc, gn nh khng cn mc ni li dy
(tuy nhin, c th vn phi ni li nu cn thit). Nh h thng rt linh hot v hiu
qu.
+ nh gi nhu cu n gin: Khi bit cc u vo v cc u ra th c th nh
gi c kch c yu cu ca b nh hay di chng trnh. Do , c th d dng
v nhanh chng la chn PLC ph hp vi cc yu cu cng ngh t ra.
+ Kh nng ti to: Nu dng nhiu PLC vi quy cch k thut ging nhau th
chi ph lao ng s gim thp hn nhiu so vi b iu khin rle, l do gim phn
ln lao ng lp rp.
+ Tit kim khng gian: PLC i hi t khng gian hn so vi b iu khin rle
tng ng.
+ C tnh cht nhiu chc nng: PLC c u im chnh l c th s dng cng
mt thit b iu khin c bn cho nhiu h thng iu khin. Ngi ta thng dng
PLC cho cc qu trnh t ng linh hot v d dng thun tin trong tnh ton, so snh
cc gi tr tng quan, thay i chng trnh v thay i cc thng s.
+ V gi tr kinh t: Khi xt v gi tr kinh t ca PLC phi cp n s lng
u ra v u vo. Quan h v gi thnh vi s lng u vo/ra c dng nh hnh
3.17. Trn hnh 3.17 th hin, nu s lng u vo/ra qu t th h rle t ra kinh t
hn, nhng khi s lng u vo/ra tng ln th h PLC kinh t hn hn.
48

Khi tnh n gi c ca PLC th khng th khng k n gi ca cc b phn ph
khng th thiu nh thit b lp trnh, my in, bng ghi... c vic o to nhn vin k
thut. Ni chung nhng phn mm thit k lp trnh cho cc mc ch c bit l
kh t. Ngy nay nhiu hng ch to PLC cung cp chn b ng gi phn mm
c th nghim, nhng vic thay th, sa i cc phn mm l nhu cu khng th
trnh khi, do , vn cn thit phi c k nng phn mm.
Phn b gi c cho vic lp t mt PLC thng nh sau:
- 50% cho phn cng ca PLC.
- 10% cho thit k khun kh chng trnh.
- 20% cho son tho v lp trnh.
- 15% cho chy th nghim.
- 5% cho ti liu.
Vic lp t mt PLC tip theo ch bng khong 1/2 gi thnh ca b u tin,
ngha l hu nh ch cn chi ph phn cng.
C th so snh h iu khin rle v h iu khin PLC nh sau:
H rle:
+ Nhiu b phn c chun ho.
+ t nhy cm vi nhiu.
+ Kinh t vi cc h thng nh.
- Thi gian lp t lu.
- Thay i kh khn
- Kh theo di v kim tra cc h thng ln, phc tp.
- Cn bo qun thng xuyn.
- Kch thc ln.
H PLC
+ Thay i d dng qua cng ngh phch cm.
+ Lp t n gin.
+ Thay i nhanh quy trnh iu khin.
+ Kch thc nh.
+ C th ni vi mng my tnh.
- Gi thnh cao
B thit b lp trnh thng t, s dng t.
49
CHNG 4: B IU KHIN PLC CPM1A

4.l. Cu hnh cng
1. Cu to ca h PLC CPM1A
PLC CPM1A thuc h OMRON do Nht bn sn xut. y l loi PLC n
khi c th lp ghp thm cc module v lp ghp nhiu PLC vi nhau. n v c bn
ca PLC CPM1A nh hnh 4.1 .

Trong :
1. Cc n bo h thng:
+ n PWR (xanh): bo ngun,
+ n RUN (xanh): PLC ang ch chy hoc kim tra, (n tt th PLC
ang ch lp trnh hoc c li),
+ n ERR/ALM (): + Sng: C li, PLC khng hot ng,
+ Nhp nhy, hoc tt: PLC ang hot ng,
+ COMM (da cam): D liu ang c truyn ti cng ngoi vi.
2. Cng ghp ni vi my tnh hoc thit b lp trnh (c np y).
3. Cc n ch th v a ch ra, (sng nu c tn hiu ra).
4. Chn ni cho u ra (c np y).
5. Cc n ch th v a ch vo, (sng nu c tn hiu vo).
6. Chn ni cho u vo (c np y).
2. Cc thng s k thut
2.1. Cc loi CPM1A
50
Trong h CPM1A c cc PLC sau:
M hiu Ngun cung cp S u vo S u ra Tng s I/O
CPM1A-10CDR-A AC
CPM1A-10CDR-D DC
6 4 10
CPM1A-20CDR-A AC
CPM1A-20CDR-D DC
12 8 20
CPM1A-30CDR-A AC
CPM1A-30CDR-D AD
18 12 30
CPM1A-40CDR-A AC
CPM1A-40CDR-D DC
24 16 40
2.2. Thng s chung
Mc 10-u I/O 20-u I/O 30-u I/O 40-u I/O
Kiu AC 100 n 240v AC, 50/60 Hz in p
cung cp
Kiu DC 24v DC
Kiu AC 85 n 264 v AC Phm vi
in p
Kiu DC 20,4 n 26,4v DC
Kiu AC max 30 VA max 60 VA Tiu th
in
Kiu DC max 6 W max 20 W
Dng in max 30 A max 60 A
p 24 VDC Ngun cp ra
(ch c kiu AC)
dng 200 mA 300 mA
in tr cch ly
20 M min. (ti 500v DC) gia cc AC v cc tip a.
bn xung lc 147m/s
2
(20G) ba ln mi chiu X, Y v Z
Nhit mi trng Nhit lm vic: 0 n 55C
o

Nhit bo qun: -20 n 75C
o

m mi trng 10% to 90% (with no condensation)
Mi trng lm vic Khng lm vic trong mi trng kh t
Thi gian cho gin on
ngun
Kiu AC: min 10ms; Kiu DC: min 2ms. (Thi gian
gin on tnh khi ngun nh hn 85% nh mc)
Kiu AC Max 400 g Max 500 g Max 600 g Max 700 g Trong lng
CPU
Kiu DC Max 300 g Max 400 g Max 500 g Max 600 g

2.3 Cc c trng
Mc 10 - u I/O 20 - u I/O 30 - u I/O 40 - u I/O
di lnh T 1 n 5 t cho 1 lnh
Kiu lnh Lnh c bn: 14; lnh c bit: 77 kiu, tng 135 lnh
Thi gian thc hin Lnh c bn: 0,72 n 16,2 s
Lnh c bit: 12,375 s (lnh MOV)
Dung lng chng trnh 2.048 t (Words)
Ch CPU 6 input
4 output
12 input
8 output
18 input
12 output
24 input
16 output
Vo ra cc
i
C module
m rng
---- ---- 54 input
36 output
60 input
40 output
51
Mc 10 - u I/O 20 - u I/O 30 - u I/O 40 - u I/O
Vo dng bt 00000 n 00915 (Words 0 n 9)
Ra dng bt 01000 n 01915 (Words 10 to 19)
T bt (vng IR ) 5 1 2 bts : IR20000 to 23115 (words IR 200 to IR 231 )
Bt c bit (vng SR) 384 bts: SR 23200 to 25515 (words SR 232 to IR 255)
Bt nh tm thi (vng
TR)
8 bts (TR0 to TR7)
Bt gi (vng HR) 320 bts: HR 0000 to HR 1915 (words HR 00 to HR 19)
Bt b tr (Vng AR) 256 bts:AR 0000 to AR 1515 (words AR 00 to AR 15)
Bt lin kt (vng LR) 256 bts : LR 0000 to LR 1515 (words LR 00 to LR 15 )
Timers/Cunters 128 Timers/counters (TIM/CNT 000 to TIM/CNT 127)
100 - ms Timers: TIM 000 to TIM 127
10 - ms Timers: TIM 00 to TIM 127
Nh d liu Read/write: 1.024 words (DM 0000 to DM 1023 )
Read-only: 512 words (DM 6144 to DM 6655)
X l ngt 2 im (thi gian phn ng:
Max 0,3 ms.)
4 im (thi gian phn ng:
Max: 0,3 ms)
Bo v b nh HR, AR, S liu trong vng nh ni dung v s m c
bo v khi ngun b gin on.
Sao lu b nh T in d phng: s liu nh (c/vit), bt gi, bt nh b
tr, b m (20 ngy trong iu kin nhit 25
o
C)
Chc nng t chun on CPU b hng, I/O li ng dn, li b nh.
Chng trnh kim tra Khng c lnh kt thc, li ca chng trnh (lin tc kim
tra trong thi gian lm vic)
B m tc cao 1 b: 5 kHz 1 pha, hoc 2.5 kHz 2 pha
Kiu tng dn: 0 n 65.535 (16 bts)
Kiu tng/gim: -32.767 n 32.767 (16 bts)
Nhp hng s thi gian C th t 1 ms, 2 ms, 4 ms, 8 ms, 16 ms, 32 ms, 64 ms, hoc
128 ms
t tn hiu analog 2 ng (0 n 200 BCD)

2.4. Cu trc vng nh
D liu T (words) Bt Chc nng
IR vo IR 000 n IR 009 (10
words)
IR 00000 n IR
00915 (160 bts )


Ra IR 010 n IR 019 (10
words)
IR 01000 n IR
01915 (160 bts)
Cc bt ny c th lm vic
vng vo ra m rng




lm
vic
Ir 200 n IR 231 (32
words)
Ir 20000 n IR to 23
115 (5 2 bts)
Cc t bt ny c th s dng
tu trong chng trnh
SR SR 232 n SR 255 (24
words)
SR 23200 n 25515
(384 bts)
Nhng bt ny phc v cho
chc nng c bit nh c v bt
iu khin.
TR --- TR 0 n TR 7 (8 bts) Bt ny c s dng trng
thi ng m trong chng
trnh phn nhnh

52
D liu T (words) Bt Chc nng
HR HR 00 n HR 19 (20
words)
HR 0000 dn HR 1915
(320 bts)
Nhng bt ny lu gi trng thi
ng m khi mt ngun ngoi.
Ar AR 00 n HR 15 (1 6
words)
AR 0000 n HR 1515
(256 bts)
Nhng bt ny phc v cho
chc nng c bit nh c v bt
iu khin.
LR LR 00 n LR 15 (16
words)
LR 00000 n LR
1515 (256 bts )
S dng kt ni vi PC khc
Timer/
couter
TC 000 n TC 127 (timer/counter) S ging nhau s dng cho c
thu v couter.
DM c
/vit
DM 0000 DM 0999
DM 1022 DM 1023
(1,002 words)
--- DM l d liu ch truy cp dng
t (words). Cc d liu dng t
(words) c ct gi khi mt
ngun.


Ghi
li
DM 1000 n DM
1021 (22 words)
--- S dng ghi thi gian s c
v li xut hin. T y c th
c/ghi khi li xut hin.


Ch
c
DM 6144 n DM
6599 (456 words)
- - Khng th ghi ln chng
trnh


Ci
t
PC
Di 6600 n DM 6655
(%6 words)
- s dng n nhiu vng tham s
iu khin lm vic ca PC
Ch :
1. Bt IR v LR khi cha s dng cho cc chc nng chnh th c th s dng nh bt
lm vic.
2. Ni dung ca vng HR, LR, Counter, v vng c/ghi DM c th c lu gi bng
t in nhit 25
o
C, vi thi gian 20 ngy.
3. Khi truy nhp cc s PV, TC th d liu dng t (words), khi truy cp vo c th d
liu dng bt.
4. D liu trong DM 6144 n DM 6655 khng th ghi t chng trnh nhng c
th thay i t thit b ngoi "Peripheral Device".
2.5. Cc vo ra - cc bt vng IR cho vo ra m rng
Bng sau cho bit cc bt vng IR dng cho module vo ra m rng ca CPM1A
v cc loi module m rng.
im ni CPU (a
ch)
im ni vng m
rng (a ch)
S vo/ra
ca CPU
Vo Ra Vo Ra
Ngun S module
AC CPM1A-10CDR-A

10
6 im:
00000
00005
4 im:
01000
01003

---


---
DC CPM1A-10CDR-D
AC CPM1A-20CDR-A

20
12 im:
00000
00011
8 im :
01000
01007

---


---
DC CPM1A-20CDR-D

53
im ni CPU (a
ch)
im ni vng m
rng (a ch)
S vo/ra
ca CPU
Vo Ra Vo Ra
Ngun S module
AC CPM1A-30CDR-A
30
18 im:
00000
00011
00100
00105
12 im:
01000
01007
01100
01103
DC CPM1A-30CDR-D
AC CPM1A-40CDR-A
40


20 im:
00000
00011
00100
00111
16 im :
01000
11007
01100
01107
36 im:
00200
00211
00300
00311
00400
00411



24 im:
01200
01207
01300
01307
01400
01407



DC CPM1A-40CDR-D

4.2. Ghp ni
PLC CPM1A c th ghp ni vi 32 b PLC cng loi thnh h thng. lp
trnh cho PLC th c th ghp ni n vi thit b lp trnh cm tay, b lp trnh chuyn
dng hoc my tnh tng thch.
1. Ghp ni vi thit b lp trnh cm tay: Ni trc tip cp ca thit b cm tay
vo PLC nh hnh 4.2.

Hnh 4.2. Ghp ni PLC vi thit b lp trnh cm tay
2. Ghp ni vi thit b lp trnh chuyn dng hoc my tnh tng thch

Khi ghp ni vi my tnh tng thch ngi ta dng cp ni chun RS-232C v
54
b phi hp RS-232 (hoc RS-422) hoc cp chuyn i loi CQMI-CIF02. Ghp ni
vi thit b lp trnh chuyn dng nh hnh 4.3. PLC c ghp ni vi cng ni tip
(COM) ca my tnh.
3. Ghp ni nhiu PLC v my tnh
C th ghp thnh h thng nh ni cc PLC - CPM1A vi nhau, s PLC -
CPM1A c th ghp ti a l 32, h thng ny c th ni vi my tnh tng thch, s
nh hnh 4.4. Chiu di ln nht cho php ca cp RS-422 l 500 m.
PLC - CPM 1 A

Hnh 4.4. Ghp ni nhiu PLC

4.3. Ngn ng lp trnh
1. Cu trc chng trnh PLC CPM1A
Cc chng trnh iu khin vi PLC CPM1A c th c vit dng n khi
hoc a khi.
Chng trnh n khi
Chng trnh n khi ch vit cho cc cng vic t ng n gin, cc lnh
c vit tun t trong mt khi. Khi vit chng trnh n khi ngi ta dng khi
OBI. B PLC qut khi theo chng trnh, sau khi qut n lnh cui cng n quay tr
li lnh u tin.
Chng trnh a khi (c cu trc)
Khi nhim v t ng ho phc tp ngi ta chia chng trnh iu khin ra
thnh tng phn ring gi l khi. Chng trnh c th xp lng khi ny vo khi kia.
Chng trnh ang thc hin khi ny c th dng lnh gi khi sang lm vic
55
vi khi khc, sau khi kt thc cng vic khi mi n quay v thc hin tip
chng trnh tm dng khi c.
2. Bng lnh ca PLC PCM1A
Xem phn "Bng lnh" ph lc 2
3. Lp trnh cc lnh logic c bn ca PLC PCM1A
Vi PLC ny c: 12 u vo vi a ch xc nh t 000.00 n 000.11.
8 u ra vi a ch xc nh t 010.00 n 010.07.
Khi lp trnh phn mm lp trnh t hiu cc a ch trn, khng cn a khi
nim phn bit vo/ra. Nu a thm khi nim vo/ra (X/Y) phn mm s khng
chp nhn.
Kt thc chng trnh phi c lnh kt thc END chng trnh mi chy.
3.1. Lnh AND
Lp trnh dng LAD (c th lp trnh dng STL v kim tra li dng LAD).
LD 000.00
AND 000.03
AND 000.04
OUT 010.00
+ Xem li chng trnh t
biu tng (phn ph lc 1)
+ Chn trng thi MONITOR hoc trng thi PROGRAM (STOP/PRG) nh
Shift + F10 hoc biu tng "PLC Mode". chng trnh sang PLC t biu tng
hoc t ng dn (nh ph lc l).
+ Chn trng thi MONITOR hoc trng thi RUN nh Shift + F10 hoc biu
tng "PLC Mode" chy chng trnh.
3.2. Lnh AND NOT
Dng STL
LD 000.03
AND NOT 000.00
AND 000.04
OUT 010.00
END
3.3. Lnh OR: Dng SLT
LD 000.03
OR 000.04
OR 000.05
56
OUT 010.02
END
3.4. Lnh OR NOT
Dng STL
LD 00.03
OR NOT 00.04
OR 000.05
OUT 010.02
END
3. 5. Lnh OR gia hai 1nh AND
Dng STL
LD 000.03
AND 000.04
LD 000.05
AND 000.06
OR LD
OUT 010.00
END
3.6. Lnh thi gian tr
Dng STL
LD 000.03
TIM 000 #010
LD TIM000
OUT 010.00
END
Ch :
+ Trong lnh (TIM 000 #010) lot s u ch
s hiu ca rle thi gian (rle thi
gian s 0), lot s th hai ch thi gian t (10s)
+ Khi u vo 000.03 c gi tr 1 th b thi gian bt
u tnh thi gian, khi 10s th b thi gian cho gi
tr ra, tc u ra 010.00 c gi tr 1.
3.7. B m
LD 000.03
LD 000.00
57
CNT000 #005
LD CNT000
OUT010.00
END

Ch :
+ u vo th nht (000.03) l u vo m, mi khi u vo ny nhn gi tr 1
th b m m mt ln.
+ u vo th hai (000.00) l u vo reset b m, khi u vo ny nhn gi tr
1 th b m b reset v trng thi ban u.
+ Trong lnh (CNT 001 #0051 lot s u ch s hiu ca b m (b m s 1
lot s th hai ch s m t (5 s), khi u vo 000.03 t 5 ln gi tr 1 th b
m cho gi tr ra, tc u ra 010.00 c gi tr 1.
58
CHNG 5: B IU KHIN PLC - S5

5.l. Cu to ca h PLC Step5
PLC Step 5 thuc h Simatic do hng Siemens sn xut. y l loi PLC hn
hp va n khi va a khi. Cu to c bn ca loi PLC ny l mt n v c bn
sau c th ghp thm cc module m rng v pha bn phi, c cc module m
rng tiu chun S5-100U. Nhng module ngoi ny bao gm nhng n v chc nng
m c th l hp li cho ph hp vi nhng nhim v k thut c th.
1. n v c bn
n v c bn ca PLC S5- 95U nh hnh 5.1.

Trong :
1. Ngn c quy,
2. Cng tc m in c quy,
3. Cng tt m ngun,
4. Bng cm v n bo cho u vo v ra logic, c: 16 u vo t I32.0 n
I33.7; 16 u ra t Q32.0 n Q33.7,
5. u ni ngun 24v cho khi c bn,
6. Giao din cho u vo b ngt IW59.0 n IW59.3 v u vo b m IW36
n IW38,
7. Giao din ni tip vi my lp trnh hoc my tnh,
8. Giao din tip nhn module nh ngoi,
9. Giao din cho u vo ra analog,
10. Cng tc chn ch RUN, STOP,
59
11. n bo ch STOP,
12. n bo ch RUN,
13. n bo li.
2. Cc module vo ra m rng
Khi qu trnh t ng ho i hi s lng u v u ra nhiu hn s lng sn
c trn n v c bn hoc khi cn nhng chc nng c bit th c th m rng n v
c bn bng cch g thm cc module ngoi. Ti a c th g thm 8 module vo ra
qua 8 v tr c sn trn panen v pha phi. Thng Step 5 s dng cc module m
rng:
+ Module vo, ra s duy tr,
+ Module vo, ra s khng duy tr ly t S5-100U,
+ Module vo, ra tng t khng duy tr ly t S5-100U,
+ Module thng tin khng duy tr CCP.
* Quy c cc chn ca module m rng nh hnh 5.2.
+ Chn l: Dng ngun (L+),
+ Chn 2: m ngun (M),
+ Chn 4: Knh s 0,
+ Chn 3: Knh s 1,
+ Chn 6: Knh s 2,
+ Chn 5 : Knh s 3,
+ Chn 8: Knh s 4,
+ Chn 7: Knh s 5,
+ Chn 1 0 : Knh s 6 +
Chn 9: Knh s 7.

5.2. a ch v gn a ch
Trong PLC cc a ch cn gi thng tin n hoc ly thng tin i u phi c a
ch lin lc. a ch l con s hoc t hp cc con s i theo sau ch ci. Ch ci
ch loi a ch, con s hoc t hp con s ch s hiu a ch.
Trong PLC c nhng b phn c gn a ch n nh b thi gian (T), b m
(C) v c (F), ch cn mt trong 3 ch ci km theo mt s l , v d: T1, C32,
F6...
Cc a ch u vo v u ra cng vi cc module chc nng c a ch phc,
cch gn a ch ging nhau. Xt cch gn a ch cho cc u vo, ra.
C hai loi u vo ra:
+ u vo ra trn khi c bn (gn lin vi CPU), cc u vo ra ny c a ch
60
khng i, vi S5-95U l I32.0 n I33.7, Q32.0 n Q33.3,
+ u vo ra trn cc module m rng th a ch ph thuc vo v tr lp t ca
module trn panen. Ch lp module trn panen gi l khe (slot), cc khe u c nh
s, khe s 0 ng lin vi n v c bn v c th tip tc.
1. a ch vo/ra trn module s
Khi lp module s vo ra ln mt khe no lp tc n c mang s hiu ca khe
. Trn mi module th mi u vo ra l mt knh, cc knh u c nh s. a
ch ca mi u vo ra l s ghp ca s hiu khe v knh, s hiu khe ng trc, s
hiu knh ng sau, gia hai s c du chm. S hiu khe v knh nh hnh 5. 3 .
V d: a ch ca knh s 2 trn module cm vo khe s 0 l 0.2.
Khe s: 0 1 2 3 ...
n
v c
bn
0
1
:
7
0
1
:
7
0
1
:
7
0
1
:
7
Hnh 5.3. S hiu khe v knh trn module s
Mi u vo ra trn module s ch th hin c ti mt thi im mt trong hai
trng thi "1" hoc "0". Nh vy, mi knh ca module s ch c biu din bng
mt bt s liu, v vy a ch ca knh trn module s cn c gi l a ch bt, mi
module mang nhiu knh tc l cha nhiu bt, thng l 8 bt hay mt byte, v vy a
ch khe cn gi l a ch byte.
Module s c th c lp trn bt k khe no trn panen ca PLC.
2. a ch vo ra trn module tng t
din t mt gi tr tng t phi cn nhiu bt. Trong PLC S5 ngi ta dng
16 bt (mt word). Cc lnh tng t c th c gn a ch byte hoc a ch word
khi dng lnh np hoc truyn.
Ch c th lp module tng t vo khe 0 n 7. Mi khe c 4 knh, mi knh
mang 2 a ch nh s l 64 + 65 (u khe 0) n 126 + 127 (cui khe 7) nh
hnh 5.4.
Nh vy, mi knh mang a ch ring khng km theo a ch khe, c a ch
knh l bit n nm khe no.
V d: Mt module tng t lp vo khe s 2 trn knh s 0 mang a ch byte 80
v 81.
Khe s: 0 1 2 3 4 5 6
n
v c
bn
64+65
66+67
68+69
70+ 71
72+73
74+75
76+77
78+79
80+81
82+83
84+85
86+87
88+89
90+91
92+93
94+95
96+97
98+99
100+l01
102+103
l04+l05
106+107
l08+l09
110+111
112+113
114+115
116+117
118+119
120+121
122+123
124+125
126+127
Hnh 5.4. a ch module tng t
61
Ch : Cc khe trng bao gi cng c trng thi tn hiu "0".

5.3. Vng i tng
TT Tn tham s Din gii Vng tham s
1 ACCUM 1 c quy 1
2 ACCUM2 c quy 2
3 BN Hng s byte -127 n 127
4 C B m - C nh
- Khng nh
0 n 7
8 n 127
5 CC0/CC1 M iu kin 1 v m iu kin 2
6 D S liu dng bt 0.0 n 255.15
7 DB Khi s liu 2 n 255
8 DL T (word) d liu tri 0 n 255
9 DR T (word) d liu phi 0 n 225
10 DW T (word) d liu 0 n 255
11 F C - C nh
- Khng nh
0.0 n 63.7
64.0 n 255.7
12 FB Khi hm 0 n 255
13 FW T (word) c - C nh
- Khng nh
0 n 62
64 n 254
14 FY T (word) byte - C nh
- Khng nh
0 n 63
64 n 255
15 I u vo bt 0.0 n 127.7
16 IB u vo byte 0 n 127
17 Iw u vo t (word) 0 n 126
18 KB Hng s 1 byte 0 n 255
19 KC Hng s m 0 n 999
20 KF Hng s -32768 n 32677
21 KH Hng s dng c s 16 0000 n FFFF
22 KM Hng s bt dng byte Mi byte 16 bt
23 KS Hng s cho k t 2 k t ASCII
24 KT Hng s cho thi gian 0.0 dn 999.3
25 KY Hng s 0 n 255 cho mi byte
26 OB Khi t chc (khi c bit: 1, 3, 13, 21,
31, 34, 251)
0 n 255
27 PB Khi chng trnh 0 n 255
28 PB/PY m ngoi vi vo ra 0 n 127
29 PII B m u vo
30 PIQ B m u ra

62
TT Tn tham s Din gii Vng tham s
31 PW m ngoi vi dng t (word) 0 n 125
32 Q u ra bt 0.0 n 127.7
33 QB u ra dng byte 0 n 127
34 QW u ra dng t (word) 0 n 125
35 RS Vng s liu h thng 0 n 255
36 SB Khi dy 0 n 255
37 T B thi gian 0 n 127

5.4. Cu trc ca chng trnh S5
1. Cu trc chng trnh
Cc chng trnh iu khin vi PLC S5 c th c vit dng n khi hoc
a khi.
Chng trnh n khi
Chng trnh n khi ch vit cho cc cng vic t ng n gin, cc lnh
c vit tun t trong mt khi. Khi vit chng trnh n khi ngi ta dng khi
OBI. B PLC qut khi theo chng trnh, sau khi qut n lnh cui cng n quay tr
li lnh u tin.
Chng trnh a khi (c cu trc)
Khi nhim v t ng ho phc tp ngi ta chia chng trnh iu khin ra
thnh tng phn ring gi l khi. Chng trnh c th xp lng khi ny vo khi kia.
Chng trnh ang thc hin khi ny c th dng lnh gi khi sang lm vic
vi khi khc, sau khi kt thc cng vic khi mi n quay v thc hin tip
chng trnh tm dng khi c.
Ngi lp trnh c th xp lng khi ny vo khi kia thnh lp, ti a l 16 lp
Nu s lp vt qu gii hn th PLC t ng v trng thi ban u.
2. Khi v on (Block and Segment)
Cu trc mi khi gm c:
+ u khi gm tn khi, s hiu khi v xc nh chiu di khi.
+ Thn khi: Th hin ni dung khi v c chia thnh on (Segment) thc
hin tng cng on ca qu trnh t ng ho sn xut. Mi on li bao gm mt s
dng lnh phc v vic gii bi ton logic. Kt qu ca php ton logic c gi vo
RLO (Result of logic operation). Vic phn chia chng trnh thnh cc on cng nh
hng n RLO. Khi bt u mt on mi th to ra mt gi tr RLO mi, khc vi
gi tr RLO ca on trc.
+ Kt thc khi: Phn kt thc khi l lnh kt thc khi BE.
Cc loi khi:
63
* Khi t chc OB (Organisation Block):
Khi t chc qun l chng trnh iu khin v t chc vic thc hin chng
trnh
* Khi chng trnh PB (Program Block):
Khi chng trnh sp xp chng trnh iu khin theo chc nng hoc cc kha
cnh k thut.
* Khi dy SB (Sequence Block):
Khi dy l loi khi c bit c iu khin theo chng trnh dy v c x
l nh khi chng trnh.
* Khi chc nng FB (Function Block):
Khi chc nng l loi khi c bit dng lp trnh cc phn chng trnh iu
khin ti din thng xuyn hoc c bit phc tp. C th gn tham s cho cc khi
v chng c mt nhm lnh m rng.
* Khi d liu DB (Dm Block) :
Khi d liu lu tr cc d liu cn thit cho vic x l chng trnh iu khin.

5.5. Bng lnh ca S5 - 95U
Cc lnh ca chng trnh S5 c chia thnh ba nhm l:
1. Nhm lnh c bn
Nhm lnh c bn gm nhng lnh s dng cho cc chc nng, thc hin trong
cc khi t chc OB, khi chng trnh PB, khi dy SB v khi chc nng FB. Ngoi
tr hai lnh s hc +F v -F ch c biu din bng phng php dy lnh STL, cn
li tt c cc lnh c bn khc u c th c biu din bng c ba phng php l
bng lnh STL, lu iu khin CSF v biu bc thang LAD.
2. Nhm lnh b tr
Nhm lnh b tr bao gm cc lnh s dng cho cc chc nng phc tp, v d
nh cc lnh thay th, cc chc nng th nghim, cc lnh dch chuyn hoc chuyn
i...
Cc lnh b tr dng trong khi chc nng v c biu din bng phng php
bng lnh STL. Ch c rt t lnh c s dng phng php lu .
3. Nhm lnh h thng
Cc lnh h thng c php thm nhp trc lip vo h thng iu hnh v ch
c th c biu din bng phng php bng lnh STL. Ch khi thc s am hiu v
h thng mi nn s dng cc lnh h thng.
Din di ca cc lnh xem phn "Bng lnh" ph lc 2.

64
5.6. C php mt s lnh c bn ca S5
1. Nhm lnh logic c bn
Khi thc hin lnh u tin ca mt lot php ton logic th ni dung ca i
tng lnh c ly vo s c np ngay vo RLO (kt qu ca php ton logic) m
khng cn thc hin php ton.
i tng ca cc lnh logic l: I, Q, F, T, C
1.1 Lnh A
Lp trnh dng STL (c th lu trnh dng LAD v kim tra li dng STL).

+ n Enter tr v mn hnh Output.
+ n Shift-F5 Xem dng LAD v CSF, dng LAD nh hnh 5.6.
+ n Shift-F7 ct chng trnh v chng trnh sang PLC, chn yes xc
nhn vic chng trnh ln chng trnh c trong PLC (khi ct th PLC phi
ch STOP).
+ Bt cng tc ca CPU v ch RUN chy chng trnh.
1.2. Lnh AN
Lp trnh dng STL
A I 32.0
AN I 32.1
A I 32.2
= Q 32.0
BE
1.3. Lnh O
Lp trnh dng STL
O I 32.0
O I 32.1
O I 32.2
= Q 32.0
BE
1.4. Lnh ON
Lp trnh dng STL
O I 32.0
65
ON I 32.1
O I 32.2
= Q 32.0
BE
1.5. Lnh O gia hai lnh A
Lp trnh dng STL
A I 32.0
A I 32.1
O
A I 32.2
A I 32.3
= Q 32.0
BE
1.6. Lnh "(" v lnh ")"
Lp trnh dng STL
O I 32.0
O
A I 32.1
A(
O I 32.2
O I 32.3
= Q 32.0
BE
2. Nhm lnh set v reset
Cc lnh set v reset lu gi hoc xo b kt qu ca php ton logic c
hnh thnh trong b x l.
i tng ca cc lnh ny l I, Q, F.
V d l:
A I 32.0
S Q 32.0
A I 32.1
R Q 32.0
NOP0
Khi u vo I32.0 c th u ra Q32.0 c v c gi li cho d I32.0 mt, ch
khi I32.l c th li xo nh lm Q32.0 v khng.
66
Lnh NOP 0 l lnh gi ch cho
phng php LAD. V c u ra Q cha
dng, mun phng php LAD v c hnh
th phi a lnh NOP 0 vo.
V d 2:
A I 32.0
R F 17
A I 32.1
S F 17
A F 17
= Q 32.0
y l v d v lnh st tri, v khi I32.0 c trng thi 1 th n s xo trng thi
tn hiu trn c F17 v "0" cho n khi I32.1 c trng thi 1 th n s t trng thi 1
cho c F17 sau khng ph thuc I32.0 na. Khi c nhn trng thi 1 th s gn cho
u ra Q32.0 trng thi 1. Khi c I32.0 v I32.l cng c trng thi 1 th c s c trng
thi 1 v lnh st sau, gi l u tin st.
3. Nhm lnh np v truyn
Lnh np v truyn trao i thng tin gia cc vng i tng lnh khc nhau.
Lnh np v truyn chun b gi tr thi gian v gi tr m cho cc lnh thi
gian v lnh m, np hng s phc v vic x l chng trnh.
Lng thng tin c np v truyn thng qua hai thanh ghi tch lu ACCU1 v
ACCU2. Thanh ghi tch lu l thanh ghi c bit trong PLC dng lu tr tm thi
cc thng tin. Mi thanh ghi c di 16 bt.
C th np hoc truyn cc i tng theo byte hoc t (word). trao i theo
byte, thng tin lu tr trong byte phi tc l byte thp ca thanh ghi, s bt cn tha
(ngoi 8 bt) c t khng. C th dng cc lnh khc nhau x l cc thng tin
trong hai thanh ghi.
Cc lnh thuc nhm ny l:
Lnh np L: Ni dung ca i tng (n v byte) c chp vo ACCU1 khng
ph thuc vo RLO v RLO cng khng b nh hng. Ni dung trc ca ACCU1
c chuyn dch sang ACCU2, ni dung c ca ACCU2 s b mt.

67
V d: Np lin tip IB7 v IB8 t vng m PII vo thanh ghi tch lu, c s np
nh hnh 5.14.
Lnh truyn T: Ni dung ca ACCU1 c gn cho i tng lnh khng ph
thuc RLO v RLO cng khng b nh hng. Khi truyn th thng tin t ACCU1
c chp vo vng nh c a ch ho (v d vng m u ra PIQ). Ni dung
ca ACCU1 khng b mt. Gi tr trc ca vng m u ra PIQ b mt. M t
lnh nh hnh 5.15.
Lnh LD: S m v s thi gian c np vo ACCU1 dng m BCD, khng
ph thuc vo RLO v RLO cng khng b nh hng.

Hnh 5.15. Lnh truyn
i tng ca cc lnh ny l:
+ Lnh L: IB, IW, QB, QW, FY, FW, DR, DL, DW, PB/PY, PW, T, C, KM, KH,
KF, KY, KB, KS, KT, KC.
+ Lnh T: IB, IW, QB, QW, FY, FW, DR, DL, DW, PB/PY, PW.
+ Lnh LD: T, C.
4. Nhm lnh thi gian
Chng trnh iu khin s dng cc lnh thi gian theo di, kim sot v
qun l cc hot ng c lin quan n thi gian.
4.1. Np gi tr thi gian
Khi mt b thi gian c khi pht th ni dung trong ACCU1 (dng t 16 bt)
c dng lm gi tr tnh thi gian. Do , mun dng cc lnh thi gian phi np gi
tr thi gian cn t vo ACCU1 trc khi b thi gian hot ng.
C th np cc kiu d liu sau dng cho cc lnh thi gian:
+ KT: gi tr thi gian hng s.
+ DW: t (word) d liu.
+ IW: t (word) u vo.
+ QW: t (word) u ra.
+ FW: t (word) c.
Tr loi KT cc loi cn li phi dng m BCD.
Np thi gian hng s: L KT 40.2
Trong lnh c: KT ch r l hng s.
S 40: h s (c th gn t 0 n 999).
68
S 2: l m, c 4 m: 0 tng ng 0,01s;
1 tng ng 0,1s;
2 tng ng 1s;
3 tng ng 10s.
Vi s trn th thi gian c tnh l t = 40 x 1s = 40s .
M cng nh th gi tr thi gian cng chnh xc, v vy nn dng m nh.
Np thi gian di dng u vo, u ra, hoc t d liu: V d mun np mt
gi tr thi gian t mt t d liu DW2 vo ACCU1, vit lnh sau:
L DW2
Nh vy, trc khi thc hin lnh ny th gi tr thi gian c lu sn trong
t d liu DW2 di dng m BCD.
V d trong DW2 c cc s nh hnh 5.16:

M thi gian cng c s dng nh trn.
t = 638 x 1s = 638s .
Vy, trc khi dng lnh np trn phi dng chng trnh iu khin vit gi
tr thi gian vo t d liu DW2. V d vit gi tr thi gian 27s vo t d liu DW2
trong khi DB3 ri sau np vo ACCU1 nh sau:
C DB3
L KT 270. 1
T DW2

L DW2
4.2. c gi tr thi gian hin hnh
C th dng hai lnh L v LD a gi tr thi gian hin hnh ca b thi gian
T vo ACCU1 x l.
L Tl % c gi tr thi gian dng nh phn.
LD Tl % c gi tr thi gian dng BCD.
Ch : Lnh L v T i vi T v C th bao gi cng c gi tr nh phn cn i vi cc
i tng khc th cng c th c gi tr nh phn hoc dng BCD tu theo trng
hp c th.
4.3. Cc lnh
69
1. B thi gian xung SP
B thi gian c khi pht ln 1 ti si ln ca RLO khi RLO l 1 th b thi
gian vn duy tr trng thi 1 cho n khi t gi tr t mi xung. Nhng khi RLO v
khng th b thi gian v khng ngay.
Lp trnh dng STL (c th lp trnh dng LAD v kim tra li dng STL).
A I 32.0
L KT 500.0
NOP 0
NOP 0
NOP 0
A T 1
= Q 32.0
BE

Hnh 5.17. Gin thi gian v dng LAD lnh SP
Khi lp trnh cn ba chn R, BI v DE cha s dng phi dng lnh NOP gi
ch. Chn R l chn xo gi tr thi gian hin hnh, chn BI l chn ly gi tr
thi gian hin thi dng nh phn, chn DE l chn ly gi tr thi gian hin thi
dng m BCD, c th dng lnh L hoc LD c cc gi tr thi gian.
2. B thi gian m rng SE
B thi gian xung m rng SE c khi pht ln 1 ti sn ln ca RLO sau
khng ph thuc RLO na cho n khi thi gian t mi v khng.
Lp trnh dng STL
C DB 3
L KT 500.0
T IW 16
A I 33.0
L IW 16
SE T 2
NOP0
NOP0
70
NOP0
A T2
= Q 33.0
BE
3. B thi gian bt u tr SD
Thi gian bt u chm hn so vi sn ln ca RLO mt khong bng thi gian
t trong lnh. Khi RLO v khng th b thi gian cng b t ngay v khng.
Lp trnh dng STL.
C DB 3
L KT 50.1
T FW 16
A I 33.0
L F W16
NOP0
NOP0
NOP0
= Q 33.0
BE
4. B thi gian bt u tr lu tr SS
Thi gian bt u chm hn so vi
sn ln ca RLO mt khong thi gian
bng thi gian t trong lnh v sau
khng ph thuc RLO na. N ch v
khng khi c lnh xo R.
A I 33.0
L KT 500.0
SS T 4
A I 32.0
R T 4
NOP 0
NOP 0
A T 4
= Q 32.0
BE
5. B thi gian tt tr SF
71
B thi gian ln 1 ti sn ln ca RLO. Khi RLO v khng th b thi gian tip
tc duy tr trng thi mt khong thi gian na bng khong t trong lnh ri mi
v khng. xo thi gian dng lnh R, khi c lnh R t 0 ln 1 th b thi gian c
t v khng v trng thi tn hiu vn gi 0 cho n khi b thi gian c khi pht
li.
A I 33.0
L KT 50.1
SF T 4
NOP 0
NOP 0
NOP 0
A T 4
= Q 33.0
BE

5. Nhm lnh m
5.1. Np gi tr m
Cng nh b thi gian khi mt b m c khi pht th ni dung trong
ACCU1 (dng t 16 bt) c dng lm gi tr m. Do , mun dng cc lnh m
phi np gi tr m vo ACCU1 trc khi b m hot ng.
C cc kiu d liu sau dng cho cc lnh m:
+ KC: gi tr hng s.
+ DW: t (word) d liu.
+ IW: t (word) u vo.
+ QW: t (word) u ra.
+ FW: t (word) c.
Tr loi KC cc loi cn li phi dng m BCD.
Np gi tr m hng s. L KC 38
S m t 0 n 999
72
Np s m di dng u vo, u ra, hoc t d liu: V d mun np mt gi
tr m t mt t d liu DW2 vo ACCU1, vit lnh sau:
L DW2
Nh vy, trc khi thc hin lnh ny th gi tr m c lu sn trong t d
liu DW2 di dng m BCD.
V d trong DW2 c cc s nh hnh 5.22:

Vi lnh trn th s 638 c np vo DW2.
i tng ca lnh: C hai lnh m ch c mt i tng l b m C vi cc
s hiu tu thuc loi PLC.
5.2. Chun b thc hin cc lnh m
+ t b m: Sau khi np gi tr m dng lnh S cho b m lm vic.
+ Xo b m: Khi m ti mt gi tr no dng lnh R xo, tc l
ngng m v a gi tr m v khng, nu khng dng lnh ny khi m gi tr
t b m gi nguyn trng thi khng v khng.
+ Qut b m: Dng lnh logic boole qut b m (v d lnh A). Nu b
m cha v khng th kt qu qut c trng thi 1 .
+ Xut ra trng thi b m hin hnh: C th dng lnh L v LD a trng
thi b m hin hnh vo ACCU1 x l sau ny, lnh L dng cho s nh phn,
lnh LD dng cho s BCD.
4.3. Cc lnh
1. Lnh m xung CD
S m gim i mt n v
lc xut hin mt sn ln ca
RLO. Khi RLO v khng s m
khng b nh hng.
A I 32.1
CD C 1
NOP 0
A I 32.2
L CK 7
S C 1
NOP 0
73
NOP 0
NOP 0
A C 1
BE
Chn BI l chn ly gi tr m hin thi dng nh phn, chn DE l chn
ly gi tr m hin thi dng m BCD, c th dng lnh L hoc LD c cc gi tr
m.
2. Lnh m ln CU
S m tng mt n v lc xut hin sn ln ca RLO. Khi RLO v khng s
m khng bi nh hng.
A I 32.1
CU C 1
NOP0
NOP0
NOP0
A I 33.1
R C 1
NOP 0
NOP 0
A C 1
= Q 33.1
BE
74
CHNG 6: B IU KHIN PLC - S7-20

6.1. Cu hnh cng
PLC Step 7 thuc h Simatic do hng Siemens sn xut. y l loi PLC hn
hp va n khi va a khi. Cu to c bn ca loi PLC ny l mt n v c bn
sau c th ghp thm cc module m rng v pha bn phi. C cc module m
rng tiu chun. Nhng module ngoi ny bao gm nhng n v chc nng m c th
t hp li cho ph hp vi nhng nhim v k thut c th.
1. n v c bn
1.1. Cu trc n v c bn
n v c bn ca PLC S7-200 (CPU 3 14) nh hnh 6. 1

Trong :
1. Chn cm cng ra,
2. Chn cm cng vo,
3. Cc n trng thi:
SF (n ): Bo hiu h thng b hng,
RUN (n xanh): Ch nh rng PLC ang ch lm vic,
STOP (n vng): Ch nh rng PLC ang ch dng,
4. n xanh cng vo ch nh trng thi tc thi ca cng vo,
5. Cng truyn thng,
6. n xanh cng ra ch nh trng thi tc thi ca cng ra,
7. Cng tc.
Ch lm vic: Cng tc chn ch lm vic c ba v tr
+ RUN: cho php PLC thc hin chng trnh trong b nh. PLC s t chuyn
75
v trng thi STOP khi my c s c, hoc trong chng trnh gp lnh STOP, do
khi chy nn quan st trng thi thc ca PLC theo n bo.
+ STOP: cng bc PLC dng cng vic ang thc hin, chuyn v trng thi
ngh. ch ny PLC cho php hiu chnh li chng trnh hoc np mt chng
trnh mi.
+ TERM: cho php PLC t quyt nh mt ch lm vic (hoc RUN hoc
STOP)
Chnh nh tng t: Nm iu chnh tng t t di np y cnh cng ra,
nm iu chnh tng t cho php iu chnh tn hiu tng t vi gc quay c
270
o
.
Pin v ngun nui b nh: Ngun pin c t ng chuyn sang trng thi tch
cc khi dung lng nh b cn kit v n thay th ngun d liu khng b mt.
Cng truyn thng: S7-200 s dng cng truyn thng ni tip RS 485 vi phch
cm 9 chn phc v cho vic ghp ni vi thit b lp trnh hoc vi cc PLC khc.
Tc truyn cho my lp trnh kiu PPI l 9600 boud. Cc chn ca cng truyn
thng l:
1. t
2. 24v DC
3. truyn v nhn d liu
4. khng dng
5. t
6. 5v DC (in tr trong 100
7. 24v DC (1 20 ma)
8. truyn v nhn d liu
9. khng dng.
1.2. Thng s
Vi CPU 214:
+ 14 cng vo v 10 cng ra logic, c th m rng thm 7 module bao gm c
module analog,
+ Tng s cng vo v ra cc i l: 64 vo, 64 ra,
+ 2048 t n (4 Kbyte) thuc min nh c/ghi khng i lu chng trnh
(vng nh giao din vi EFROM),
+ 2048 t n (4 Kbyte) thuc min nh c/ghi ghi d liu, trong c 512
t u thuc min khng i,
+ 128 b thi gian (times) chia lm ba loi theo phn di khc nhau: 4 b 1ms
16 b 10 ms v 108 b 100 ms,
76
+ 128 b m chia lm hai loi: ch m tin v va m tin va m li,
+ 688 bt nh c bit thng bo trng thi v t ch lm vic,
+ Cc ch ngt v x l ngt gm: ngt truyn thng, ngt theo sn ln hoc
xung, ngt thi gian, ngt ca b m tc cao v ngt truyn xung,
+ Ba b m tc cao vi nhp 2 KHZ v 7 KHZ,
+ 2 b pht xung nhanh cho dy xung kiu I7ro hoc kiu PWM,
+ 2 b iu chnh tng t,
+ Ton b vng nh khng b mt d liu trong khong thi gian 190
h
khi PLC
b mt ngun cung cp.
Vi CPU 212:
+ 8 cng vo v 6 cng ra logic, c th m rng thm 2 module bao gm c
module analog,
+ Tng s cng vo v ra cc i l: 64 vo, 64 ra,
+ 512 t n (lkbyte) thuc min nh c/ghi khng i lu chng trnh
(vng nh giao din vi EFROM),
+ 512 t n lu d liu, trong c 100 t nh c/ghi thuc min khng i,
+ 64 b thi gian tr (times) trong : 2 b 1 ms, 8 b 10 ms v 54 b 100 ms,
+ 64 b m chia lm hai loi: ch m tin v va m tin va m li,
+ 368 bt nh c bit thng bo trng thi v t ch lm vic,
+ Cc ch ngt v x l ngt gm: ngt truyn thng, ngt theo sn ln hoc
xung, ngt thi gian, ngt ca b m tc cao v ngt truyn xung,
+ Ton b vng nh khng b mt d liu trong khong thi gian 50h khi PLC b
mt ngun cung cp.
2. Cc module vo ra m rng
Khi qu trnh t ng ho i hi s lng u v u ra nhiu hn s lng sn
c trn n v c bn hoc khi cn nhng chc nng c bit th c th m rng n v
c bn bng cch g thm cc module ngoi. Ti a c th g thm 7 module vo ra
qua 7 v tr c sn trn panen v pha phi. a ch ca cc v tr ca module c xc
nh bng kiu vo ra v v tr ca module trong rnh, bao gm c cc module cng
kiu. V d mt module cng ra khng th gn a ch module cng vo, cng nh
module tng t khng th gn a ch nh module s v ngc li.
Cc module s hay ri rc u chim ch trong b m, tng ng vi s u
vo ra ca module.
Cch gn a ch c th hin trn hnh 6.3.
77
CPU 214 Module 0 Module 1 Module 2 Module 3 Module 4
(4 vo, 4 ra) (8 vo) analog (8 ra) analog
(3 vo, 1 ra) (3vo,1 ra)
IO.0 QO.O
IO.1 QO.1
IO.2 QO.2
IO.3 QO.3
IO.4 QO.4
IO.5 QO.5
IO.6 QO.6
IO.7 QO.7
I1.0 Q1.0
I1.1 Ql.l
I1.2
I1.3
I1.4
I1.5
I2.0
I2.1
I2.2
I2.3

Q2.0
Q2.1
Q2.2
Q2.3
I3.0
I3.l
I3.2
I3.3
I3.4
I3.5
I3.6
I3.7
AIW0
AIW2
AIW3
AIW4

AQWO
Q3.0
Q3.l
Q3.2
Q3.3
Q3.4
Q3.5
Q3.6
Q3.7
AIW8
AIW10
AIW 12

AQW4
Hnh 6.3. a ch cc module m rng ca S7-200

6.2. Cu trc b nh
B nh ca PLC S7-200 c chia thnh 4 vng chnh l:
1. Vng nh chng trnh
Vng nh chng trnh l min b nh c s dng lu gi cc lnh chng
trnh. Vng ny thuc kiu khng i (non-volatile) c / ghi c.
2. Vng tham s
Vng tham s lu gi cc tham s nh: t kho, a ch trm... vng ny thuc
vng khng i c / ghi c.
3. Vng d liu
Vng d liu ct cc d liu ca chng trnh gm kt qu ca cc php tnh,
cc hng s trong chng trnh.... vng d liu l min nh ng, c th truy nhp
theo tng bt, byte, t (word) hoc t kp.
Vng d liu c chia thnh cc vng nh nh vi cc cng dng khc nhau
l:
Tham s
STT Tn tham s Din gii
CPU 212 CPU214
1 V L min c ghi
0.0 1023.7 0.0 4095.7
2 I m cng vo
0.0 7.7 0.0 7.7
3 Q m cng ra
0.0 7.7 0.0 7.7
4 M Vng nh ni
0.0 15.7 0.0 31.7
5 SM ch c Vng nh c bit
0.0 29.7 0.0 29.7
6 SM c/ghi Vng nh c bit
30.0 45.7 30.0 85.7

78
a ch truy nhp c quy c vi cng thc:
* Truy nhp theo bt:
Tn min + a ch byte . ch s bt.
V d : V 150.4 l a ch bt s 4 ca byte 150 thuc min V
* Truy nhp theo byte:
Tn min + B v a ch byte.
V d: VB150 l a ch byte 150 thuc min V.
* Truy nhp theo t (word):
Tn min + W v a ch byte cao ca t.
V d: VW150 l a ch t n gm hai byte 150 v 151 thuc min V, trong byte
150 c vai tr byte cao ca t.
* Truy nhp theo t kp :
Tn min + D v a ch byte cao ca t.
V d : VD150 l a ch t kp gm bn byte 150, 151, 152 v 153 thuc min V,
trong byte 150 c vai tr byte cao, 153 c vai tr l byte thp ca t kp.
Tt c cc byte thuc vng d liu u c th truy nhp bng con tr. Con tr
c nh ngha trong min V hoc cc thanh ghi AC1, AC2, AC3. Mi con tr ch a
ch gm 4 byte (t kp). Quy c s dng con tr truy nhp nh sau:
& + a ch byte cao
V d: + AC1 = &VB150 l thanh ghi AC1 cha a ch byte 150 thuc min V.
+ VD100 = &VW150 l t kp VD100 cha a ch byte cao ca t n
VW150 thuc min V.
+ AC2 : &VD150 l thanh ghi AC2 cha a ch byte cao 150 ca t kp VD150
thuc min V.
Ton hng * (con tr): l ly ni dung ca byte, t hoc t kp m con tr ang
ch vo. Vi cc a ch xc nh trn c cc v d:
V d: + Ly ni dung ca byte VB150 l: *ACI.
+ Ly ni dung ca t n VW150 l: *VD100.
+ Ly ni dung ca t kp VD150 l: *AC2.
Php gn a ch v s dng con tr nh trn cng c tc dng vi nhng thanh
ghi 16 bt ca b thi gian, b m thuc i tng.
4. Vng i tng
Vng i tng lu gi d liu cho cc i tng lp trnh nh cc gi tr tc
thi, gi tr t trc ca b m, hay b thi gian. D liu kiu i tng bao gm
cc thanh ghi ca b thi gian, b m, cc b m cao tc, b m tng t v cc
thanh ghi AC.
79
Kiu d liu i tng b hn ch rt nhiu v cc d liu kiu i tng ch
c ghi theo mc ch cn s dng ca i tng .
Din gii Tham s
TT
Tn
tham s CPU 212 CPU 214
1 ACO c quy 0 (khng c kh nng lm con tr)
2 AC c quy
1 3 1 3
3 C B m
0 63
0 n 127
4 HSC B m tc cao 0 n 2
5 AW B m cng vo tng t
0 30
0 n 30
6 AQW B m cng ra tng t
0 30
0 n 30
7 T B thi gian
0 63
0 n 127

6.3. Chng trnh ca S7-200
1. Cu trc chng trnh S7-200
Cc chng trnh iu khin PLC
S7-200 c vit c cu trc bao gm
chng trnh chnh (main program) sau
n cc chng trnh con v cc
chng trnh s l ngt nh hnh 6.4
- Chng trnh chnh c kt
thc bng lnh kt thc chng trnh
MEND
- Chng trnh l mt b phn
ca chng trnh, chng trnh con
c kt thc bng lnh RET. Cc
chng trnh con phi c vit sau
lnh kt thc chng trnh chnh
MEND.
- Cc chng trnh x l ngt l
mt b phn ca chng trnh, cc chng trnh x l ngt c kt thc bng lnh
RETI. Nu cn s dng chng trnh x l ngt phi vit sau lnh kt thc chng
trnh chnh MEND.
Cc chng trnh con c nhm li thnh mt nhm ngay sau chng trnh
chnh, sau n ngay cc chng trnh x l ngt. C th t do trn ln cc chng
trnh con v chng trnh x l ngt ng sau chng trnh chnh.
2. Bng lnh ca S7-200
Xem phn ph lc 2.


80
6.4. Lp trnh mt s lnh c bn ca S7-200
1. Lnh LD v lnh A
Lp trnh dng STL
LD I 0.0
A I 0.1
A I 0.2
= Q 1.0
2. Lnh AN
Lp trnh dng STL
LD I 0.0
AN I 0.1
A I 0.2
= Q 1.0
3. Lnh O
Lp trnh dng STL
LD I 0.0
O I 0.1
O I 0.2
= Q 1.0
4. Lnh ON
Lp trnh dng STL
LD I 0.0
` ON I 0. 1
O I 0.2
= Q 1.0
5. Lnh OLD
Lp trnh dng STL
LD I 0.0
A I 0.1
LD I 0.2
OLD
= Q 1.0
6. Lnh ALD
Lp trnh dng STL
81
LD I 0.0
LD I 0.1
O I 0.2
ALD
= Q 1.0
7. Lnh LPS, LRD,LPP
Lp trnh dng STL
LD I 0.0
LD I 0.1
O I 0.2
ALD
= Q 0.0
LRD
LD I 0.3
0 I 0.4
ALD = Q 0.1
LPP
AI 0.5 = Q 0.2
8. Lnh TON
NETWORK 1
LD I0.0
AN I0.1
ION T32, VW0
NETWORK 2
LD T32 = Q0
9. Lnh TONR
NETWORK 1
LD I0.0
AN I0.1
TONR T32, VW0
NETWORK 2
LD T32 = Q0.0
10. Lnh CTU
NETWORK 1
LD I0.0
82
LD I0.2
CTU C0, +12
NETWORK 2
LD C0 = Q0.0
11. Lnh CTUD
NETWORK 1
LD I0.0
LD I0.2
LD I0.1
CTUD C48, VW0
NETWORK 2
LD C0 = Q0.0

83
CHNG 7: B IU KHIN PLC - S7-300

7.l. Cu hnh cng

1. Cu to ca h PLC- S7-300
PLC Step S7-300 thuc h Simatic do hng Siemcns sn xut. y l loi PLC
a khi. Cu to c bn ca loi PLC ny l mt n v c bn (ch x l) sau
ghp thm cc module m rng v pha bn phi, c cc module m rng tiu chun.
Nhng module m rng ny bao gm nhng n v chc nng m c th l hp li cho
ph hp vi nhng nhim v k thut c th.
1.1. n v c bn
n v c bn ca PLC S7-300 nh hnh 7. 1.

Trong :
Cc n bo:
+ n SF: bo li CPU,
+ n BAF: bo ngun c quy,
+ n DC 5v: Bo ngun 5v,
+ n RUN: Bo ch PLC ang lm vic,
+ n STOP: Bo PLC dang ch dng.
2. Cng tc chuyn i ch :
+ RUN-P: Ch va chy va sa chng trnh,
+ RUN: a PLC vo ch lm vic,
+ STOP: PLC ch ngh,
+ MRES: V tr ch nh ch xo chng trnh trong CPU.
84
Mun xo chng trnh trong PLC th gi nt bm v v tr MRES n STOP
nhp nhy, khi thi khng nhp nhy th nh nhanh tay. Lm li nhanh mt ln na
(khng n STOP) nu n vng nhy nhiu ln l xong, nu khng th phi lm
li.
1.2. Cc kiu module
Tu theo qu trnh t ng ho i hi s lng u vo v u ra m phi lp
thm bao nhiu module m rng cng nh loi module cho ph hp. Ti a c th g
thm 32 module vo ra trn 4 panen (rnh), trn mi panen ngoi module ngun, CPU
v module ghp ni cn g c 8 cc module v bn phi. Thng Step 7- 300 s
dng cc module sau:
+ Module ngun PS,
+ Module ghp ni IM (Intefare Module),
+ Module tn hiu SM (Signal Module):
- Vo s cc loi: 8 knh, 16 knh, 32 knh,
- Ra s cc loi: 8 knh, 16 knh, 32 knh,
- Vo ra s cc loi: 8 knh vo 8 knh ra, 16 knh vo 16 knh ra,
- Vo tng t cc loi: 2 knh, 4 knh, 8 knh,
- Ra tng t cc loi: 2 knh, 4 knh, 8 knh,
- Vo, ra tng t cc loi: 2 knh vo 2 knh ra, 4 knh vo 4 knh ra,
+ Module hm (Function Module),
- m tc cao,
- Truyn thng CP 340, CP340- 1, CP341,
+ Module iu khin (Control Module):
- Module iu khin PID,
- Module iu khin Fuzzy,
- Module iu khin r bt,
- Module iu khin ng c bc,
- Module iu khin ng c servo.
2. a ch v gn a ch
Trong PLC cc b phn con gi thng tin n hoc ly thng tin i u phi c
a ch lin lc. a ch l con s hoc t hp cc con s i theo sau ch ci. Ch
ci ch loi a ch, con s hoc t hp con s ch s hiu a ch.
Trong PLC c nhng b phn c gn a ch n nh b thi gian (T), b m
(C).... ch cn mt trong 3 ch ci km theo mt s l , v d:: T1, C32...
Cc a ch u vo v u ra cng vi cc module chc nng c cch gn a ch
ging nhau. a ch ph thuc vo v tr g ca module trn panen. Ch g module
85
trn pancn gi l khe (Slot), cc khe u c nh s, khe s 1 l khe u tin ca v c
th tip tc.
. a ch vo ra trn module s:
Khi g module s vo ra ln mt khe no lp tc n c mng a ch byte ca
khe , mi khe c 4 byte a ch.
Trn mi module th mi u vo, ra l mt knh, cc knh u c a ch bt l 0
n 7. a ch ca mi u vo, ra l s ghp ca a ch byte v a ch knh, a ch
byte ng trc, a ch knh ng sau, gia hai s c du chm. Khi cc module g
trn khe th a ch c lnh t byte u ca khe, cc u vo v ra ca mt khe c
cng a ch. a ch byte v a ch knh nh hnh 7.2.

V d: Module 2 du vo, 2 u ra s g vo khe s 5 rnh 0 c a ch l 14.0,
I4.1 v Q4.0, Q4.1.
86
Module s c th c g trn bt k khe no trn panen ca PLC.
. a ch vo ra trn module tng t
din t mt gi tr tng t phi cn nhiu bt. Trong PLC S7-300 ngi ta
dng 16 bt (mt word) cho mt knh. Mt khe c 8 knh vi a ch u lin l
PIW256 hoc PQW256 (byte 256 v 257) cho n PIW766 hoc PQW766 nh
hnh 7.3
Module tng t c th c g vo bt k khe no trn panen ca PLC.
V d: Mt module tng t 2 vo, 1 ra g vo khe s 6 rnh 0 c a ch l
PIW288, PIW290, PQW288.
Ch : Cc khe trng bao gi cng c trng thi tn hiu 0.

7.2. Vng i tng
1. Cc vng nh
Bng 7.1
TT Tn tham s Din gii vng tham s
1 I u vo bt 0.0 n 65535.7
2 IB u vo byte 0 n 65535
3 IW u vo t 0 n 65534
4 ID u vo t kp 0.0 n 65532
5 Q u ra bt 0 n 65535.7
6 QB u ra byte 0 n 65535
7 QW u ra t 0 n 65534
8 QD u ra t kp 0 n 65532
9 M Nh ni dng bt 0.0 n 255.7
10 MB Nh ni dng byte 0 n 255
11 MW Nh ni dng t 0 n 254
12 MD Nh ni dng t kp. 0 n 252
13 PIB Vng m u vo dng byle 0 n 65535
14 PIW Vng m u vo dng t 0 n 65534
15 PID Vng m u vo dng t kp 0 n 65532
16 PQB Vng m u ra dng byte 0 n 65535
17 PQW Vng m u ra dng t 0 n 65534
18 PQD Vng m u ra dng t kp 0 n 65532
19 T B thi gian 0 n 255
20 C B m 0 n 255
21 DBX Khi d liu kiu BD dng bt 0.0 n 65535.7
22 DBB Khi d liu kiu BD dng byte 0 n 65535
23 DBW Khi d liu kiu BD dng t 0 n 65534
24 DBD Khi d liu kiu BD dng t kp 0 n 65532
87
TT Tn tham s Din gii vng tham s
25 DIX Khi d liu kiu BI dng bt 0.0 n 65535.7
26 DIB Khi d liu kiu BI dng byte 0 n 65535
27 DIW Khi d liu kiu BI dng t 0 n 65534
28 DID Khi d liu kiu BI dng t kp 0 n 65532
29 L Vng d liu tm thi dng bt 0.0 n 65535.7
30 LB Vng d liu tm thi dng byte 0 n 65535
31 LW Vng d liu lm thi dng l 0 n 65534
32 LD Vng d liu tm thi dng t kp 0 n 65532

2. Nhp cc hng s
Cc hng s c vit gm phn u v tham s di lin nhau v d: B#16#1A l
s: vit dng byte, c s 16, gi tr l 1A tng ng c s thp phn l 26.
Cc hng s v thi gian c vit theo cc k hiu: D (Date) ngy_ H (Hours)
gi M (minuter) pht_ S (seconds) giy_ MS (milliseconds) mili giy v d
2D_23H_10M_50S_13MS l: 2 ngy, 23 gi, 10 pht, 50 giy, 13 mili giy.
Cc kiu vit hng s c th hin trn bng 7.2:
Bng 7.2
Loi Bt C s Phn du Phm vi tham s
Byte 8 16 B#16#... 0 n rF
T 16 2
16
BCD
10 khng du
2#...
W# 16#...
C#
B#
0 n 1111_1111_1111_1111
0 n FFFF
0 n 999
(0,0) n (255,255)
T kp 32 16 10 khng du 2#...
DW#16#...
B#...
0 n 1111_1111_1111_1111_
1111_1111_1111_1111
0000_0000 n FFFF_FFFF
(0,0,0,0) n (255,255,255,255)
S thc 16 c du (khng c) - 32768 n 32767
S thc 32 c du L#... - 2147483648 n + 2147483647
S thc 32 du phy ng (khng c) ln hn 3,402823 e + 38
nh hn l.175495e - 38
Thi gian 16
32
gi_pht_
giy_miligiy

ngy_gi_
pht_giy_
miligiy
S5T#.....


T#...
0H_0M_0S_10MS n
2H_46M_30S_0MS

-24D_20H_31M_23S_648MS n
24D_20H_31M_23S_647MS
Ngy

Nm_thng_ngy D#... 1990-1-1 n 2168-12-31
Thi gian
ca ngy
32 gi:pht:
giy.ngy
TOD#... 0:0:0:0 n 23:59:59.999
K t 8 . Vit cc k t nh HA
88
7.3. Ngn ng lp trnh
1. Cu trc chng trnh S7-300
Cc chng trnh iu khin vi PLC S7-300 c th c vit dng n khi
hoc a khi.
Chng trnh n khi
Chng trnh n khi ch vit cho cc cng vic t ng n gin, cc lnh
c vit tun t trong mt khi. Khi vit chng trnh n khi ngi ta dng khi
OB1. B PLC qut khi theo chng trnh, sau khi qua n lnh cui cng n quay tr
li lnh u tin.
Chng trnh a khi (c cu trc)
Khi nhim v t ng ho phc tp ngi ta chia chng trnh iu khin ra
thnh tng phn ring gi l khi. Chng trnh c th xp lng khi ny vo khi kia.
Chng trnh ang thc hin khi ny c th dng lnh gi khi sang lm vic
vi khi khc, sau khi kt thc cng vic khi mi n quay v thc hin tip
chng trnh tm dng khi c.
Cc khi c xp thnh lp. Mi khi c:
+ u khi gm tn khi, s hiu khi v xc nh chiu di khi.
+ Thn khi: Th hin ni dung khi v c chia thnh on (Segment) thc
hin tng cng on ca t ng ho sn xut. Mi on li bao gm mt s dng lnh
phc v vic gii bi ton logic. Kt qu ca php ton logic c gi vo RLO
(Result of logic operation). Vic phn chia chng trnh thnh cc on cng nh
hng n RLO. Khi bt u mt on mi th to ra mt gi tr RLO mi, khc vi
gi tr RLO ca on trc.
+ Kt thc khi: Phn kt thc khi l lnh kt thc khi BEU.
Cc loi khi:
* Khi t chc OB (Organisation Block)
Khi t chc qun l chng trnh iu khin v t chc vic thc hin chng
trnh.
* Khi hm s FC (Functions)
Khi hm s FC l mt chng trnh do ngi s dng to ra hoc c th s
dng cc hm chun sn c ca SIEMENS.
* Khi hm FB (Function Block)
Khi hm l loi khi c bit dng lp trnh cc phn chng trnh iu
khin ti din thng xuyn hoc c bit phc tp. C th gn tham s cho cc khi
v chng c mt nhm lnh m rng. Ngi s dng c th to ra cc khi hm
mi cho mnh, c th s dng cc khi hm sn c ca SIEMENS.
* Khi d liu: c hai loi l
89
+ Khi d liu dng chung DB (Sllared Data Block)
Khi d liu dng chung lu tr cc d liu chung cn thit cho vic x l
chng trnh iu khin.
+ Khi d liu ring DI (Instance Data Block)
Khi d liu dng ring lu tr cc d liu ring cho mt chng trnh no
trong vic x l chng trnh iu khin.
Ngoi ra trong PLC S7-300 cn hm h thng SFC (System Function) v khi
hm h thng SFB (System Function Block).
2. Bng lnh ca S7-300
Xem phn ph lc 2.

7.4. Lp trnh mt s lnh c bn
1. Nhm lnh 1ogic
1.1 Lnh LD v lnh A
Lp trnh dng STL
A I 0.0
A I 0.1
A I 0.2
= Q 1.0
1 2. Lnh AN
Lp trnh dng STL
A I 0.0
AN I 0.1
A I 0.2
= Q 1.0
1.3. Lnh O
Lp trnh dng STL
O I 0.0
O I 0.1
O I 0.2
= Q 1.0
1.4. Lnh ON
Lp trnh dng STL.
O I 0.0
ON I 0.1
90
O I 0.2
= Q 1.0

1.5. Lnh A v lnh O
Lp trnh dng STL
A I 0.0
A I 0.1
O I 0.2
= Q 1.0
1.6. Lnh ( v lnh )
Lp trnh dng STL
A I 0.0
A(
O I 0.1
O I 0 2
)
= Q 1.0
1 7. Lp trnh vi vng d liu tm thi L
A I 0.0
= L 20.0
A L 20.0
A(
O I 0.1
O I 0.2
)
= Q 0.0
A L 20.0
A(
O I 0.3
O I 0.4
)
= Q 0.1
A L 20.0
A I 0.5
= Q 0.2
91

1.8. Lp trnh vi bt nh ni M
Nework 1 :
A I 0.0
= M 10.0
Nework 2:
A I 0.1
= M 10.1
A M 10.1
= Q 0.0
Network 3:
A(
O I 1.0
O Q 1.0
)
A M 10.0
A M 10.1
AN I 1.0
= Q 1.0
2. Nhm lnh thi gian
Chng trnh iu khin s dng cc lnh thi gian theo di, kim sot v
qun l cc hot ng c lin quan n thi gian.
Khi mt b thi gian c khi pht th gi tr thi gian cn c np vo thanh
ghi CV (Current value). Do , mun dng cc lnh thi gian phi np gi tr thi gian
cn t vo thanh ghi CV trc khi b thi gian hot ng.
C th np cc kiu d liu sau dng cho cc lnh thi gian:
+ D liu thi gian thc: S5T#H_M_S_MS
+ Dng s nguyn 16 bt: W#16#.... ( dng m BCD)
Np thi gian thc: L S5T#10s
Vi lnh trn gi tr thi gian c np l 10s
Np thi gian dng m BCD:
V d: L W#16#2127
S trn s c np vo thanh ghi CV dng m BCD nh hnh 7. 12.
Trong thanh ghi CV th:
Ba s cui ch h s: S 127 (c th gn t 0 n 999)
92
S u ch m s: S 2. c 4 m:
0 tng ng 0,01 s
1 tng ng 0,1 s
2 tng ng 1s
3 tng ng 10s
Vi s vo thanh ghi CV nh trn th thi gian c tnh l
t :127 x 1s =127s.
Vi m cng nh th gi tr thi gian cng chnh xc, v vy nn dng m nh.

Trong cc b thi gian ca S7-300 ngoi tn hiu kch thch chnh (bt u) nh
cc b thi gian ca cc PLC khc, cn c tn hiu kch thch cng bc, tn hiu kch
thch cng bc cho php tnh li thi gian t u khi c sn ln ca tn hiu ny, tuy
nhin tn hiu kch thch cng bc ch c gi tr khi tn hiu kch thch chnh c gi
tr 1. Lnh thc hin kch thch cng bc (c iu kin) l: FR.
Lnh FR ch c dng lp trnh STL. B thi gian cng c th dng lnh R d
xo.
2.1. B thi gian xung SP
B thi gian c khi pht ln 1 ti si ln ca RLO khi RLO l 1 th b thi
gian vn duy tr trng thi 1 cho n khi t gi tr t mi xung. Nhng khi RLO v
khng th b thi gian v khng ngay.
C hai kiu lp trnh:
Kiu th nht c lnh NOP:
A I 0.1
L S5T#10S
SP T 1
A I 0.2
R T 1
NOP 0
NOP 0
A T 1
= Q 1.0
Dng LA D hnh 7. 1 3.
93

Trong lp trnh trn cn hai chn BI v BCD cha s dng phi dng lnh NOP
gi ch. Chn BI l chn ly gi tr thi gian hin thi dng nh phn, chn BCD
l chn ly gi tr thi gian hin thi dng m BCD, c th dng lnh L hoc LC
c cc gi tr thi gian.
Kiu th hai (khng dng lnh NOP)

2.2. B thi gian m rng SE
B thi gian xung m rng SE c khi pht ln 1 li sn ln ca RLO sau
khng ph thuc RLO na cho n khi thi gian t mi v khng. Cng tng t
nh b thi gian SP, cc b thi gian khc cng lun c hai kiu lp trnh.
A I 0.0
L S51 # 10S
SE T 1
NOP 0
L T 1
T MW 2
LC T 1
T MW 5
A T 1
= Q 0.1

2.3 B thi gian bt u tr SD
Thi gian bt u chm hn so
vi sn ca RLO mt khong bng
thi gian t trong lnh. Khi RLO v khng th b thi gian cng b t ngay v
94
khng.



















2.4.B thi gian bt u tr 1u tr SS
Thi gian bt u chm hn
so vi sn ln ca RLO mt
khong thi gian bng thi gian t
trong lnh v sau khng ph
thuc RLO na. N ch v khng
khi c lnh xo R.
A I 0.1
L S5T#10S
SS T 1
A I 0.2
R T 1
NOP 0
NOP 0
A T 1
= Q 1.0
2.5. B thi gian tt tr SF
B thi gian ln 1 ti sn ln ca RLO. Khi RLO v khng th b thi gian tip
tc duy tr trng thi mt khong thi gian na bng khong t trong lnh ri mi
v khng. xo thi gian dng lnh R, khi c lnh R t 0 ln 1 th b thi gian c
t v khng v trng thi tn hiu vn gi 0 cho n khi b thi gian c khi pht
95
li.
A I 0.1
L ST5#10S
SF T 1
A I 0.2
NOP 0
NOP 0
A T 1
= Q 1.0
3. Nhm lnh m
Gi tr trong thanh ghi CV
(current value) l gi tr m tc thi
ca b m, CV lun khng m, do lnh m li s khng dn khi CV = 0.
Gi tr m PV c th c t trc bng lnh L, v d L C#4 (t gi tr m
bng 4). Tuy nhin, khc vi b thi gian, gi tr m ch c np vo CV khi c lnh
t b m (S). Nu khng t gi tr m th b m c th vn tin hnh m (ch
khi CV = 0 th khng m li).
Gi tr u ra ca b m s l 1 nu CV 0, bng 0 nu CV = 0.
B m c th c xo ch ng bng tn hiu xo R.
Cng tng t nh b thi gian, b m cng c th dng lnh kch m (m
cng bc) FR (lnh c iu kin), b m cng m xung khi iu kin ca FR m
bo. Lnh FR ch c dng lp trnh STL.
C th dng lnh L hoc LD c gi tr tc thi ca b m vo ACCU1
x l. Lnh L c s dng c s 2, lnh LD c s dng BCD.
3.1. Lnh m ln CU
A I 0.0
CD C 2
BLD 101
NOP 0
NOP 0
NOP 0
NOP 0
NOP 0
A C 2
= Q 1.0

96
Lnh BLD hin th dng LAD. Vi cc lnh trn khi u vo IO 0 c sn ln
th gi tr b m CV tng thm 1 n v, tc l khi c ch mt ln sn ln ca
10.0 th u ra Qui lun l 1 (khng xo).
Chn CV l chn ly gi tr m dng nh phn, chn CV_BCD l chn ly
gi tr m dng m BCD, c th dng lnh L hoc LC c cc gi tr m.
3.2. Lnh m xung CD
A I 0.0
CD C 2
BLD 101
A I 0.1
L C#4
NOP 0
NOP 0
NOP 0
A C 2
= Q 1.0
Trong phn lp trnh trn c:
Lnh L C#4 l np s m bng 4.
Trn hnh 7.20 khi I0.0 c trc, b
m vn khng lm vic v khi
CV = 0, cho n khi c lnh t b
m, I0.1 c th b m bt u
c np gi tr m, CV = 4. T
khi ny mi ln I0.0 c th gi tr
m gim mt n v, sau 4 xung
vo gi tr m CV = 0 Khi CV 0
u ra Q1.0 c, khi CV = 0 u ra
Q1.0 mt.
3.3. Lnh m va tin va li
A I 0.0
CU C 1
A I 0.1
CD C 1
A I 0.2
L C#3
A I 0.3
R C 1
97
L C 1
T MW 0
LC C 1
T MW 1
A C 1
= Q 1.0
T gin nhn thy: khi u
vo m tin c lp tc b m
lm vic, gi tr m tng 1 n v,
CV 0, u ra Q 1.0 c. Tip
u vo m li c, do do b m
li gim 1 n v (CV = O) u ra
Q1.0 li mt.
Tuy nhin, nu u vo m
li c trc th b m khng m
v khi CV = 0 Tip du vo
t b m SET c lm gi tr m
c np vo CV (CV = 3), t
nu c u m tin th gi tr m
tng 1 n v, c u m li gi
tr m gim 1 n v, u ra Q1.0
c. Khi c u RESET gi tr m
lp tc v 0, u ra Q1.0 v 0.
98
PH LC 1
CC PHN MM LP TRNH PLC
1. Tp trnh cho OMRON
1. Phn mm SYSWIN (cho OMRON)
Phn hng dn c thc hin trn version 3.2.
1.1. Khi ng

1. Khi ng my tnh ch Windows, bt cng tc ngun ca khi PLC.
2. Khi ng phn mm SYSWIN t biu tng hoc t file chng trnh nh
hnh P. 1. Ca s mn hnh ban u c dng nh hnh P.2. Trong ca s c 2 thanh
cng c h tr cho qu trnh son tho chnh l:
Thanh trn: ngoi mt s chc nng nh son tho vn bn bnh thng cn
mt s chc nng son tho lnh nh ch ra trn hnh P.3.
Thanh dc: Ln lt t trn l: Con tr ( chn), tip im thng h,
thng kn, thanh ni ngang, thanh ni dc, cun dy thng m, cun dy
thng ng, khi hm (RUN), b thi gian (TIM), b m (CNT).
99

3. Kim tra mt s iu kin trc khi tp trnh:
+ Kim tra xem my tnh c kt ni vi PLC cha. Khi my tnh c
kt ni vi PLC th biu tng kt ni sng, nu cha c kt ni th nhy vo biu
tng kt ni h thng s t kt ni vi PLC.
+ Nu s kt ni khng thc hin c c th phi khai bo li cng nh ch ra
trn hnh P.4. (ng dn Project \ Communications).
1.2. Son tho: Theo LAD
1. M mt file chng trnh mi hoc mt file chng trnh c (ch mc
nh c mt file mi c m ra).
2. Nhy chut tri vo khi mun chn (tip im, cun dy. khi hm....).
3. a con cho n v tr t lnh (v tr t en), nhy chut tri v vo a ch
lnh (u vo c cc a ch: 0, n 11; u ra c cc a ch: 1000 n 1007).
4. Khi cn ghi ch thch di mi lnh th chn lnh cn ghi ch thch, vo hp
SYM: ( pha di mn hnh nh ch ra trn hnh P.2) ghi nhng iu cn ch thch,
cu ch thch phi lin nhau (khng dng du cch) sau chn Store.
5. Kt thc mt Network chn thm Network mt t biu tng nh ch ra trn
hnh P.3.
6. Nu son sai Network no th nh du v xo Network t biu tng
hnh P.3.
100

7. Tin hnh son tho ht cc Network.
8. Kt thc chng trnh phi c lnh kt thc. Mun vo lnh kt thc th chn
Netwoks v v tr lnh kt thc, chn FUN, nhy vo v tr t lnh, sau vo tn
lnh END(01) nh ch ra trn hnh P.3, hoc chn cc khi mc Select sau chn
OK.
9. chng trnh sang PLC chn Online \ Download program to PLC nh
trn hnh P.5.
Ch : Khi chng trnh sang PLC th PLC phi ang trng thi
MONITOR hoc trng thi PROGRAM (STOP/PRG). Mun chuyn i cc trng
thi trn th chn Shift + F10 hoc biu tng PLC Mode nh hnh P.3.
10 chy chng trnh chn trng thi MONITOR hoc RUN t biu tng
PLC Mode.
101

2. S dng thit b lp trnh cm tay (cho OMRON)
2.1. Cu to thit b lp trnh cm tay
Thit b lp trnh cm tay c cc khi chnh nh hnh P.6.
1. Mn hnh
2. Cng tc chn ch : c 3 ch
* PROGRAM: ch ny lp trnh hoc thc hin cc thay i chng trnh,
* MONITOR: Ch ny thay i cc gi tr ca b m v thi gian trong
khi PLC vn ang vn hnh,
* RUN: Ch ny chy chng trnh d np trong PLC (khi PLC ang ch
ny th khng chng trnh mi sang PLC c).
3. Cc phm lnh,
4. Cc phm s,
5. Cc phm hm.
102

103

2.2. Cc phm lnh
104

2.3. Th tc vo lnh
1. Khi ng b tp trnh cm tay, cng tc chn ch ch
PROGRAM hoc ch MONITOR, vo PASSWORD (t kho) theo th t sau:

2. Bt u chng trnh mi cn s dng lnh CLR xo chng trnh c.
3. Cc lnh c vo theo th t:
+ Tn lnh (cc lnh bt u mt NETWORK l lnh LD).
+ Tham s ca lnh: Khng cn vo cc s khng ng trc.
+ Kt thc mt lnh l WRITE (vit vo PLC).
4. Kt thc mt chng trnh phi c lnh kt thc. Lnh kt thc vo theo th
t:

V d: Chng trnh ca mt mch t duy tr dng LAD v STL nh hnh P.7:
105

6. chy chng trnh chuyn cng tc chn ch sang RUN.
2. Lp trnh cho PLC - S5
S dng phn mm Step5 for Win.
1. Trnh t thao tc

106

1. Khi ng my tnh ch Windows, bt cng tc khi ngun PS ca PLC,
cng tc ca khi CPU v tr STOP.
2. chy trnh Step5 t file chng trnh nh hnh P.8.
Mn hnh ch bt u c dng nh hnh P.9.
3. Vo lc \ Project \ Set (phn ny c th i nhiu tham s, xem phn t tham
s hnh P.12 n P. 17). Cn t 3 tham s c bn.
+ Chn PLC \ Mode t ch Online (ch kt ni vi PLC).
+ Chn Blocks \ Representation t ch son tho STL.
+ Chn Blocks \ Program Eile to file mi, (nu cn m mt file c th vo
ng dn v ln file, nu s dng file ngay bui lm vic trc v chng trnh trc
y kt ni vi PLC th b qua bc ny) sau n Enter.
4. Vo ch son tho t Editor \ Step 5 Block...., hoc n F1 (Edit). Mn hnh
trc son tho c dng nh hnh P.10.
Trong :
Block lisl: Vo tn ca khi hoc nhiu khi son tho.
Confirm before orerwriting: Nu c chn th khi ghi my s hi li d
khng nh, khng chn th khi sa i c ghi ln ngay sau khi bm Enter.
107

Update assignment: Nu c chn th file biu tng *ZO.INI thay i th file
ngun *ZO.SEQ cng c iu chnh, nu khng chn th fitc ngun *ZO.SEQ
khng c iu chnh.
Update XRF: Nu c chn th file *XR.INI cha tham chiu cho c iu
chnh hoc c to nu cha tn ti trc , nu khng chn th file *XR.INI cha
tham chiu cho khng c iu chnh.
5. Trong mc Source chn PLC kt ni trc tip vi PLC. Trong mc
Selection \ Block list vo khi OB1 d son tho (c th vo cc khi khc nu cn),
trong mc Options khng chn nh hnh P.10 sau chn Edit (n Enter), nu lm
vic vi file mi th my t ng vo lun mn hnh Edit nh hnh P.11b nu lm vic
vi file c th my vo mn hnh Output nh hnh P.11a.

Trong : hnh P.11a
Fl (Disp Symbb) Cho php thay i hoc t tn k hiu (symb), ch thch cc
ton hng dng trong khi chng trnh ang son tho.
108
F2 (Reference): Hin th tham chiu cho.
F3 (Serach): Tm kim cc ton hng n l trong khi ang son tho.
F5 (Seg Fct): Hin cc chc nng son tho cho php lm vic vi cc on ca
khi nh chp, xo, chn,...
F6 (Edit): Chuyn sang ch son tho.
F7 (Enter): Lu tr khi nu c s thay di hoc tr v menu chnh.
F8 (Cancet): Tr v menu chnh.
Shift-F1 (Addresses): hin a ch tng i ca cc lnh trong khi (vi STL).
Shift F2 (Liu no): Cho php vo s th vin.
Shift F3 (Symb.OFF): Cho php hin th ton hng di dng tuyt i.
Shift F4 (Symb Com}: Cho php hin th dng ch thch k hiu cc ton hng.
Shift-F5( LAD): Cho php chuyn i cc dng STL, CSF, LAD.
Shift -F6 (Seg com): Cho php vo son tho tiu v cc ch thch ca mi
on chng trnh trong khi nu c chn Wich Comments hnh P.13 (Btocks).
Shift F7 (Save): Lu tr khi son tho vo file.
Shift-F1 (Help): Vo phn tr gip.
6. Nu ang mn hnh Output cn sa cha hoc son tho mi th chn F6
(Edit) vo mn hnh son tho Edit, vi chng trnh c nhiu on (Segment) th
n F5 (Seg Fct) sau n Fl (- 1) hoc F2 (+ 1) chn cc on trc hoc sau on
hin thi.
7. Khi ang mn hnh son tho Edit c th tin hnh son tho:
+ vo mt cu lnh thng khng cn quan tm n cu trc v c th g lin
tc lin nhau, ht mt dng n Enter my s t ng chn vo cc k t trng ngn
cch.
+ Son tho ht mt on (Segment) n F6 (Seg End) sang on mi.
+ Kt thc chng trnh phi c lnh BE, n Enter v chn yes xc nhn
my s tr v mn hnh Output.
8. n Shift-F5 xem dng LAD v CSF. Nu chng trnh c nhiu on
(Segment) th n F5 (Seg Fct) sau n Fl (-l) hoc F2 (+l) xem ln lt ht cc
on trc hoc sau on hin thi.
9. n Shift-F7 ct chng trnh v chng trnh sang PLC, chn yes xc
nhn vic chng trnh ln chng trnh c trong PLC (khi ct th PLC phi
ch STOP).
2. t tham s cho vic son tho chng trnh
Vo File \ Project \ Set s t cc tham s cn thit lin quan n vic son
tho chng trnh. Cc tham s ny c hin th trong 6 trang mn hnh hnh P.12
109
n P. 1 7, cc trang mn hnh c th chuyn i bng con tr. Mi trang c cc
phm chc nng c th s dng nh:
+ Edit F2: Vo ch son tho.
+ Select F3: Thay i tham s ti v tr con tr.
+ Project... F6: Ct tham s d thay i.
+ Info F7: Hin thng tin v vng hin ti m ti c con tr.
+ Help Shift F8: Vo phn tr gip.
+ Enter: Chp nhn s thay i.
+ Cancel ESC: Gi nguyn trng thi c, tr v mn hnh trc .

* Trang 1 (PLC): nh hnh P.12
+ Mode(: Chn ch ni vi PLC (Online), v khng c PLC (Ofnine).
+ PLC type: Loi PLC
+ Interface: Chn giao din.
+ Parameter: a ch cng giao din.
+ Path name: t tn ng dn ni kt. Nu c trnh name v Path file u t
th h thng tm cch thit lp hay dng vic ni kt thng qua dng dn chn ny
mi khi c s thay i ch lm vic.
+ Path file: Tn file cha ng dn Path name.
110

* Trang 2 (Blocks): nh hnh P. 1 3
+ Program File: Vo ng dn, m file mi hoc m file c.
+ Representation: t ch son tho STL, LAD. CSF.
+ STL addresses: a ch ca STL.
+With comments: Cho php n, hin dng ch thch.
+ With Checksum: Kim tra vic truyn s liu ra PLC.
* Trang 3.(Symbols): nh hnh P.14
+ Symbols file: t tn file biu tng (*ZO.INI).
+ Assigment lisl: t tn ca file danh sch (ZO.SEQ).
+ Symbol length: t di k hiu biu tng, cho php t 8 n 24 k t.
+ Comment length: t di dng ch thch, cho php nhiu nht l 40 k t.
+ Display symbolic: Cho php ton hng th hin di dng biu tng
(symbolic) hay dng tuyt i (absolute).
+ Operands symbolic: Cho php lp trnh c vi symbolic operands.

* Trang 4 (Documetation): nh hnh P.15.
+ Footer file: Vo tn file cha cc thng tin cn thit cui mi trang khi in v
111
c to ra trong Documentation.
+ Dc comm file: t tn file (*SU.INI) cha cc lnh to ti liu.
+ Printer file: t tn file cha thng tin v tham s in c chn trong menu
Documentation nh kch c giy, s dng trong mi trang in, cng giao tip vi my
in...
+ Printer interface: Chn giao din vi my in.
+ Documetation to: t ch lm vic cho php in ti liu.

* Trang 5 (Options): hnh P.16
+ Profect directory: nh th mc lm vic.
* Trang 6 (EFROM) : nh hnh P. 1 7
+ SYSID file: t tn file (*SD.INI) cha cc thng tin nhn dng h thng cc
khi dng trong vic np EFROM.

3. Lp trnh cho PLC - S7200
1 S dng phn mm Step7-200 for Win
Thao tc chun b (phn hng dn vit theo Version 3.2)
112
1. Khi ng my tnh ch Windows, bt cng tc khi ngun PS ca PLC,
cng tc ca khi CPU v tr STOP.
2. Chy trnh Step7 t biu tng hoc t file chng trnh nh hnh P.18.
Mn hnh ch bt u c dng nh hnh P. 1 9.
3. Nu Project [CPU.....] c loi CPU khc th nhy nt phi chut vo Project
[CPU.....] chn li CPU.

4. Vo File m mt file mi hoc file c.
5. Vo View chn ch son tho STL (hoc LAD hoc FBD).
6. Tin hnh son tho chng trnh theo STL (nu son tho chng trnh theo
LAD th c th s dng cc khu, khi pha tri mn hnh son tho). Khi son tho
ch cn cch lnh v i tng lnh mt nhp (du cch), khng cn ch ch in v
ch thng, my s t dch v chnh ch cho ph hp. Trong qu trnh son tho c
th ghi cc ch thch nu cn.
7. Vo View xem li dng LAD (Ladder) hoc RBD.
8. Dch chng trnh t biu tng hoc t PLC \ compile, nu mun dch c
chng trnh th t PLC \ compile All. Khi dch chng trnh cc li s c thng
bo phn thng bo trng thi.
9. chng trnh sang PLC t biu tng hoc t File \ Download, c th phi
kim tra li cm ghp ni cho ph hp t Communications.
10 Mun ct, in chng trnh..., c th thc hin t biu tng hoc vo File
chn ch ct v ch in cn thit.
113

2. S dng phn mm Step7-200 for Dos
Thao lc chun b:
1. Khi ng my tnh ch Windows.
2. Chy trnh S7-200 t biu tng hoc t file chng trnh, mn hnh ch
bt u c dng nh hnh P.20.
Trong hnh P.20:
EXIT-F1: Thot.
SETUP-F2: Chn ngn ng, t c php cho bin nh. Ch ngn ng giao din
ch International
ONLENE-F4: Khi my tnh c ni vi PLC.
COLOR-F6: Chn mu.
PGMS-F7: Chng trnh qun l file.
OFLINE-F8: Khi my tnh khng ni vi PLC.
Ch PID ch tn file ang s dng.
114

3. Chn PGMS, n phm F7 (cc phn tip sau thao tc chn v n phm c
vit gn thnh PGMS-F7), vo chng trnh qun l file m file mi hoc file
c. m file mi chn DIR-F5 vo a, chn SELECT-F8 xc nhn, n Enter
hin cc th mc, chn th mc sau chn SELECT-F8 xc nhn, chn EXIT-F1
thot v mn hnh trc , t tn file v chn SELECT-F8 xc nhn, chn
ABORT-F1 v mn hnh ban u, n file v ng dn c thit lp.
4. Chn ch ONLINE-F4, ri xc nhn a ch cng ghp ni vi PLC.
5. n F7 chn ch son tho LAD hoc STL.
6. Chn EDIT-F2 vo ch son tho, pha di mn hnh son tho c
dng th mc hng dn cc cch v cc lnh son tho.
7a. Son tho vi STL dng hng dn c dng nh hnh P.2 1 :

Hnh P.21. Dng hng dn son tho STL
Trong : EXIT-F1: thot v trang trc ,
INSNW-F2: Chn mt network pha trn con tr,
DELLN-F4: Xa mt dng c con tr,
INSLN-F5: Chn mt dng pha trn con tr,
DELFLD-F6: Xa tham s ni con tr.
S dng cc phm v phm ENTER di chuyn con tr n v tr
son tho.
7b. Son tho vi LAD dng hng dn c dng nh hnh P.22: du cng cui
dng th hin th mc vn cn cn n phm Spacebar chuyn i.
115

Hnh P.22. Dng hng dn son tho LAD
Trong : EXIT-F1: Thot v trang mn hnh trc ,
Cc phm F2 n F7 (dng trn) chn cc tip im, cun dy, hp,
ENTER-F8: Xc nh mt network c son tho,
HORZ-F1: k mt on ngang t v tr con tr sang phi,
VERT-F2: k mt on dc t v tr con tr xung di,
HORZD-F3: xa mt on ngang,
VERTD-F4: xa mt on dc.
S dng cc phm di chuyn con tr n v tr son tho.
Khi son xong mt tip im, hp... dng phm ENTER xc nhn.
Khi son xong mt network phi dng F8 xc nhn, nu dng ENTER c
ngha mun xung dng m rng (nhnh) cho network.
8. Chn EXIT-F1 tr v mn hnh trc .
9. Chn STL-F7 xem dng STL.
10 Chn WRITDK-F8 chng trnh sang PLC.
11 Mun in chng trnh, hoc thc hin cc thao tc la chn khc th lm theo
ch dn dng th mc cui mn hnh hoc vo phn Help.

116
4. Lp trnh cho PLC - S7-300
S dng phn mm S7-300.
1. Khi ng

1. Khi ng my tnh ch Windows, bt cng tc ngun ca khi ngun PS
ca PLC, cng tc ca khi CPU v tr STOP.
2. Khi ng phn mm Step7 t biu tng hoc t file chng trnh nh
hnh P.23.
2. Ci t phn cng
1. Cng tc ca CPU phi ch STOP.
2. Vo File to mt th mc chng trnh mi (hoc m mt th mc chng
trnh c) (v mt chng trnh ca S7-300 l c tht th mc Project). Mt
chng trnh ca S7-300 s c dng nh hnh P.24 (khi to ). Nu m mt th
mc chng trnh c sn chng trnh th c th b qua mt s bc sau.
3. M th mc chng trnh Project chn phn cng t Insert / Station /
Simatic 300 Station.
4. M th mc Simatic 300(l) ci t phn cng.
5. M th mc Hardware bt u ci t phn cng, mn hnh ban u ci
t phn cng c dng nh hnh P.25.
6. Nhy vo du + ca SIMATIC 300 chn ln lt cc khi ca cu hnh
cng. Nn chn cc khi thc trn PLC nh trn hnh P.26.
117

Phi nhy vo du + m chng trnh.
+ Chn gi : Chn RACK-300 v chn Rail.
+ Chn khi ngun: Chn PS-300 v chn ngun c.
+ Chn khi CPU: Chn CPU-300 v chn CPU 314, chn loi c tham s
(c ch ra phn th hin tham s hnh P.26) nh tham s ca CPU hin c (c
ch ra dng trn cng v dng di cng ca CPU.
+ Chn khi giao din: IM (Interfare), khi cn khi ghp ni th chn khi ghp
ni, nu khng c c th b qua. Khi b qua khi ghp ni phi trng v tr ca khi
ghp ni (v tr 3 ca Rail hnh P.26).
+ Chn cc khi vo ra: Chn SM-300 v ln lt chn cc khi vo ra theo
ng m hiu c ghi trn dng u v dng cui mi khi.
+ Chn khi ghp ni: CP-300 v chn CP340 RS 232C. Khi ghp ni ny
ghp ni vi cc thit b ngoi. Mn hnh sau khi chn khi c dng nh hnh P.26.
7. cu hnh sang PLC t PLC \ Download hoc biu tng, nhn OK xc
nhn a ch gi (Rack), a ch CPU v a ch cng ghp ni.
118

3. Son tho chng trnh
1. Tr v th mc chng trnh chnh Project, xc nhn vic ct cu hnh cng
vi file.
2. M th mc chng trnh chnh Project chn chng trnh son tho vo
t Insert / program / S7 Program.
3. M th mc S7 Program, trong s c cc th mc: Source File, Symbols,
Blocks.
4. M th mc Blocks, nu cn th chn thm cc khi (Blocks) cn thit khc
cho chng trnh t Insert / S7 Blocks.
5. M khi OB1 nu lp trnh trn khi OB1, chn kiu lp trnh STL t
Language (c th chn kiu lp trnh khc) ri chn OK. Mn hnh lp trnh c dng
nh hnh P.27.
6. C th chn ch online kt ni trc tip vi PLC hoc omine khng ni
trc tip vi PLC, chn ch omine khi son xong chng trnh phi sang PLC.
7. C th t tn cho khi, tn cho on (Networks) v cc ch thch nu cn.
8. Tin hnh son tho, khi son tho ch cn cch m lnh v i tng lnh mt
nhp my s t ng dch khong cch cho ph hp.
9. Son tho ht mt Networks th chn thm Networks mi t biu tng hoc
Insert / Network.
10. Xem li dng LAD hoc FBD t View / LAD hoc View / FBD.
11. Son tho xong chng trnh sang PLC t biu tng hoc t PLC /
Download kim tra, khi chng trnh PLC phi trng thi STOP.
119

Ch : Khi lp trnh c th cc k hiu khng ng (khng lp trnh c, chng
hn g a ch I 0.0 bo li, g M 0.0 th nhn) l do chn ngn ng khng ng.
kim tra ngn ng lm nh sau:
+ T mn hnh son tho nh hnh P.27 chn Options/customize... c ca s
nh hnh P.28.
+ Trong ca s Editor hnh P.28, hp kim Mnemonics phi l Internectiona nh
hnh P.28a. Nu trong hp kim Mnemonics l SMATIC nh hnh P.28b l sai ngn
ng (dng ting c). Mun i ngn ng c th lp trnh c phi quay li mn
hnh ban u nh hnh P.24 v tin hnh cc bc:
+ T mn hnh P.24 chn Options/customize... c ca s ca mn hnh
Customize nh hnh P.29. Trong mn hnh Customize ca s Language ti hp kim
Language phi chn English, li hp kim Mnemonics phi chn English nh hnh
P.29 sau nhn OK.
120



121
PH LC 2
BNG LNH CA CC PHN MM PLC

1. BNG LNH CA PLC CPM1A
TT Tn lnh M t
1 AND Nhn logic trng thi ca bt xc nh vi iu kin thc hin.
2 AND LD Nhn logic cc kt qu ca cc khi xc nh.
3 AND NOT Nhn logic gi tr o ca bt xc nh vi iu kin thc hin.
4 CNT m li.
5 LD Khi ng mt dy lnh vi trng thi ca bt xc nh hoc d nh ngha
mt khi logic c dng vi ANDLD hoc ORLD.
6 LD NOT Khi ng mt dy lnh vi nghch o ca bt xc nh.
7 OR Cng logic trng thi ca bt xc nh vi iu kin thc hin.
8 OR LD Cng kt qu ca cc khi nh trc.
9 OR NOT Cng logic nghch o bt xc nh vi iu kin thc hin.
10 OUT a ra cng ra gi tr ca bt thc hin.
11 OUT NOT a ra cng ra gi tr nghch o ca bt thc hin
12 TIM Qu trnh thi gian tr ON
13 NOP Khng thc hin g c, qu trnh chuyn sang lnh bn cnh.
14 END Lnh kt thc chng trnh.
15 IL
16 ILC
Nu iu kin kho cho l OFF tt c cc u ra l OFF v ton b thi gian
(time) s phc hi gia IL ny (02) v IL khc (03). Cc lnh khc c iu
hnh nh l lnh NOP (00), b m vn duy tr.
17 JMP
18 JME
Nu iu kin nhy b tt (OFF) tt c cc lnh gia JMP (04) v JME (05)
tng ng b b qua.
19 FAL Pht mt li khng tin nh v cho ra FAL vo b lp trnh cm tay.
20 FALS Pht mt li tin nh v cho ra FALS vo b lp trnh cm tay.
21 STEP Khi dng vi bt iu khin s xc nh im bt u mt bc mi v phc
hi (R) bc trc . Khi khng dng vi bt iu khin s xc nh im
cui ca vic thc hin bc.
22 SNXT Dng vi mt bt iu khin ch ra kt thc bc, phc hi bc v bt
u bc tip theo.
23 SET To ra b ghi dch bt.
24 KEEP xc nh mt bt nh l mt cht iu khin bi cc u vo t v phc hi.
25 CNTR Tng hoc gim s m bi mt trong s cc tn hiu vo.
26 DIFU t bt xc nh cho mt chu k ti sn trc ca xung vo.
27 DIFD Nhn logic trng thi ca bt xc nh vi iu kin thc hin.
28 TIMH B thi gian tc cao c tr
29 WSFT Dch chuyn d liu gia cc t u v cui trong nhm t, vit 0 vo t u
30 CMP so snh ni dung ca 2 t v a ra kt qu cc c GR, EQ, LE.
31 MOV Chp d liu ngun (t hoc hng s) vo t dch.
32 MVN o d liu ngun (t hoc hng s) sau chp n vo t ch
33 BIN Chuyn d liu 4 s dng BCD trong t ngun thnh d liu nh phn 16 bt
v da d liu d c chuyn vo t kt qu.

122

TT Tn lnh M t
34 BCD Chuyn d liu nh phn trong t ngun thnh BCD sau da d liu
chuyn m ra t kt qu.
35 ASL Dch tng bt trong t n ca d liu v bn tri c CY
36 ASR Dch tng bt trong t n ca d liu v bn phi c CY
37 ROL Quay cc bt trong t n ca d liu mt bt v bn tri c CY
38 ROR Quay cc bt trong t n ca d liu mt bt v bn phi c CY
39 COM o trng thi bt ca mt t d liu.
40 ADD Cng 2 gi tr BCD 4 s vi ni dung ca CY v a kt qu n t ghi kt
qu c bit.
41 SUB Tr mt gi tr BCD 4 s v CY t mt gi tr BCD 4 bt khc v a kt qu
42 MUL Nhn 2 gi tr BCD 4 s v a kt qu ti t kt qu c bit.
43 DIV Chia s BCD 4 s cho s b chia BCD 4 s v a kt qu ti t kt qu c
bit.
44 ANDW Nhn logic 2 t vo 16 bt v t bt tng ng vo t kt qu nu cc bt
tng ng trong cc t vo u ON.
45 ORW Cng logic 2 t vo 16 bt v t bt tng ng vo t kt qu nu cc bt
tng ng trong d liu vo l ON.
46 XORW Cng o (EXNOR) 2 t 16 bt v t bt vo t kt qu khi cc bt tng
ng trong cc t vo c trng thi khc nhau.
47 XNRW Cng o (EXNOR) 2 t 16 bt v t bt vo t kt qu khi cc bt tng
ng trong cc t vo c cng trng thi.
48 INC Tng t BCD 4 s ln 1 n v.
49 DEC Gim t BCD 4 s i 1 n v.
50 STC t c mang sang (bt ON, CY)
51 CLC Xo c mang sang (tt OF, CY)
52 TRSM Khi u d liu, khng dng vi CQM 1 -CPU 11/21 -E.
53 MSG Hin th thng bo 16 v tr tn b lp trnh.
54 ADB Cng 2 gi tr Hexa 4 s vi ni dung ca CY v gi kt qu ti t kt qu
xc nh.
55 SBB Tr gi tr Hexa 4 s cho mt gi tr Ilexa 4 s, CY v gi kt qu ti t kt
qu.
56 MLB Nhn 2 s tr Hexa 4 s v gi kt qu ti t kt qu xc nh.
57 DVB Chia s tr Hexa 4 s cho s Hexa 4 s v gi kt qu ti t kt qu xc nh
58 ADDL Cng 2 gi tr 8 s (2 tr mt) v ni dung ca CY v gi kt qu ti cc t
kt qu xc nh.
59 SUBL Tr gi tr BCD 8 s cho mt gi tr BCD 8 s v CY v gi kt qu vo t
kt qu.
60 MULL Nhn 2 gi tr BCD 8 s v gi kt qu vo cc t kt qu xc nh.
61 DIVL Chia s BCD 8 s cho s BCD 8 s v gi kt qu n cc t kt qu xc
nh.
62 BINL Chuyn gi tr BCD thnh cc t nh phn ngun lin kt v a d liu
chuyn i n 2 t kt qu lin tip.
63 BCDL Chuyn gi tr nh phn thnh hai t BCD ngun lin tip v da d liu d
chuyn i n 2 t kt qu lin tip.
64 XFER Chuyn 1 s t ngun lin tip thnh t ch lin tip
65 BSET sao chp ni dung 1 t hoc 1 hng s thnh mt s t lin tip.
123

TT Tn lnh M t
66 ROOT Bnh phng (khai cn) ca gi tr BCD 8 s v a ra kt qu s nguyn 4
ch s ct ngt v gi kt qu ra 1 t nh trc.
67 XCIIG Trao i ni dung ca hai t khc nhau.
68 @COLM Chp 16 bt ca mt t xc nh vo mt ct bt ca cc t 16 bt lin tip.
69 CPS So snh hai gi tr nh phn 16 bt (4 s) nh du v a kt qu n cc
c GR, EQ, LE.
70 CPSL So snh hai gi tr nh phn 32 bt (8 s) d nh du v a kt qu n cc
c GR, EQ, LE.
71 @DBS Chia 1 gi tr nh phn 16 bt nh du cho mt gi tr khc v da kt qu
nh phn 32 bt nh du vo t R n R+l.
72 @DBSL Chia 1 gi tr nh phn 32 bt nh du cho mt gi tr khc v a kt qu
nh phn 64 bt nh du vo t R+3 n R.
73 @FCS Kim tra li trong d liu truyn bi lnh Host linh.
74 @FPD Tim li trong cm cc lnh.
75 @HEX Chuyn i d liu ASCII thnh d liu hexa.
76 @HKY Vo d liu hexa n 8 s t bn 16 phm.
77 @HMS Chuyn i d liu giy (s) thnh d liu gi (h) v pht (mm).
78 @XE Chp mt bt ca cm 16 l lin lip vo t xc nh.
79 @MAX Tm gi tr cc i trong khng gian d liu xc nh v a gi tr ny ti t
khc.
80 @MBS Nhn ni dung nh phn nh du ca hai t v a kt qu nh phn 8 bt
nh du vo R+l v R.
81 @MBSL Nhn hai gi tr nh phn 32 bt (8 s) nh du v a kt qu nh phn
16 bt nh du vo R+3 n R.
82 @MIN Tm gi tr cc tiu trong khng gian d liu xc nh v a gi tr ny vo
t khc.
83 @NEG Chuyn i ni dung hexa 4 ch s ca t ngun thnh phn b modul 2 ca
n v a kt qu vo R.
84 @NEGL Chuyn i ni dung hexa 8 ch s ca t ngun thnh phn b modul 2 ca
n v a kt qu vo R v R+l.
85 PID (Ch c CQM1-CPV43E) th hin iu khin PID da trn cc thng s xc
nh.
86 @PLS2 (Ch c CQM 1 -CPV43E) Tng tc xung ra t 0 ti tn s ch.
87 @PWM (Ch c CQM 1 -CPV43E) a ra cng mt v hai cc xung c t s lun
phin xc nh (0%-99%).
88 @RXD Nhp d liu thng qua cng lin lc.
89 @SCL2 (Ch c CQM 1-CPV43E) Chuyn i tuyn tnh mt gi tr hexa 4 s
nh du thnh gi tr s BCD 4 ch s.
90 @SCL3 (Ch c CQM 1 -CPV43E) Chuyn i tuyn tnh mt gi tr BCD 4 ch s
thnh gi tr hexa 4 ch s nh du.
91 @SEC Chuyn i d liu gi (h) v pht thnh d liu giy (s).
92 @SBBL Tr i mt gi tr nh phn 8 ch s (bnh thng hoc nh du) tr gi tr
khc v a kt qu ra R v R +1.
93 @SRCH Kim tra phm vi xc nh ca b nh dng cho d liu xc nh. a cc
a ch t cc t trong phm vi cha d liu.
94 @SUM Tnh tng ni dung cc t trong phm vi xc nh ca b nh.

124
TT Tn lnh M t
95 @XFRB Chp trng thi ca nhiu nht l 255 bt ngun xc nh vo cc bt ch xc
nh.
96 @ZCP So snh mt t vi mt di xc nh bi gii hn thp v cao v a kt qu
n cc c GR, EQ, LE.
97 ZCPL So snh mt gi tr 8 ch s vi mt di xc nh bi cc gii hn thp v cao
sau da kt qu n cc c GR, EQ, LE.
98 SLD Dch tri d liu gia cc t u v cui mt ch s (4 bt) v l bn tri.
99 SRD Dch phi d liu gia cc t u v cui mt ch s (4 bt) v bn phi.
100 MLPX Chuyn i 4 ch s hexa trong t ngun thnh gi tr thp phn t 0 n 15
v ghi vo cc t hoc cc bt kt qu c v tr tng ng vi gi tr c
chuyn i.
101 DMPX Xc nh v tr ON cao nht trong t ngun v chuyn cc bt tng ng vo
t kt qu.
102 SDEC Chuyn gi tr hexa t ngun n d liu cho hin th 7 thanh.
103 DIST Chuyn mt t ca d liu ngun n t cui m a ch ca n c cho bi
t cui cng vi OFF SET.
104 CON Li d liu t ngun v vit n vo t cui.
105 MOVB Truyn bt xc nh ca t hoc bng s ngun n bt xc nh ca t cui.
106 MOVD Chuyn ni dung hexa ca cc ch s ngun 4 bt xc nh t cc ch s
cui xc nh. ti a l 4 ch s.
107 SFTR Dch d liu trong tng ngun hoc ch cui cc t ngun xc nh v hn
tri hoc bn phi.
108 TCMP So snh gi tr hexa 4 ch s vi gi tr trong bng gm 16 t.
109 ASC Chuyn i cc gi tr hexa t ngun thnh m ASII 8 bt bt u ti na tn
cng bn tri hoc phi ca t u xc nh.
110 SBS Gi v thc hin chng trnh con.
111 SBN nh du bt u ca chng trnh con.
112 RET Kt thc ca chng trnh con v tr v chng trnh chnh.
113 IOFF Lm ti tt c u vo v ra gia t u v t cui.
114 MACRO Gi v thc hin chng trnh con thay th cc t vo ra.
115 @ASFT To mt b ghi dch trao i ni dung ca cc t lin kt khi mt trong cc
t l 0.
116 @MCMP so snh mt cm 16 t lin tip vi mt cm 16 t lin tip khc.
117 @RXD o d liu thng qua mt cng lin lc (cng COM).
118 @TXD Gi d liu thng qua mt cng lin lc.
119 CMPL So snh 2 i lng hexa 8 ch s.
120 @INI Khi ng v dng qu trnh m, so snh v chuyn PV ca b m, dng
u ra xung.
121 @PRV c PV ca b m v d liu trng thi cho b m c tc cao nht.
122 @CTBL So snh PV ca b m v pht mt bn trc tip hoc l khi ng qu trnh
chy.
123 @SPED a ra cc xung vi tn s xc nh (10 Hz 50 kHz trong cc b 10 Hz) tn
s ra c th thay i trong khi cc xung ang c a ra.
124 @PULS a ra mt s xc nh cc xung c tn s xc nh, u ra xung khng dng
cho n khi s lng xung c a ra ht.
125 @SCL Th hin s i thang o cho gi tr tnh ton.
126 @BCNT m tng s cc bt ang chy (ON) trong cm t xc nh.
125

TT Tn lnh M t
127 @BCMP Quyt nh xem gi tr ca mt t c nm trong phm vi xc nh bi gii
hn di v trn.
128 @STIM iu khin Time khong dng cho cc ngt th tc.
129 DSW a vo d liu BCD 4 hoc 8 ch s t mt chuyn mch s.
130 7SEG Chuyn d liu BCD 4 hoc 8 ch s thnh dng hin th 7 thanh.
131 @INT Th hin iu khin v ngt nh l mt n hoc khng mt n cc bt ngt
cho cc ngt vo ra.
132 @ACC Cho (CQM 1-CPV43-E) cng vi PVLS (-) ACC (-) iu khin tng tc v
gim tc cc xung ra t cng 1 v 2.
133 @ABDL Cng hai gi tr nh phn 8 ch s (d kin thng hoc ng du) v a kt
qu ra R v R +1.
134 @APR Th hin cc php tnh sin, cosin hoc cc tim cn tuyn lnh.
135 AVG Cng mt s xc nh cc t hexa v tnh gi tr chnh, quay du thp phn i
mt khong 4 ch s.

2. BNG LNH CA PLC - S5 (Siemens - Ty c)
TT Tn lnh M t
2.1. Cc lnh c bn: (S dng vi khi OB, PB, FB, SB)
2.1.1. Nhm lnh i s logic Bool
1 ) Dng ng ngoc biu thc m ngoc trc , lnh ny khng c i
tng.
2 A n Thc hin lnh AND gia ni dung ca RLO vi gi tr ca im n (n v
bt) ch dn trong lnh, kt qu ghi vo RLO.
3 A( Thc hin lnh AND gia ni dung trong RLO vi php ton trong ngoc (c
ng ngoc), kt qu php ton np vo RLO.
4 AN n Thc hin lnh AND gia ni dung ca RLO vi gi tr nghch o ca im
n (n v bt) ch dn trong lnh, kt qu ghi vo RLO.
5 O n Thc hin lnh OR gia ni dung ca RLO vi gi tr ca im n (n v bt)
ch dn trong lnh, kt qu ghi vo RLO.
6 O( Thc hin lnh OR gia ni dung trong RLO vi php ton trong ngoc (c
ng ngoc), kt qu php ton np vo RLO.
7 ON n Thc hin lnh OR gia ni dung ca RLO vi gi tr nghch o ca im n
(n v bt) ch dn trong lnh, kt qu ghi vo RLO.
2.1.2. Lnh set, reset
8 = n Ni dung ca RLO hin hnh c gn cho i tng n.
9 R n Nu ni dung ca RLO l 1 th trng thi tn hiu 0 s c gn cho i
tng n v trng thi ny khng thay i khi RLO thay i
10 S n Nu ni dung RLO l 1 th trng thi tn hiu 1 s c gn cho i tng n
v trng thi ny khng thay i khi RLO thay i.
2.1.3. Lnh np v truyn
11 L n Ni dung ca i tng lnh (n v byte) c sao chp vo ACCU1 khng
ph thuc vo RLO, ni dung trc ca ACCU1 chuyn sang ACCU2.
12 LD n Np ni dung i tng n (dng m BCD) vo ACCU1 khng ph thuc
RLO.
13 T n Ni dung ca ACCU1 truyn cho i tng n (n v byte) khng ph thuc
RLO, v d truyn cho vng m u ra.
126

TT Tn lnh M t
2.1.4 lnh v thi gian
14 R T Xo b thi gian nu RLO = 1
15 SD B thi gian chm sau sn ln ca RLO mt khong bng thi gian t, khi
RLO v 0 th b thi gian v khng ngay.
16 SE B thi gian ln 1 khi RLO chuyn t 0 ln 1 (sn ln) v duy tr d thi
gian t, khng ph thuc RLO na.
17 SF B thi gian ln 1 ti sn ln ca RLO, khi RLO v khng th b thi gian
cn duy tr mt khong thi gian bng thi gian t.
18 SP B thi gian ln 1 khi RLO chuyn t 0 ln 1 (sn ln) v duy tr cho n
khi t thi gian t (RLO = 1), khi RLO = 0 th b thi gian v 0 ngay.
19 SS B thi gian chm sau sn ln ca RLO mt khong bng thi gian t v
khng ph thuc RLO na, n ch v khng khi c lnh xo R.
2.1.5. Lnh ca b m
20 CD S m gim 1 n v ti sn ln ca RLO sau khng ph thuc RLO
na.
21 CU S m tng 1 n v ti sn ln ca RLO sau khng ph thuc RLO
na.
22 R C Xo b m nu RLO = 1
23 S C t b m nu RLO = 1
2.1.6. Cc lnh ton hc
24 !=F So snh bng nhau ca hai thanh ghi ACCU1 v ACCU2 (dng bt)
25 +F Cng ni dung hai thanh ghi ACCU1 v ACCU2, kt qu np vo ACCU1
(lnh ny ch c STL).
26 <=F So snh i tng lnh trong thanh ghi ACCU2 c nh hn hay bng
ACCU1 khng ?
27 <F So snh i tng lnh trong thanh ghi ACCU2 c nh hn ACCU1
khng?
28 ><F So snh i tng lnh trong hai thanh ghi ACCU1 v ACCU2 xem c khc
nhau khng ?
29 >=F So snh i tng lnh trong thanh ghi ACCU2 c ln hn hay bng
ACCU1 khng ?
30 >F So snh i tng lnh trong thanh ghi ACCU2 c ln hn ACCU1 khng?
31 -F Tr ni dung thanh ghi ACCU1 vi ni dung thanh ghi ACCU2, kt qu
np vo ACCU1 (lnh ny ch c STL).
2.1.7. Cc lnh gi khi.
32 C n Gi khi d liu DB, khng ph thuc vo RLO, qut chng trnh khng b
gin on, RLO khng b nh hng.
33 G To lp hoc xo khi d liu c lp vi RLO.
34 JC n Nhy sang lm vic khi n nu RLO = 1.
35 JU n Nhy sang lm vic khi n, khng ph thuc RLO v RLO khng b nh
hng.
2.1.8. Cc lnh kt thc.
36 BE Lnh kt thc khi.
37 BEC Lnh kt thc c iu kin gia khi (RLO = 1)
38 BEU Lnh kt thc khng iu kin gia khi, khng ph thuc RLO.

127
TT Tn lnh M t
2.1.9. Cc 1nh thng.
39 NOP 0 M lnh 16 bt trong RAM u bng 0 ( gi ch).
40 NOP 1 M lnh 16 bt trong RAM u bng 1 ( gi ch).
2.1.10. Lnh dng
41 STP Lnh dng cui chng trnh, b PLC i vo trng thi ngh.
2.2. Cc 1nh thay th (ch dng vi khi FB)
2.2.1. Cc lnh i s logic Bool thay th.
42 A= Lnh AND thay th.
43 AN= Lnh AND o thay th.
44 AW T hp tng bt theo lut logic AND.
45 DO= Lnh DO thay th.
46 O= Lnh OR thay th.
47 ON= Lnh OR o thay th.
48 OW T hp tng bt theo lut logic OR.
49 XOR T hp tng bt theo lut logic OR c bit.
2.2.2. Cc lnh v bt.
50 RU Lnh xo bt khng iu kin.
51 SU t mt bt v iu kin.
52 TB Trc nghim bt cho trng thi tn hiu 1
53 TBN Trc nghim bt cho trng thi tn hiu 0.
2.2.3. Lnh st, reset thay th.
54 = = Lnh gn thay th.
55 RB= Lnh xo i tng lnh hnh thc.
56 RD= Lnh xo i tng lnh hnh thc dng s.
57 S= Lnh t i tng lnh hnh thc.
2.2.4. Cc lnh v thi gian v m
58 FR= Lnh kh thi thay th.
59 SD= Lnh khi ng b thi gian bt u tr hnh thc.
60 SEC= Khi ng b thi gian m rng hoc b m.
61 SFD= Lnh khi ng b thi gian tt tr hoc b m xung.
62 SP= Lnh khi ng b thi gian xung hnh thc.
63 SSU= Lnh khi ng b thi gian bt u tr.
2.2.5. Cc lnh np l truyn.
64 L= Lnh np thay th.
65 LD= Lnh np i tng hnh thc dng c s BCD.
66 LW= Lnh np mu bt ca i tng lnh hnh thc.
67 T= Lnh truyn i tng lnh hnh thc.
2.2.6. Cc lnh chuyn i.
68 CTW Ni dung ACCU1 c chuyn i tng bt mt.
69 CSW B sung cho 2.
2.2.7. Cc 1nh dch chuyn.
70 SLW Dy bt trong ACCU1 dch sang tri.
71 SRW Dy bt trong ACCU1 dch sang phi.

128
TT Tn lnh M t
2.2.8. Cc lnh nhy.
72 JC= Nhy c iu kin (RLO = l)
73 JM= Nhy nu kt qu l m (CC1 = 0, CC0 = 1).
74 JN: Nhy nu kt qu l (0,0) (CC1 = l, CC0 = 0).
75 JO= Nhy khi c trn.
76 JP= Nhy nu kt qu l dng (CC1 = l, CC0 = 0).
77 JU= Nhy khng iu kin.
78 JZ= Nhy nu kt qu l 0 (CC1 = 0, CC0 = 0)
2.2.9. Cc lnh khc.
79 D Gim ni dung trong ACCU1.
80 DO X l t c hoc t d liu.
81 FR TC Tc ng vo TIME hoc COUTER c khi khng c bin i sn khi
ng b thi gian, t mt b m m ln hoc m xung.
82 I Tng ni dung trong ACCU1.
83 IA Lnh cm ngt.
84 LRS Np min d liu h thng (np min RS... vo ACCU1).
85 RA Cho php ngt.
2.2.10. Nhm lnh h thng.
86 ADD Lnh cng mt hng s.
87 JC n Nhy sang lm vic khi n nu RLO = 1.
88 JU n Nhy sang lm vic khi n, khng ph thuc RLO v RLO khng b nh
hng.
89 LIR Lnh np gin tip thanh ghi.
90 RU Lnh xo bt khng iu kin.
91 STS lnh dng tc khc.
92 SU t mt bt v iu kin.
93 TAK Lnh trao i ni dung thanh ghi.
94 TIR Lnh truyn gin tip thanh ghi.
95 TNB Lnh truyn mt trng d liu.

3. BNG LNH CA PLC - S7-200 (Siemens - Ty c)

TT Tn lnh M t
3.1. Cc lnh thc hin v iu kin
1 = N Gi tr bt u tin trong ngn xp c sao chp sang im n ch dn trong
lnh.
2 =I N Gi tr bt u tin trong ngn xp c sao chp trc tip sang im n ch
dn ngay khi lnh c thc hin.
3 A N Gi tr bt u tin ca ngn xp c thc hin bng php tnh AND vi
im n ch dn trong lnh. Kt qu c ghi li vo bt u tin ca ngn xp.
4 AB<= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr 1
nu gi tr byte n1 khng ln hn gi tr ca byte n2. Kt qu c ghi li vo
bt u tin ca ngn xp.
5 AB= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr 1
nu gi tr ca hai byte n1 v n2 tho mn n1 = n2. Kt qu c ghi li vo
bt u tin ca ngn xp.
129

TT Tn lnh M t
6 AB>= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu gi tr ca hai byte n1 v n2 tho mn n1 n2. Kt qu c ghi li
vo bt u tin ca ngn xp.
7 AD<= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai t kp (4byte) n1 v n2 tho mn n1 n2. Kt qu
c ghi li vo bt u tin ca ngn xp.
8 AD>= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai t kp (4byte) n1 v n2 tho mn n1 n2. Kt qu
c ghi li vo bt u tin ca ngn xp.
9 A D = n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai t kp (4byte) n1 v n2 tho mn n1 = n2. Kt qu
c ghi li vo bt u tin ca ngn xp.
10 AI N Lnh AND c thc hin tc thi gia gi tr ca bt u tin trong ngn
xp vi im n c ch dn. Kt qu c ghi li vo bt u ca ngn
xp.
11 ALD Thc hin lnh AND gia gi tr ca bt u tin v ca bt th hai trong
ngn xp. Kt qu c ghi li vo bt u tin ca ngn xp, cc gi tr
cn li trong ngn xp c ko ln mt bt.
12 AN N Thc hin lnh AND gia gi tr ca bt du tin trong ngn xp vi gi tr
nghch o ca im n trong ch dn. Kt qu c ghi li vo bt u tin
ca ngn xp
13 ANI N Thc hin tc thi lnh AND gia gi tr ca bt u tin trong ngn xp
vi gi tr nghch o ca im n trong ch dn. Kt qu c ghi li vo
bt u tin ca ngn xp.
14 AR<= n1,n2
(5)
Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai s thc n1 v n2 tho mn n1 n2. Kt qu c
ghi li vo bt u tin ca ngn xp.
15 AR= n1,n2
(5)
Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai s thc n1 v n2 tho mn n1 = n2. Kt qu c
ghi li vo bt u tin ca ngn xp.
16 AR>= n1,n2
(5)
Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai s thc n1 v n2 tho mn n1 n2. Kt qu c
ghi li vo bt u tin ca ngn xp.
17 AW<= n 1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai t n1 v n2 tho mn n1 n2. Kt qu c ghi li
vo bt u tin ca ngn xp.
18 AW= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai t n1 v n2 tho mn n1 = n2. Kt qu c ghi li
vo bt u tin ca ngn xp.
19 AW>= n1,n2 Thc hin lnh AND gia gi tr ca bt u tin trong ngn xp vi gi tr
1 nu ni dung ca hai t n1 v n2 tho mn n1 n2. Kt qu c ghi li
vo bt u tin ca ngn xp.
20 CTU Cxx,PV Khi ng b m tin theo sn ln tn hiu u vo. B m c t
li trng thi ban u (Reset) nu u vo R ca b m c kch.
21 CTDU Cxx,PV Khi ng b m tin theo sn ln tn hiu u vo th nht v m li
theo sn ln tn hiu th hai. B m c t li trng thi ban u
(reset) nu u vo R ca b m c kch.
22 ED t gi tr logic 1 vo bt u tin ca ngn xp khi xut hin sn xung
ca tn hiu.
130
TT Tn lnh M t
23 EU t gi tr logic 1 vo bt u tin ca ngn xp khi xut hin sn ln ca
tn hiu.
24 LD n Np gi tr logic ca im n ch dn trong lnh vo bt u tin ca ngn
xp.
25 LDB<= n1,n2 Bt u tin ca ngn xp nhn gi tr logic 1 nu ni dung hai byte n1 v
n2 tho mn n1 n2.
26 LDB= n1,n2 Bt u tin ca ngn xp nhn gi tr logic 1 nu ni ung hai byte n1 v
n2 tho mn n1 = n2.
27 LDB>= n1,n2 Bt u tin ca ngn xp nhn gi tr logic 1 nu ni dung hai byte n1 v
n2 tho mn n1 n2.
28 LDD= n1,n2 Bt u tin ca ngn xp nhn gi tr logic 1 nu ni dung hai t kp n1 v
n2 tho mn n1 = n2.
29 LDD>= n1,n2 Bt u tin ca ngn xp nhn gi tr logic 1 nu ni dung hai t kp n1 v
n2 tho mn n1 n2.
30 LDI n Lnh np tc thi gi tr logic ca tip im n ch dn trong lnh vo bt
u tin trong ngn xp.
31 LDN n Lnh np gi tr logic nghch o ca tip im n ch dn trong lnh vo bt
u tin trong ngn xp.
32 LDNI n Lnh np tc thi gi tr logic nghch o ca tip im n ch dn trong
lnh vo bt u tin trong ngn xp
33 LDR<= n1,n2
(5)
Bt u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai s thc n1
v n2 tho mn n1 n2.
34 LDR= n1,n2
(5)
Bt u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai s thc n1
v n2 tho mn n1 = n2.
35 LDR>= n1,n2
(5)
Bt u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai s thc n1
v n2 tho mn n1 n2.
36 LDW<=n1,n2
(5)
Bt u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai t n1 v
n2 tho mn n1 n2.
37 LDW= n1,n2
(5)
Bt u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai t n1 v
n2 tho mn n1 = n2.
38 LDW>=n1,n2
(5)
Bt u tin trong ngn xp nhn gi tr logic 1 nu ni dung hai t n1 v
n2 tho mn n1 n2.
39 LPP Ko ni dung ca ngn xp ln mt bt. Gi tr mi ca bt trn l gi tr c
ca bt di, su ca ngn xp gim i mt bt.
40 LPS Sao chp gi tr bt u tin trong ngn xp vo bt th hai. Ni dung cn
li ca ngn xp b y xung mt bt.
41 LRD Sao chp gi tr ca bt th hai vo bt u tin trong ngn xp. Gi tr cn
li ca ngn xp gi nguyn.
42 MEND
(l)(2)
Kt thc phn chng trnh trong mt vng qua.
43 NOT o gi tr ca bt u tin trong ngn xp.
44 O n Thc hin ton t OR gia bt u tin ca ngn xp vi im n ch dn
trong lnh. Kt qu c ghi vo bt u tin trong ngn xp.
45 OB<= n1, n2 Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
ni dung hai byte n1 v n2 tho mn n1 n2. Kt qu c ghi vo bt u
tin trong ngn xp.
46 OB= n1, n2 Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
ni dung hai byte n1 v n2 tho mn n1 = n2. Kt qu c ghi vo bt u
tin trong ngn xp.
131
TT Tn lnh M t
47 OB>= n1, n2 Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
ni dung hai byte n1 v n2 tho mn n1 n2. Kt qu c ghi vo bt u
tin trong ngn xp.
48 OD<= n1, n2 Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
ni dung hai t kp n1 v n2 tho mn n1 n2. Kt qu c ghi vo bt
u tin trong ngn xp.
49 OD= n1, n2 Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
ni dung hai t kp n1 v n2 tho mn n1 = n2. Kt qu c ghi vo bt
u tin trong ngn xp.
50 OD>= n1, n2 Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
ni dung hai t kp n1 v n2 tho mn n1 n2. Kt qu c ghi vo bt
u tin trong ngn xp.
51 OI n Thc hin tc thi ton t OR gia bt u tin ca ngn xp vi im n
ch dn trong lnh. Kt qu c ghi vo bt u tin trong ngn xp.
52 OLD Thc hin ton t OR gia bt u v bt th hai trong ngn xp. Kt qu
c ghi vo bt u tin trong ngn xp, cc gi tr cn li ca ngn xp
c chuyn ln mt bt.
53 ON n Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic
nghch o ca im n ch dn trong lnh. Kt qu c ghi vo bt u
tin trong ngn xp
54 ONI n Thc hin tc thi ton t OR gia bt u tin ca ngn xp vi gi tr
logic nghch o ca im n ch dn trong lnh. Kt qu c ghi vo bt
u tin trong ngn xp.
55 OR<= n1,n2
(5)
Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
hai s thc n1 v n2 tho mn n1 n2. Kt qu c ghi li vo bt u
trong ngn xp
56 OR= n1,n2
(5)
Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
hai s thc n1 v n2 tho mn n1 = n2. Kt qu ghi vo bt u trong ngn
xp.
57 OR>= n1,n2
(5)
Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
hai s thc n1 v n2 tho mn n1 > n2. Kt qu ghi li vo bt u trong
ngn xp.
58 OW<= n1,n2
(5)
Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
hai t n1 v n2 tho mn n1 < n2. Kt qu c ghi li vo bt u trong
ngn xp.
59 OW= n1,n2
(5)
Thc hin ton t OR gia bt u lin ca ngn xp vi gi tr logic 1 nu
hai t n1 v n2 tho mn n1 = n2. Kt qu c ghi li vo bt u trong
ngn xp.
60 OW>= n1,n2
(5)
Thc hin ton t OR gia bt u tin ca ngn xp vi gi tr logic 1 nu
hai t n1 v n2 tho mn n1 n2. Kt qu c ghi li vo bt u trong
ngn xp.
61 RET
(l)(3)(4)
Lnh thot khi chng trnh con v tr iu khin chng trnh gi n.
62 RET
(2)(3)(4)
Lnh thot khi chng trnh x l ngt (interrupt) v tr iu khin
chng trnh chnh.
3.2. Cc lnh c iu kin
63 *R IN1,
IN2
(5)

Thc hin php nhn hai s thc (32bt) IN1 v IN2. Kt qu c ghi li
vo IN2.
64 /R IN1,
IN2
(5)

Thc hin php chia hai s thc (32bt) IN1 v IN2. Kt qu c ghi li
vo IN2.
132

TT Tn lnh M t
65 +D IN1,
IN2
Thc hin php cng hai s nguyn kiu t kp IN1 v IN2. Kt qu c
ghi li vo IN2.
66 +I IN1,
IN2
Thc hin php cng hai s nguyn kiu t IN1 v IN2. Kt qu c ghi
li vo IN2.
67 +R IN1,
IN2
(5)

Thc hin php cng hai s thc (32bt) li v IN2. Kt qu c ghi li
vo IN2.
68 ANDD IN1,
IN2
Thc hin ton t AND gia cc gi tr kiu t kp IN1 v IN2. Kt qu
c ghi li vo IN2.
69 ANDW IN1,
IN2
Thc hin ton t AND gia cc gi tr kiu t IN1 v IN2. Kt qu c
ghi li vo IN2.
70 AICH INT,
EVENT
Khai bo chng trnh x l ngt INT theo kiu EVENT
71 ATH INT,
OUT,
LEN
Bin i mt su k t t m ASCII t v tr IN (kiu byte) vi di LEN
(kiu byte) sang m hexa (c s 16) v ghi vo mng k t byte OUT.
72 ATT DATA
TABLE
Ni mt gi tr kiu t DATA (2 byte) vo bng TABLE.
73 BCDI IN Bin i mt gi tr t m BCD c di 2 byte sang kiu nguyn. Kt
qu c ghi li vo IN.
74 BMB IN,
OUT,N
Sao chp mt mng gm N byte k t v tr u IN (byte) vo mng c v
tr l OUT (kiu byte)
75 BMW IN,
OUT,N
sao chp mt mng t (2 byte) vi di N (1 byte) v v tr du IN (2
byte) vo mng c v tr u OUT.
76 CALL n
(1)(6)
Gi chng trnh con c nh nhn n.
77 CRET
(l)(3)(4)
Kt thc mt chng trnh con v tr iu khin v chng trnh gi n.
78 CRETI
(2)(3)(4)
Kt thc mt chng trnh x l ngt v tr iu khin v chng trnh
chnh.
79 -D IN1,
IN2
Thc hin php tr hai s nguyn kiu t kp IN1 v IN2. Kt qu c
ghi li vo IN2.
80 DECD IN Gim gi tr ca t kp IN i mt n v.
81 DECO IN,
OUT
Gii m gi tr ca mt byte IN sau gn gi tr 1 vo bt ca t OUT (2
byte) c ch s l IN.
82 DECW IN Gim gi tr ca t IN i mt n v.
83 DSIS
(1)
v hiu ho tt c cc ngt (interrupt).
84 DIV IN1,
IN2
Chia s nguyn 16 bt, c xc nh l t thp ca IN2 (kiu t kp), cho
IN1 kiu l. Kt qu c ghi li vo t IN2.
85 DTCH EVENT V hiu ho mt ngt kiu EVENT
86 DTR IN,
OUT
(5)

Chuyn i mt s nguyn 32 bt IN c du sang thnh mt s thc 32 bt
OUT
87 ENCO IN,OUT Chuyn i ch s ca bt thp nht c gi tr logic 1 trong t IN sang
thnh mt s nguyn v ghi vo bt cui ca byte OUT.
88 ENI
(l)
t tt c cc ngt vo ch tch cc.
89 FIFO TABLE,
DATA
(5)

Ly gi tr c cho vo u tin ra khi bng v chuyn n n vng
d liu DATA c ch dn trong lnh.
90 FILL IN,
OUT,N
gi tr t IN vo mt mng nh gm N t (N c kiu byte) bt u t v
tr OUT (kiu t).

133
TT Tn lnh M t
91 FND< SRC,
PATRRI
NDX
(5)

Xc nh v tr nh trong bng SRC (kiu t), k t cho bi INDX
(kiu t, = 0 nu t u bng) m gi tr nh hn gi tr ca PATRN
(kiu t).
92 END<> SRC,
PATRRI,
NDX
(5)

Xc nh v tr nh trong bng SRC (kiu t), k t cho bi INDX
(kiu t, = 0 nu t u bng) m gi tr khc gi tr ca PATRN
(kiu t).
93 FND= SRC,
PATRRI,
NDX
(5)

Xc nh v tr nh trong bng SRC (kiu t), k t cho bi INDX
(kiu t, = 0 nu t u bng) m gi tr bng gi tr ca PATRN
(kiu t).
94 FND> SRC,
PATRRI,
NDX
(5)
)
Xc nh v tr nh trong bng SRC (kiu t), k t cho bi INDX
(kiu t, = 0 nu t u bng) m gi tr ln hn gi tr ca PATRN
(kiu t).
95 FOR INDEX
INITIAL,
FINAL
(1)5

Thc hin cc lnh nm gia FOR v NEXT theo kiu xoay vng vi b
m s vng INDEX (kiu t), bt u t vng s INITIAL (kiu t) v
kt thc ti vng FINAL (t).
96 HDEF HSC,
MODE
(1)

Xc nh kiu thut ton MODE cho b m tc cao HSC (byte).
97 HSC n a b m tc cao s n vo trng thi tch cc.
98 HTA IN,OUT,
LEN
Chuyn i mt s h hexa IN (kiu byte) thnh dy k t m ASCII v
ghi vo mng byte bt u bng byte OUT vi di LEN (kiu byte).
99 -I IN1, IN2 Thc hin php tr hai s nguyn kiu t IN1 v IN2. Kt qu c ghi
li vo IN2.
100 IBCD IN Chuyn i gi tr nguyn l (kiu t) thnh gi tr BCD v ghi li vo IN.
101 INCD IN Tng gi tr ca t kp IN ln mt n v.
102 INCW IN Tng gi tr ca t IN ln mt n v.
103 INT N
(1)(2)(4)
Khai bo nhn n cho chng trnh x l ngt.
104 INVD IN Ly phn b kiu mt (o gi tr logic ca cc bt) ca mt t kp IN v
ghi li vo in.
105 JMP xx Chuyn iu khin vo nh nh bng nhn xx trong chng trnh c
khai bo bi lnh LBL.
106 LBL xx t nhn xx trong chng trnh, nh hng cho lnh nhy JMP.
107 LIFO TABLE,
DATA
(5)

Ly gi tr c cho vo bng sau cng ra khi bng TABLE v
chuyn n n vng d liu DATA (kiu t).
108 MOVB IN, OUT Sao gi tr ca byte IN sang byte OUT.
109 MOVD IN, OUT Sao gi tr ca t kp IN sang t kp OUT.
110 MOVR IN,
OUT
(5)

Sao s thc IN sang OUT.
111 MOVW IN, OUT Sao gi tr ca t IN sang t OUT.
112 MUL IN1, IN2 Nhn hai s nguyn 16 bt IN1 vi hai byte thp ca s nguyn 32 bt IN2
sau ghi li kt qu vo IN2.
113 NETR TABLE,
PORT
(5)

Khi to truyn thng c d liu t ngoi vi qua cng lot vo bng
TABLE.
114 NETW TABLE,
PORT
(5)

Khi to truyn thng ghi d liu ca bng TABLE ra ngoai vi qua
cng PORT.
115 NEXT
(l)(5)(7)
Lnh kt thc vng lp FOR... NEXT.
116 NOP Lnh rng.
117 ORD IN1, IN2 Thc hin ton t OR cho hai t kp IN1 v IN2, sau ghi kt qu li
vo IN2.
134
TT Tn lnh M t
118 ORW IN1, IN2 Thc hin ton t OR cho hai t IN1 v IN2, sau ghi kt qu li vo
IN2.
119 PLS xx
(5)
a b pht xung nhanh c nh ngha trong b nh c bit vo
trng thi tch cc. Xung c a ra cng Qx.x.
120 R S_BT,n Xo mt mng gm n bt k t a ch S_BT (kiu bt).
121 -R IN1,
NT2
(5)

Thc hin php tr hai s thc (32bt) IN1 v IN2. Kt qu c ghi li
vo IN2.
122 Ri S_BT,n Xo tc thi mt mng gm n bt k t a ch S_BT.
123 RLD IN, n Quay trn t kp IN sang tri n bt.
124 RLW IN, n Quay trn t IN sang tri n bt.
125 RRD IN, n Quay trn t kp IN sang phi n bt.
126 RRW IN, n Quay trn t IN sang phi n bt.
127 S S_BT,n t gi tr logic 1 vo mt mng n bt k t a ch S_BT.
128 SBR N
(1)(2)(4)
Khai bo nhn n cho chng trnh con.
129 SEG IN, OUT Chuyn i gi tr ca 4 bt thp trong byte IN sang thnh m tng ng
cho thanh ghi 7 nt v ghi vo OUT
130 SHRB DATA,
S_BT,n
Dch thanh ghi gm |n|0 bt c bt thp nht l S_BT sang tri nu n>0.
hoc sang phi nu n<0. Gi tr ca bt DATA c a vo bt trng ca
thanh ghi sau khi dch (bt S_BT nu n>0, hoc bt S_BT nu n<0)
131 SI S_BT,n t tc thi gi tr logic 1 vo mng n bt k t bt S_BT.
132 SLD IN,n Dch t kp IN sang tri mt bt.
133 SLW IN,n Dch t IN sang tri mt bt.
134 SQRT IN, OUT Ly cn bc hai ca s thc 32 bt IN v ghi kt qu vo OUT (32bt).
135 SRD IN,n Dch t kp IN sang phi mt bt.
136 SRW IN,n Dch t IN sang phi mt bt.
137 STOP Dng mm chng trnh.
138 SWAP IN i ch hai bt u tin v cui cng ca byte IN cho nhau.
139 TODR T
(5)
c gi v ngy thng sau hin thi t ng h v ghi vo b m 8 byte
u l T.
140 TODW T
(5)
Ghi vo ng h gi tr thi gian, ngy, thng t b m 8 byte vi byte
u l T.
141 TON Txx, PT Khi ng b pht thi gian tr Txx vi thi gian tr t trc l tch ca
PT (kiu t) v phn gii ca b thi gian Txx c chn.
142 TONR Txx, PT Khi ng b pht thi gian tr c nh Txx vi thi gian tr t trc l
tch ca PT(kiu t) v phn gii ca b thi gian Txx c chn.
143 TRUNG IN,
OUT
(5)

Chuyn i mt s thc 32 bt IN thnh mt s nguyn 32 bt c u v
ghi vo OUT.
144 WDR t chun li b pht xung kim tra.
145 XMT TABLE,
PORT
Truyn ni dung ca bng TABLE n cng PORT.
146 XORD IN1,
IN2
Thc hin ton t exclusive OR cho cc bt ca hai t kp IN1 v IN2.
Kt qu c ghi li vo IN2.
147 XORW IN1,
IN2
Thc hin ton t exclusive OR cho cc bt ca hai t IN1 v IN2. Kt
qu c ghi li vo IN2.
(l)
Nhng lnh khng thc hin c trong chng trnh x l ngt. Lnh INT ch c th l lnh
bt u ca chng trnh x l ngt.

135
(2)
Nhng lnh khng thc hin c trong chng trnh con. Lnh SBR ch c th l lnh bt
u ca chng trnh con.
(3)
Nhng lnh c km chc nng ghi li ni dung ca ngn xp trc .
(4)
Nhng lnh khng s dng c trong chng trnh chnh.
(5)
Nhng lnh ch c trong CPU 214.
(6)
Ghi nh li ni dung tc thi ca ngn xp. t TOS ln 1 v gn gi tr logic 0 vo cc bt cn
li ca ngn xp.
(7)
t TOS ln 1.

4. BNG LNH CA PLC S7-300 (SIEMENS - Ty c)

TT Tn lnh M t
1 + n Cng vi hng s c vit im n.
2 = n Ni dung ca RLO hin hnh c gn cho i tng n.
3 ) Dng ng ngoc biu thc m ngoc trc , lnh ny khng c
i tng.
4 +AR1 n Cng ni dung ca ACCU1 hoc ni dung ti con tr n vi ni dung c
a ch thanh ghi 1.
5 +AR2 n Cng ni dung ca ACCU1 hoc ni dung ti con tr n vi ni dung c
a ch thanh ghi 2.
6 +D Cng 2 s nguyn 32 bt ACCU1 v ACCU2, kt qu ACCU1.
7 -D Tr s nguyn 32 bt ACCU2 cho s nguyn 32 bt ACCU1, kt qu
ACCU1.
8 *D Nhn 2 s nguyn 32 bt ACCU1 v ACCU2, kt qu ACCU1.
9 /D Chia s nguyn 32 bt ACCU2 cho s nguyn 32 bt ACCU1, kt qu
ACCU1.
10 = =D So snh hai s nguyn 32 bt ACCU1 v ACCU2 c bng nhau khng.
11 <>D So snh hai s nguyn 32 bt ACCU1 v ACCU2 xem c khc nhau
khng.
12 >D So snh s nguyn 32 bt ACCU2 c ln hn s nguyn 32 bt
ACCU1 khng.
13 <D So snh s nguyn 32 bt ACCU2 c nh hn s nguyn 32 bt
ACCU1 khng.
14 >=D So snh s nguyn 32 bt ACCU2 c ln hn hay bng s nguyn 32 bt
ACCU1 khng.
15 <=D So snh s nguyn 32 bt ACCU2 c nh hn hay bng s nguyn 32
bt ACCU1 khng.
16 +I Cng 2 s nguyn 16 bt ACCU1 v ACCU2, kt qu ACCU1.
17 -I Tr s nguyn 16 bt ACCU2 cho s nguyn 16 bt ACCU1, kt qu
ACCU1.
18 *I Nhn 2 s nguyn 1 6 bt ACCU1 v ACCU2, kt qu ACCU1.
19 /I Chia s nguyn 16 bt ACCU2 cho s nguyn 16 bt ACCU1, kt qu
ACCU1.
20 = =I So snh hai s nguyn 16 bt ACCU1 v ACCU2 c bng nhau khng.
21 <>l So snh hai s nguyn 16 bt ACCU1 v ACCU2 xem c khc nhau
khng.
22 >I So snh s nguyn 16 bt ACCU2 c ln hn s nguyn 16 bt
ACCU1 khng.
136

TT Tn lnh M t
23 <I So snh s nguyn 16 bt ACCU2 c nh hn s nguyn 16 bt
ACCU1 khng.
24 >=I So snh s nguyn 16 bt ACCU2 c ln hn hay bng s nguyn 16 bt
ACCU1 khng.
25 <=I So snh s nguyn 16 bt ACCU2 c nh hn hay bng s nguyn 16
bt ACCU1 khng.
26 +R Cng 2 s thc 32 bt ACCU1 v ACCU2, kt qu ACCU1.
27 -R Tr s thc 32 bt ACCU2 cho s thc 32 bt ACCU1, kt qu
ACCU1.
28 *R Nhn 2 s thc 32 bt ACCU1 v ACCU2, kt qu ACCU1.
29 /R Chia s thc 32 bt ACCU2 cho s thc 32 bt ACCU1, kt qu
ACCU1.
30 = =R So snh hai s thc 32 bt ACCU1 v ACCU2 c bng nhau khng.
31 <>R So snh hai s thc 32 bt ACCU1 v ACCU2 xem c khc nhau
khng.
32 >R So snh s thc 32 bt ACCU2 c ln hn s thc 32 bt ACCU1
khng.
33 <R So snh s thc 32 bt ACCU2 c nh hn s thc 32 bt ACCU1
khng.
34 >=R So snh s thc 32 bt ACCU2 c ln hn hay bng s thc 32 bt
ACCU1 khng.
35 <=R So snh s thc 32 bt ACCU2 c nh hn hay bng s thc 32 bt
ACCU1 khng.
36 A n Thc hin lnh AND gia ni dung ca RLO vi gi tr ca im n (n
v bt) ch dn trong lnh, kt qu ghi vo RLO.
37 A( Thc hin lnh AND gia ni dung trong RLO vi php ton trong ngoc
(c ng ngoc), kt qu php ton np vo RLO.
38 ABS Ly gi tr tuyt i ca s thc 32 bt.
39 AD Thc hin lnh AND gia ni dung trong ACCU1 v ACCU2, kt qu
ACCU1 (32 bt).
40 AN n Thc hin lnh AND gia ni dung ca RLO vi gi tr nghch o ca
im n (n v bt) ch dn trong lnh, kt qu ghi vo RLO.
41 AN( Thc hin lnh AND gia ni dung ca RLO vi gi tr nghch o ca
biu thc trong ngoc (c ng ngoc), kt qu ghi vo RLO.
42 AW Thc hin lnh AND gia ni dung trong ACCU1 v ACCU2, kt qu
ACCU1 (16 bt).
43 BEC Lnh kt thc c iu kin gia khi (RLO:l)
44 BEU Lnh kt thc khi khng iu kin, khng ph thuc RLO.
45 BLD Hin th lnh ca chng trnh.
46 BTD Chuyn s dng m BCD sang s nguyn 32 bt.
47 BTI Chuyn s dng m BCD sang s nguyn 16 bt.
48 CAD i th t byte trong ACCU1 (32 bt).
49 CAR Chuyn ni dung thanh ghi 1 vi ni dung thanh ghi 2.
50 CAW i th t byte trong ACCU1 (16 bt)
51 CALL Lnh gi khi.
52 CC Lnh gi khi c iu kin.
137
TT Tn lnh M t
53 CD S m gim 1 n v ti sn ln ca RLO sau khng ph thuc RLO
na.
54 CDB Chuyn khi d liu chung thnh khi d liu ring.
55 CLR xo RLO (RLO = 0)
56 CU S m tng 1 n v ti sn ln ca RLO sau khng ph thuc RLO
na.
57 DEC Gim ni dung trong ACCU1 i mt n v.
58 DTB i s nguyn 32 bt thnh s dng m BCD.
59 DTR i s nguyn 32 bt thnh s thc.
60 IN Chn ly sn m ca RLO.
61 FP Chn ly sn dng ca RLO.
62 FR T Khi to b thi gian TIME c khi khng c bin i sn khi ng
b thi gian.
63 FR C Khi to b m COUTER c khi khng c bin i sn t mt b
m m ln hoc m xung.
64 INC Tng s trong ACCU1 ln mt n v.
65 INVD Ly phn b mt ca s nguyn 32 bt.
66 INVI Ly phn b mt ca s nguyn 16 bt.
67 ITB i s nguyn 16 bt thnh s dng m BCD.
68 ITD i s nguyn 16 bt thnh s nguyn 32 bt.
69 JBI n Nhy sang lm vic nhn n nu BR = 1.
70 JC n Nhy sang lm vic nhn n nu RLO = 1.
71 JCB n Nhy sang lm vic nhn n nu RLO = 1 v BR = 1.
72 JCN n Nhy sang lm vic nhn n nu RLO = 0.
73 JL n Nhy n nhn ghi n.
74 JM Nhy nu kt qu l m (CC1 = 0, CC0 = l)
75 JMZ Nhy nu kt qu l m hoc bng khng (CC1 = 0 hoc 0, CC0 = 0
hoc l).
76 JN Nhy nu kt qu l khc khng (CC1 = 1 hoc 0, CC0 = 0 hoc l).
77 JNB n Nhy sang lm vic nhn n nu RLO = 0 v BR = 0.
78 JNBI n Nhy sang lm vic nhn n nu BR = 0.
79 JO n Nhy sang lm vic nhn nu VO = 1.
80 JOS n Nhy sang lm vic khi n nu OS = 0.
81 JP Nhy nu kt qu l dng (CC1 = 1, CC0 = 0).
82 JPZ Nhy nu kt qu l ln hn hoc bng khng (CC1 = 0 hoc 1, CC0 = 0
hoc 0).
83 JU n Nhy sang lm vic nhn n, khng ph thuc RLO v RLO khng b
nh hng.
84 JUO Nhy nu (CC1 = 1, CC0 = 1).
85 JZ Nhy nu kt qu l khng (CC1 = 0, CC0 = 0).
86 L n Ni dung ca i tng lnh (n v byte) c sao chp vo ACCU1
khng ph thuc vo RLO, ni dung trc ca ACCU1 chuyn sang
ACCU2.
87 L C Np gi tr tc thi (s nguyn) ca b m vo ACCU1
88 L T Np gi tr tc thi (s nguyn) ca b thi gian vo ACCU 1.
89 L DBLG Np di ca khi d liu DB vo ACCU1.
138

TT Tn lnh M t
90 L DBNO Np s ca khi d liu DB vo ACCU1.
91 L DILG Np di ca khi d liu DI vo ACCU1.
92 L DINO Np s ca khi d liu DI vo ACCU1.
93 L STW Np t trng thi vo ACCU1.
94 LAR 1 Np a ch vo thanh ghi 1 t ACCU1.
95 LAR 1 n Np a ch vo thanh ghi 1 t v tr n ghi trong lnh.
96 LAR 1 AR2 Np a ch vo thanh ghi 1 t thanh ghi 2.
97 LAR 1 P# Np vo thanh ghi 1 t a ch ti con tr (s thc kp).
98 LAR2 Np a ch vo thanh ghi 2 t ACCU1.
99 LAR2 n Np a ch vo thanh ghi 2 t v tr n ghi trong lnh.
100 LAR2 P# Np vo thanh ghi 2 t a ch ti con tr (s thc kp).
101 LC C Np s m hin thi dng m BCD vo ACCU1.
102 LC T Np gi tr thi gian hin thi dng m BCD vo ACCU1.
103 LOOP n Lp li t nhn n.
104 MCR( Ct kt qu ca php tnh logic vo vng MCR.
105 )MCR Kt thc vng MCR.
106 MCRA Kch hot vng MCR.
107 MCRD Thi kch hot vng MCR.
108 MOD Php chia ly phn d ca s nguyn 32 bt ACCU2 cho s nguyn 32
bt ACCU1, kt qu ACCU1.
109 NEGD Ly s b hai ca s nguyn 32 bt.
110 NEGI Ly s b hai ca s nguyn 16 bt.
111 NEGR Ly du m cho s thc 32 bt.
112 NOP 0 M lnh 16 bt trong RAM u bng 0 ( gi ch).
113 NOP 1 M lnh 16 bt trong RAM u bng 1 ( gi ch).
114 NOT t trng thi khng cho RLO.
115 O n Thc hin lnh OR gia ni dung ca RLO vi gi tr ca im n (n v
bt) ch dn trong lnh, kt qu ghi vo RLO.
116 O( Thc hin lnh OR gia ni dung trong RLO vi php ton trong ngoc
(c ng ngoc), kt qu php ton np vo RLO.
117 OD Thc hin lnh OR gia ni dung trong ACCU1 v ACCU2, kt qu
ACCU1 (32 bt).
118 ON n Thc hin lnh OR gia ni dung ca RLO vi gi tr nghch o ca
im n (n v bt) ch dn trong lnh, kt qu ghi vo RLO.
119 ON( Thc hin lnh OR gia ni dung ca RLO vi gi tr nghch o php
ton trong ngoc (c ng ngoc), kt qu ghi vo RLO.
120 OPN M khi d liu.
121 OW Thc hin lnh OR gia ni dung trong ACCU1 v ACCU2, kt qu
ACCU1 (16 bt).
122 POP Chuyn ni dung ACCU2 sang ACCU1.
123 PUSH Chuyn ni dung ACCU1 sang ACCU2.
124 R n Nu ni dung ca RLO l 1 th trng thi tn hiu 0 s c gn cho i
tng n v trng thi ny khng thay i khi RLO thay i.
125 R T Xo b thi gian nu RLO = 1
126 R C Xo b m nu RLO = 1
139
TT Tn lnh M t
127 RLD n Quay trn t kp ACCU1 sang tri n bt.
128 RLDA Quay trn t kp ACCU1 sang tri 1 bt qua CC 1.
129 RND i s thc 32 bt thnh s nguyn 32 bt (b phn thp phn).
130 RND+ i s thc 32 bt thnh s nguyn 32 bt, nu l s dng th lm trn
tng, l s m th b phn thp phn.
131 RND- i s thc 32 bt thnh s nguyn 32 bt, nu l s m th lm trn tng,
l s dng th b phn thp phn.
132 RRD n Quay trn t kp ACCU1 sang phi n bt.
133 RRDA Quay trn t kp ACCU1 sang phi 1 bt qua CC 1.
134 S n Nu ni dung RLO l 1 th trng thi tn hiu 1 s c gn cho i tng
n v trng thi ny khng thay i khi RLO thay i.
135 S C t b m nu RLO = 1
136 SAVE Ct kt qu ca php tnh logic vo thanh ghi BR.
137 SD B thi gian chm sau sn ln ca RLO mt khong bng thi gian t,
khi RLO v 0 th b thi gian v khng ngay.
138 SE B thi gian ln 1 khi RLO chuyn t 0 ln 1 (sn ln) v duy tr thi
gian dt, khng ph thuc RLO na.
139 SET t RLO = l
140 SF B thi gian ln 1 ti sn ln ca RLO, khi RLO v khng th b thi
gian cn duy tr mt khong thi gian bng thi gian t.
141 SLD n Dch t kp trong ACCU1 sang tri n bt hoc s bt dch c np vo
ACCU2.
142 SLW n Dch t n trong ACCU1 sang tri n bt hoc s bt dch c np vo
ACCU2.
143 SP B thi gian ln 1 khi RLO chuyn t 0 ln 1 (sn lem v duy tr cho
n khi t thi gian t (RLO = 1), khi RLO = 0 th b thi gian v 0
ngay.
144 SRD n Dch t kp trong ACCU1 sang phi n bt hoc s bt dch c np vo
ACCU2.
145 SRW n Dch t n trong ACCU1 sang phi n bt hoc s bt dch c np vo
ACCU2.
146 SS B thi gian chm sau sn ln ca RLO mt khong bng thi gian t
v khng ph thuc RLO na, n ch v khng khi c lnh xo R.
147 SSD n Dch s nguyn 32 bt trong ACCU1 sang phi n bt hoc s bt dch c
np vo ACCU2. cc bt trng c chn bt du ca s nguyn.
148 SSI n Dch s nguyn 16 bt trong ACCU1 sang phi n bt hoc s bt dch c
np vo ACCU2, cc bt trng c chn bt du ca s nguyn.
149 T n Ni dung ca ACCU1 truyn cho i lng n (n v byte) khng ph
thuc RLO, v d truyn cho vng m u ra.
150 T STW Truyn t trng thi ti ACCU1.
151 TAK Lnh trao i ni dung trong ACCU1 v ACCU2.
152 TAR1 Truyn a ch trong thanh ghi 1 n ACCU1.
153 TAR1 n Truyn a ch trong thanh ghi 1 n v tr c ch trong lnh.
154 TAR1 AR2 Truyn a ch trong thanh ghi 1 n thanh ghi 2.
155 TAR2 Truyn a ch trong thanh ghi 2 n ACCU1.
156 TAR2 n Truyn a ch trong thanh ghi 2 n v tr c ch trong lnh.
157 TRUNC Chuyn s thc 32 bt trong ACCU1 thnh s nguyn 32 bt c du.
140
TT Tn lnh M t
158 UC Lnh gi khi khng iu kin.
159 X n Thc hin lnh OR (c bit) gia ni dung ca RLO vi gi tr ca im
n (n v bt) ch dn trong lnh, kt qu ghi vo RLO.
160 X( Thc hin lnh OR (c bit) gia ni dung trong RLO vi php ton
trong ngoc (c ng ngoc), kt qu php ton np vo RLO.
161 XN n Thc hin lnh OR (c bit) gia ni dung ca RLO vi gi tr nghch
o ca im n, kt qu ghi vo RLO.
162 XN( Thc hin lnh OR (c bit) gia ni dung ca RLO vi gi tr nghch
o php ton trong ngoc (c ng ngoc), kt qu ghi vo RLO.
163 XOD Thc hin lnh OR (c bit) gia cc bt ca hai t kp.
164 XOW Thc hin lnh OR (c bit) gia cc bt ca hai t n


141
TI LIU THAM KHO

1. Nguyn Trng Thun, iu khin logic v ng dng, Nh xut bn Khoa hc v k
thut, 2000.
2. Nguyn Don Phc, Phan Xun Minh, V Vn H. T ng ho ti Simatic S7-
300, Nh xut bn Khoa hc v k thut, 2000.
3. Tng Vn Mi. Nguyn Tin Dng, iu khin logic lp trnh PLC, Nh xut bn
thng k, 2003.
4. Nguyn Don Phc, Phan Xun Minh, T ng ho vi Simatic S7-200, Nh xut
bn Khoa hc v k thut, 2000.
5. A Bigincrs guide to PLC, OMROM ASIA PACIFIC, Singapor 1996.
6. SIMATIC S5. Program examplesfor Programmable Conlrollers.1992.
7. Simatic Step 7 Statemenl Lisl Reference Manual, Siemen AG, Automation Group,
Industrial Automation Systems, 1995.

You might also like