You are on page 1of 358

LI M U

Trong x hi hin i vi s tin b vt bc ca khoa hc v k thut.


Vic ng dng cng ngh t ng ha vo trong cc nh my, x nghip, cc h
thng dy chuyn sn xut ngy cng nhiu nhm nng cao hiu qu sn xut,
nng sut lao ng, gi tr kinh t. C bn phi p ng c nhng yu cu:
- Lp trnh d dng, ngn ng lp trnh n gin, d hiu.
- D sa cha v thay th.
- n nh trong mi trng cng nghip.
- Gi c cnh tranh.
Tuy nhin cc cng ngh t ng ha khng ch i hi phi p ng
c nhng yu cu v mt k thut m cn phi m bo tnh kinh t v an
ton. Chnh nh nhng yu cu ngi ta s dng thit b vi x l c a
vo trong mch iu khin to nn s thay i su sc v vt bc trong lnh
vc sn xut v phc v i sng sinh hot hng ngy, in hnh l B k thut
iu khin lp trnh PLC (Programmable Logic Control).
Nh p ng c cc yu cu kht khe trong sn xut, b k thut iu
khin lp trnh PLC l loi thit b cho php thc hin linh hot cc thut ton
iu khin s thng qua mt ngn ng lp trnh, thay cho vic th hin thut
ton bng mch s.
Cng vi chng trnh iu khin n gin, PLC tr thnh b iu khin
s nh gn, d thay i thut ton v c bit d trao i thng tin vi mi
trng xung quanh (vi cc PLC khc hoc vi my tnh). Ton b chng trnh
iu khin c lu nh trong b nh PLC di dng cc khi chng trnh
(khi OB, FC hoc FB) v thc hin lp theo chu k ca vng qut.
Chnh v cc u im , nhm p ng kp thi nhu cu ca x hi pht
trin ngnh t ng ha v khoa hc k thut ng thi p ng nhu cu ging
dy v hc tp cho gio vin v hc sinh, sinh vin; c s ch o ca Ban
lnh o Nh trng, Khoa in - in t bin son Gio trnh K thut
iu khin lp trnh PLC dnh cho h cao ng ngh, trung cp ngh, trung cp
chuyn nghip ngnh in cng nghip v hiu chnh b sung ph hp vi
thc tin, c s vt cht ging dy ca Nh trng.
Vi tm quan trng ca mn k thut iu khin lp trnh cng vi nhu
cu pht trin ca Nh trng, Gio trnh K thut iu khin lp trnh PLC
c thc hin l cp thit.
Gio trnh K thut iu khin lp trnh PLC c trnh by vi 4
chng trang b cho hc vin cc h cao ng ngh, trung cp ngh, trung cp
chuyn nghip trong Trng nhng kin thc v iu khin lp trnh... Vi cc
kin thc ny hc vin c th p dng trc tip vo lnh vc sn xut cng nh
i sng.
Trong qu trnh bin son chng ti tham kho nhiu ti liu, hc liu,
tp ch chuyn ngnh, cc thng s k thut b iu khin lp trnh ca cc hng
trn th gii . Nhm cp nht kp thi tin b ca khoa hc k thut trong lnh
vc t ng ha. Tuy nhin trong qu trnh bin son chc chn khng trnh
khi thiu xt. Rt mong c s ng gp, b sung ni dung ca gio trnh
ngy cng c hon thin hn.
1

MC LC
LI M U ...................................................................................................... 1
MC LC ............................................................................................................ 2
CHNG 1: GII THIU CHUNG V B IU KHIN LP TRNH11
BI 1: T NG HA V IU KHIN .................................................. 11
I.
1.
2.
3.
II.
1.
2.
3.
4.
III..

Khi qut v h thng iu khin. ........................................................... 11


Khi vo. ................................................................................................. 11
Khi x l. ............................................................................................... 11
Khi ra. .................................................................................................... 11
Cc phng php iu khin. .................................................................. 12
H thng iu khin h (Open loop control system): ............................. 12
H thng iu khin kn (Closed loop control system)........................... 13
iu khin ni cng c tip im. .......................................................... 14
iu khin ni cng khng tip im ..................................................... 14
M hnh phn cp chc nng sn xut cng nghip. .............................. 14

BI 2: B IU KHIN LP TRNH (PLC) .............................................. 17


I.
II.
1.
2.
3.
4.
5.
III.
IV.
1.
2.
3.
4.
V.
VI.
1.
2.
3.
4.
5.
VII.
1.
2.
3.
4.
5.
VIII.

S ra i ca b iu khin lp trnh PLC. ............................................. 17


Gii thiu mt s nhm PLC ph bin hin nay. .................................... 19
Siemens: c ba nhm............................................................................... 19
Mitsubishi: H FX ................................................................................... 19
Omron: H CMQ , h CPM .................................................................... 19
Controll technique: .................................................................................. 19
ABB: Ba nhm ........................................................................................ 19
C cu chung ca mt h thng PLC...................................................... 19
Cu trc phn cng.................................................................................. 21
B x l trung tm : (CPU Central Processing Unit ) ......................... 21
Khi vo / ra. ........................................................................................... 22
Cc kiu ng ra:....................................................................................... 22
Cc kiu ng vo ..................................................................................... 23
Hot ng ca PLC ................................................................................. 25
Phn loi PLC:......................................................................................... 25
Loi 1: Micro PLC (PLC siu nh). ........................................................ 26
Loi 2: PLC c nh (Small PLC) ............................................................ 26
Loi 3: PLC c trung bnh (Medium PLC) ............................................ 26
Loi 4: PLC c ln (large PLC). ............................................................. 27
Loi 5: PLC rt ln (very large PLC) ..................................................... 27
So snh PLC vi cc h thng iu khin.............................................. 27
H thng iu khin dng r le .............................................................. 27
H thng iu khin dng mch in t ................................................. 28
H thng iu khin dng vi mch s ..................................................... 28
H thng iu khin dng my tnh ........................................................ 28
H thng iu khin dng PLC ............................................................... 28
Mt s ng dng PLC trong iu khin. ................................................. 29
2

CHNG 2: K THUT IU KHIN LP TRNH PLC S7-200.......... 33


BI 1: CU TRC CHUNG CA PLC S7 - 200 .......................................... 33
I.
II.
1.
2.
3.
III.
1.
2.
3.
4.
IV.
1.
2.
3.
V.
VI.
1.
2.
3.

Modul CPU.............................................................................................. 33
Modul m rng v kiu d liu. .............................................................. 33
SM (Signal module): .............................................................................. 33
FM (function module): ............................................................................ 35
Kiu d liu ............................................................................................. 35
Cu trc b nh ....................................................................................... 35
Phn chia b nh: .................................................................................... 35
Vng d liu: ........................................................................................... 36
Vng i tng: ...................................................................................... 37
Phng thc truy cp b nh: ................................................................. 37
X l chng trnh .................................................................................. 38
Vng qut chng trnh PLC S7-200 ..................................................... 38
Cu trc chng trnh ca PLC S7-200 .................................................. 38
Phng php lp trnh ca PLC S7-200.................................................. 39
Thit k h thng iu khin dng PLC.................................................. 42
Kt ni gia PLC v cc thit ngoi vi ................................................... 45
Gii thiu CPU 214 ................................................................................. 45
Phng php kt ni vi thit b ngoi vi ............................................... 47
Mt s v d kt ni ng vo/ra ca s iu khin c tip im. ...... 47

BI 2: CI T V S DNG PHN MN STEP 7 MICRO/WIN32 . 51


I.
II.
III.
1.
2.

Nhng yu cu i vi my tnh PC ....................................................... 51


Ci t phn mm STEP 7 Micro/win32 ............................................. 52
Phn mm m phng PLC S7-200 .......................................................... 55
Xut chng trnh: .................................................................................. 55
S dng phn mm m phng................................................................. 55

BI 3: CC PHP TON NH PHN CA PLC S7-200 .......................... 62


I.
1.
2.
3.
4.
5.
II.
1.
2.
3.
III.
IV.
1.
2.
V.
1.
2.

Lnh lin kt Logic ................................................................................. 62


Lnh vo/ra :............................................................................................ 62
Cc lnh tip im c bit : ................................................................... 63
Cc lin kt logic c bn: ........................................................................ 64
Lin kt cc cng logic c bn: .............................................................. 66
Bi tp ng dng ..................................................................................... 69
Lnh ghi/xa gi tr cho tip im .......................................................... 72
Mch nh R-S.......................................................................................... 72
Lnh Set v Reset .................................................................................... 73
Cc v d ng dng b nh ..................................................................... 74
Bi tp ng dng. .................................................................................... 77
Lnh v thi gian (Timer). ...................................................................... 78
Timer to thi gian tr khng c nh (On-Delay Timer TON). .......... 78
Timer to thi gian tr c nh (Retentive On-Delay Timer TONR) ... 79
Lnh m (counter) ................................................................................. 80
B m ln (Counter up) ......................................................................... 81
B m ln/xung (Counter up) .............................................................. 81
3

VI.
1.
2.
3.
4.
5.
6.
VII.

Lnh nhy v lnh gi chng trnh con................................................. 83


Lnh t nhn: ......................................................................................... 83
Lnh nhy n nhn: ............................................................................... 83
Lnh gn nhn cho chng trnh con: ..................................................... 84
Lnh kt thc chng trnh con .............................................................. 84
Lnh gi chng trnh con: ..................................................................... 84
Cu trc lp trnh chng trnh con: ....................................................... 84
Cc v d ng dng ................................................................................. 86

BI 4: CC PHP TON S HC CA PLC S7-200............................... 93


I.
1.
2.
3.
4.
5.
6.
II.
1.
2.
3.
4.
III.
1.
2.
3.
4.
5.
6.
IV.
1.
2.
V.
1.
2.

Cc lnh so snh. ..................................................................................... 93


Lnh so snh bng (= =) .......................................................................... 93
Lnh so snh ln hn hoc bng (>=). .................................................... 93
Lnh so snh ln hn (>). ....................................................................... 94
Lnh so snh nh hn hoc bng (=<). ................................................... 94
Lnh so snh nh hn (<). ....................................................................... 94
Lnh so snh khng bng (<>). ............................................................... 95
Cc lnh di chuyn ni dung nh. ........................................................ 95
MOV_B: .................................................................................................. 95
MOV_W : ................................................................................................ 96
MOV_DW : ............................................................................................. 97
MOV_R: (dch chuyn s thc) .............................................................. 97
Cc lnh chuyn i d liu. ................................................................... 98
Lnh chuyn i s nguyn h thp lc phn sang led 7 an: .............. 98
Lnh chuyn i s m BCD sang s nguyn: ....................................... 99
Lnh chuyn i s nguyn sang m BCD: .......................................... 100
Lnh chuyn i s nguyn sang s thc: ............................................ 101
Lnh chuyn i s thc sang s nguyn: ............................................ 101
Lnh ly gi tr nghch o:................................................................... 102
Cc lnh chc nng ton hc................................................................. 102
Lnh tng gim mt n v: .................................................................. 102
Cc lnh s hc: .................................................................................... 106
Cc lnh truy cp ng h thc. ............................................................ 112
Lnh c: ............................................................................................... 112
Lnh ghi:................................................................................................ 112

BI 5: X L TN HIU ANALOG ............................................................ 116


I.
II.
III.
IV.

Tn hiu ANALOG............................................................................... 116


Gii thiu modul m rng ANALOG PLC S7 - 200 ............................ 116
Kt ni ng vo ra ca modul ANALOG .......................................... 118
Cc bi tp ng dng PLC x l tn hiu ANALOG ............................ 118

BI 6: PLC CA CC HNG KHC ........................................................ 122


I.
1.
2.
3.

PLC ca hng Omron: ........................................................................... 122


Cc PLC h CPM1A ............................................................................. 122
Cc CPU h C200Ha ........................................................................... 123
PLC loi Micro ...................................................................................... 124
4

4.
5.
II.
1.
2.
3.
III.
1.
2.
IV.
1.
2.
V.
1.
2.
3.

PLC loi Mini: CQM1/CQM1H ........................................................... 125


PLC loi Medium CS1: ......................................................................... 125
PLC ca hng Mitsubishi: ..................................................................... 126
PLC cc nh loi Alpha ........................................................................ 127
PLC loi FXO, FXOS ........................................................................... 127
PLC loi FXON, FX, FX2C, FX2N...................................................... 128
PLC ca hng Siemens (trung bnh v ln) .......................................... 129
PLC h S7-300: ..................................................................................... 129
PLC h S7-400: ..................................................................................... 129
HNG ALLENBRADLEY .................................................................. 130
PLC 5 System Controller ................................................................... 130
Loi Pico Controllers: ........................................................................... 130
HNG TELEMECANIQUE................................................................. 130
PLC loi XPS MF 60: ........................................................................... 130
PLC loi XPS MF 1-2-3. ....................................................................... 131
PLC loi XPS MF 31-30-35 .................................................................. 131

BI 7: CC M HNH IU KHIN BNG PLC ................................... 132


I.
1.
2.
3.
II.
1.
2.
3.
4.
III.
1.
2.
3.
4.
IV.
1.
2.
3.
4.
V.
1.
2.
3.
4.
VI.
1.
2.
3.
4.

M hnh thang my xy dng ............................................................... 134


M T: ................................................................................................... 134
Bng k hiu.......................................................................................... 134
Bi tp mu ............................................................................................ 134
M hnh iu khin ng c Y-:......................................................... 143
M t...................................................................................................... 143
Cch vn hnh m hnh ......................................................................... 143
Bng k hiu.......................................................................................... 144
Bi tp mu ............................................................................................ 144
M hnh xe chuyn nguyn liu ............................................................ 146
M t...................................................................................................... 147
Cch vn hnh m hnh: ........................................................................ 147
Bng k hiu.......................................................................................... 147
Bi tp mu:........................................................................................... 147
o chiu di v sp xp vt liu............................................................ 152
M t...................................................................................................... 152
Cch vn hnh m hnh ......................................................................... 153
Bng k hiu:......................................................................................... 153
Bi tp mu:........................................................................................... 153
Thit b nng hng ................................................................................. 157
M t...................................................................................................... 157
Cch vn hnh m hnh ......................................................................... 157
Bng k hiu.......................................................................................... 158
Bi tp mu ............................................................................................ 158
Thit b v nc chai............................................................................. 162
M t...................................................................................................... 162
Cch vn hnh m hnh ......................................................................... 162
Bng k hiu.......................................................................................... 163
Bi tp mu:........................................................................................... 163
5

VII.
1.
2.
3.
4.

Thit b trn ha cht ............................................................................ 168


M t..................................................................................................... 168
Cch vn hnh m hnh ........................................................................ 168
Bng k hiu......................................................................................... 169
Bi tp mu:.......................................................................................... 169

CHNG 3: K THUT IU KHIN LP TRNH PLC S7-300....... 175


BI 1: CU TRC CHUNG CA PLC S7 - 300 ........................................ 175
I.
1.
2.
3.
II.
1.
2.

Cc module v cc kiu d liu ca PLC S7-300. ................................ 175


Module CPU .......................................................................................... 176
Module m rng .................................................................................... 178
Kiu d liu ........................................................................................... 181
Phn chia b nh ................................................................................... 183
Vng cha chng trnh ng dng (LOAD MEMORY). .................... 183
Vng cha tham s ca h iu hnh v chng trnh ng dng. (system
memory) ................................................................................................ 183
3.
Vng cha cc khi d liu (Work memory): ..................................... 184
III.
Vng qut chng trnh v nhng khi OB c bit ............................ 186
1. Vng qut chng trnh................................................................................. 186
2.
Nhng khi OB c bit........................................................................ 188
IV.
Trao i d liu gia CPU v cc module m rng ............................ 189
BI 2: K THUT LP TRNH PLC S7 - 300 .......................................... 191
I.
1.
2.
II.
1.
2.
3.
4.
5.
6.
III.
1.
2.
IV.
1.
2.
3.
4.
5.
6.
7.
V.
1.
2.

Cu trc chng trnh PLC S7 - 300..................................................... 191


Lp trnh tuyn tnh: .............................................................................. 191
Lp trnh cu trc: ................................................................................. 192
Ngn ng lp trnh PLC ........................................................................ 193
Ngn ng lp trnh LAD: ( Ladder) ...................................................... 193
Ngn ng lp trnh FBD : (Function block Diagram) .......................... 194
Ngn ng lp trnh STL (Statement List) ............................................. 194
Ngn ng lp trnh SCL (Structured Control Language): .................... 194
Ngn ng lp trnh : S7-Graph.............................................................. 195
Ngn ng lp trnh : S7-High Graph..................................................... 195
Cu trc lnh v trng thi kt qu........................................................ 196
Ton hng l d liu .............................................................................. 196
Ton hng l a ch .............................................................................. 198
Thanh ghi trng thi .............................................................................. 200
FC ( first check):.................................................................................... 200
RLO (result of logic operation) ............................................................. 200
STA (status bit) ..................................................................................... 200
OR.......................................................................................................... 201
OS ( stored overflow bit)....................................................................... 201
OV ( overflow bit) ................................................................................. 201
CC0 v CC1 ( condiction code) ............................................................ 201
Th tc cn bn vit mt chng trnh iu khin ............................... 201
Xc nh thit b lp trnh...................................................................... 201
Xc nh yu cu cng ngh , kt hp vi PLC chn la a ch ......... 202
6

3.

Vit chng trnh v chy th ............................................................... 203

BI 3: HNG DN CI T V S DNG PLC S7 300 ................. 208


I.
II.
III.
1.
2.
3.

Nhng yu cu i vi my tnh PC. ................................................... 208


Ci t phn mm SIMATIC S7 -300.................................................. 208
Hng dn s dng phn mm Simatic S7 - 300 ................................. 220
To mi mt Project .............................................................................. 220
M chng trnh (Project) lp. ......................................................... 223
Chy th chng trnh: ......................................................................... 223

BI 4: TP LNH C BN CA PLC S7 - 300 ....................................... 224


I.
1.
2.
3.
4.
5.
6.
7.
8.
II.
1.
2.
3.
III.
1.
2.
3.
IV.
1.
2.
3.
4.
5.
6.
7.
V.
1.
2.
3.
4.
5.
6.
VI.
1.
2.
3.

Lnh logic tip im. ............................................................................. 224


Lnh AND ............................................................................................. 224
Hm OR ................................................................................................. 224
Hm NOT .............................................................................................. 224
Hm XOR ............................................................................................. 225
Lnh xo Reset ...................................................................................... 225
Lnh set ................................................................................................. 225
B nh RS ............................................................................................. 226
B nh SR ............................................................................................. 226
Lnh so snh. ......................................................................................... 227
Nhm hm so snh s nguyn 16 bit: .................................................. 227
Nhm hm so snh hai s nguyn 32 bits: ............................................ 227
Nhm hm so snh cc s thc 32 bits ................................................. 228
Lnh ton hc. ....................................................................................... 228
Nhm hm lm vic vi s nguyn 16 bits: .......................................... 228
Nhm hm lm vic vi s nguyn 32 bits: .......................................... 230
Nhm hm lm vic vi s thc: .......................................................... 232
Nhm hm i kiu d liu. .................................................................. 235
Hm chuyn s BCD thnh s s nguyn 16 bits: ................................ 235
Hm chuyn i s nguyn 16 bits sang dng BCD. ............................ 235
Hm chuyn i s nguyn 16 bits sang s nguyn 32 bits: ................ 236
Chuyn i s BCD sang s nguyn 32 bits: ........................................ 236
Hm o gi tr cc bits . ....................................................................... 237
Cc hm i du : .................................................................................. 238
Cc hm thc hin chc nng lm trn (i kiu d liu):................... 238
B thi gian ........................................................................................... 240
Nguyn l lm vic chung ca b Timer. ............................................. 240
B thi gian SP: .................................................................................... 241
B thi gian SE. .................................................................................... 243
B thi gian SD. (S_ODT) (on delay timer) ........................................ 244
B thi gian SS: .................................................................................... 245
B thi gian SA: (S_OFFDT) .............................................................. 247
B m (COUNTER). ........................................................................... 248
Nguyn l lm vic: .............................................................................. 248
Khai bo s dng:.................................................................................. 249
B m tin li ( ln - xung). ............................................................. 250
7

4.
5.
VII.
VIII.
1.
2.
3.
4.
5.
IX.
1.
2.
3.
4.
5.
6.

B m tin (m ln). .......................................................................... 250


B m li ( m xung. ....................................................................... 251
Khi chuyn d liu. ............................................................................. 251
Cc b ghi dch v quay s liu trn thanh ghi. .................................... 252
Dch phi s nguyn 16 bits: ................................................................. 252
Dich phi s nguyn 32 bits: ................................................................. 252
Dch tri 16 bit:...................................................................................... 253
Quay tri s 32 bits: .............................................................................. 254
Quay phi s 32 bits: ............................................................................. 254
Cc hm logic thc hin trn thanh ghi. ............................................... 255
Hm AND hai s c di l 16 bits.................................................... 255
Hm OR hai s c di l 16 bits:...................................................... 255
Hm XOR hai s c di 16 bits:....................................................... 256
Hm AND hai t kp: .......................................................................... 256
Hm OR hai t kp:............................................................................... 257
Hm XOR hai t kp :........................................................................... 257

BI 5: CC BI TP NG DNG PLC S7-300 ....................................... 259


I.
1.
2.
3.
II.
1.
2.
III.
1.
2.
I V.
1.
2.
3.
4.
5.

Chng trnh iu khin ng c 3 pha ............................................... 259


iu khin ng c i ni sao tam gic .............................................. 259
iu khin o chiu quay ng c 3 pha c hn ch chuyn ng .... 261
Khi ng ng c KB xoay chiu 3 pha roto dy qun qua 3 cp in
tr ph mch roto ............................................................................... 264
Chng trnh iu khin h thng n giao thng. ............................... 266
H thng n Giao thng cho ng thi cng (4 n) ......................... 266
H thng giao thng 5 n .................................................................... 270
iu khin h thng bnh trn. .............................................................. 274
iu khin h thng np v x .............................................................. 274
iu khin h thng trn ....................................................................... 278
Chng trnh iu khin h thng bng ti. .......................................... 282
iu khin h thng tun t 3 bng ti. ................................................ 282
Chng trnh iu khin h thng 5 bng ti ........................................ 285
iu khin h thng bng ti np vt liu ............................................. 289
H thng t ng ng hp ................................................................... 292
H thng iu khin nng h 4 tng (goods lift) .................................. 297

CHNG 4: K THUT IU KHIN LP TRNH C NH ............ 301


BI 1: KHI QUT CHUNG V LOGO.................................................... 301
I.
II.
1.
2.
3.
III.
1.
2.
IV.

Khi nim .............................................................................................. 301


Phn loi ................................................................................................ 301
Cch nhn bit LOGO: .......................................................................... 301
LOGO!24RC ......................................................................................... 301
Cc phin bn LOGO 24: ...................................................................... 302
Kh nng m rng ca LOGO .............................................................. 302
i vi phin bn LOGO!12/24 RC/RCo v LOGO!24/24o ............... 302
i vi phin bn LOGO!24 RC/RCo v LOGO!230 RC/RCo .......... 302
Cch u dy cho LOGO. ..................................................................... 303
8

1.
2.
3.
4.
5.

LOGO! 230........................................................................................... 303


LOGO! AM2... ..................................................................................... 303
LOGO! AM 2 PT100: .......................................................................... 304
Kt ni ng ra: ...................................................................................... 304
Kt ni vi modul analog output LOGO!AM 2 AQ:........................... 306

BI 2: CC HM C BN TRONG LOGO .............................................. 307


I.
1.
2.
II.
1.
2.
3.
4.
5.
6.
7.

Hm Co (Commons): ............................................................................ 307


nh ngha: ............................................................................................ 307
Ng vo/ra: ............................................................................................ 307
Hm GF( General functions):.............................................................. 310
nh ngha: ............................................................................................ 310
Hm AND: ............................................................................................ 310
Hm NAND:......................................................................................... 311
Hm OR:................................................................................................ 312
Hm NOR: ............................................................................................ 313
Hm XOR: ............................................................................................. 314
Hm NOT: ............................................................................................. 314

BI 3: CC HM C BIT (SF: SPECIAL FUNCTION) .................... 315


I.
II.
1.
2.
3.
4.
5.
6.
7.
III.
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.
11.
12.
13.
14.
15.
16.
17.

Cc hm c bit trong LOGO.............................................................. 315


Cc hm c bit b nh thi gian trong LOGO .................................. 317
On-Delay ............................................................................................... 317
Off - Delay: ........................................................................................... 317
On off delay:...................................................................................... 318
On-Delay c nh: .................................................................................. 319
Relay c xung tr hon: ......................................................................... 319
Relay thi giang ly cnh xung ln: ...................................................... 320
B pht xung khng ng b: ............................................................... 321
Cc hm c bit b nh bin i xung trong LOGO ......................... 321
B pht xung ngu nhin....................................................................... 321
Cng tc dng cho n cu thang. ........................................................ 322
Cng tc a chc nng. ......................................................................... 323
B nh ngy gi trong tun. ................................................................. 324
B nh ngy trong nm. ....................................................................... 325
B m ln xung (Tin Li). ............................................................ 325
B m gi. ........................................................................................... 326
B pht xung ph thuc tn s. ............................................................. 327
B pht xung ph thuc tn hiu Analog ng vo................................. 328
B pht xung ph thuc s khc bit Analog. ...................................... 329
B so snh tn hiu analog..................................................................... 330
B gim st tn hiu analo. .................................................................... 331
B khuch i analog. ........................................................................... 332
B cht Relay. ....................................................................................... 332
B relay xung. ....................................................................................... 333
B to thng bo. .................................................................................. 334
B kho mm......................................................................................... 335
9

18.
19.
20.
21.

Thanh ghi dch bit. ................................................................................ 337


B chn knh analog. ............................................................................ 338
Hm dc. ............................................................................................... 339
Bo iu khin PI. ................................................................................... 340

BI 4: IU KHIN LP TRNH VI LOGO ........................................ 342


I.
1.
2.
II.
1.
2.
3.
4.
5.
6.
7.
8.
9.
10.

CC BC LP TRNH C BN TRONG LOGO: ........................ 342


Cc thnh phn c bn cn xc nh ..................................................... 342
Hng dn thao tc lp trnh ................................................................. 342
BI TP NG DNG: ........................................................................ 344
Khi ng t n .................................................................................. 344
Ti cy trong nh knh. ....................................................................... 346
iu khin bng ti. .............................................................................. 347
iu khin n trong ca hng. ............................................................ 348
Chung bo gi trong trng hc. ........................................................ 349
Gim st xe trong bi xe................................................................... 349
iu khin hot ng lun phin gia 3 ti. ......................................... 350
iu khin tc b thng gi. ............................................................ 352
iu khin l nung Gas. ........................................................................ 353
iu khin Gas dit vi trng. ................................................................ 355

PH LC ......................................................................................................... 357
TI LIU THAM KHO .............................................................................. 358

10

CHNG 1: GII THIU CHUNG V B IU KHIN LP TRNH


BI 1: T NG HA V IU KHIN
I.

Khi qut v h thng iu khin.

Trn thc t mi ngnh sn xut cng nghip, mc tiu tng nng sut
lao ng, s lng v cht lng sn phm c gii quyt bng con ng gia
tng mc t ng ho cc qu trnh v thit b sn sut. Vic t ng ho c
th nhm mc ch tng sn lng hoc ci thin cht lng v chnh xc ca
sn xut. Nhng h thng c kh nng khi ng, kim sot v dng mt qu
trnh sn xut theo yu cu gim st hoc o m gi tr cc bin c xc
nh ca qu trnh nhm t c kt qu mong mun sn phm u ra ca
my hoc thit b th c gi l h thng iu khin.
Qu trnh t ng ho sn xut nhm thay th mt phn hoc ton b cc
thao tc vt l ca cng nhn vn hnh my mc hoc thit b thng qua h
thng iu khin. Nhng h thng iu khin c t ng ho c th iu
khin qu trnh sn xut vi tin cy cao, n nh m khng cn hoc cn rt t
s can thip ca con ngi.
Mt h thng iu khin bt k c m t theo s khi sau:
Khi vo

Khi x l

B chuyn
i tn hiu
vo

Khi ra

X l
iu khin
Tn hiu vo

Kt qu x l

Mch cng
sut, Rle,
cng
tct....

Hnh 1 - 1 S khi h thng iu khin


1.

Khi vo.

Cc tn hiu vo thng qua b chuyn i chuyn i cc tn hiu vt


l thnh cc tn hiu in ( c chuyn i chun ho). Cc b chuyn i c
th l b nt nhn (Button), cng tc (Switch), cm bin (sensor) nh cm bin
nhit hay in tr o sc cng tu theo loi chuyn i m cc tn hiu ra
khi b chuyn i c th l dng s (tip im) hoc dng lin tc (Analog).
2.

Khi x l.

Thay th ngi vn hnh thc hin cc thao tc m bo qu trnh hot


ng c s iu khin, n nhn thng tin cc tn hiu t khi vo x l tn hiu
vo ny theo mt lut no c t ra theo yu cu cng ngh v xut ra cc
tn hiu n khi ra thc hin cc tc ng n thit b.
3.

Khi ra.

Tn hiu ra l kt qu ca qu trnh x l ca h thng iu khin. Cc tn


hiu ny c s dng to ra nhng hot ng p ng c th cho cc my
hoc thit b ng ra nh ng c, cc van, xi lanh kh nn hay du p, bm, r
le Chng hn ng c bin i cc tn hiu in thnh chuyn ng quay (cc
11

thit b ng ra cng c dng b chuyn i vo nhng theo chiu ngc li). Cc


thit b ng ra c th lm vic vi tn hiu dng on/off hoc cc tn hiu lin tc.
T thng tin ca tn hiu u vo h thng iu khin t ng phi to ra
c nhng tn hiu ra cn thit p ng yu cu iu khin xc nh trong
b phn x l. Yu cu iu khin c th thc hin theo hai cch: dng mch
in kt ni cng hoc dng chng trnh iu khin. Mch in kt ni cng
c dng trong trng hp yu cu iu khin khng thay i, trong cc
phn t trong h thng c kt ni vi nhau theo mch c nh. Trong , h
thng dng chng trnh iu khin hot ng theo chng trnh lp sn lu
trong b nh v chng trnh c th c iu chnh hoc khi cn thit thay
bng chng trnh khc.
II.

Cc phng php iu khin.

1.

H thng iu khin h (Open loop control system):

i vi h thng h khu o lng khng c dng n, s thay i ca


tn hiu u ra khng c phn nh v thit b iu khin.
S hnh (1-2) l h thng iu khin h, c s l thuyt nghin cu
h thng h l l thuyt v r le (Relay) v l thuyt aptomat hu hn.
Dng iu khin n gin nht l iu khin vng h, tng c bn ca
iu khin ny l thit lp mt h thng hot ng t n mc chnh xc cn
thit bng cch iu chnh trc tip hot ng ng ra ca h thng. Khng c tn
hiu phn hi n b iu khin xc nh hoc iu chnh tn hiu ra, v vy
h thng dng iu khin dng ny c th cho tn hiu ra vi sai s ln.
Tn hiu vo

Controller

Tn hiu ra

Object

Hnh 1 - 2 H thng iu khin vng h


V d:
(Hnh 1-3): S iu khin ng c DC. Trong s ny tc ng
c ph thuc vo tn hiu t v n cn chu nh hng ca ti. Ti nng quay
chm v ngc li.
t tn
Driving torque
Controll signal
hiu iu
DC motor
khin

Ti
Load

Output speed

Hnh 1 - 3 iu khin ng c kiu vng h

12

2.

H thng iu khin kn (Closed loop control system)

H thng iu khin kn l h thng iu khin phi c tn hiu phn hi


(Feed back) c ngha l tn hiu ra c o lng v a v thit b iu khin.
Tn hiu phn hi kt hp vi tn hiu vo to ra tn hiu iu khin khng
ch u ra theo mong mun. S khi ca h iu khin kn c m t nh
hnh 1-4. C s l thuyt nghin cu h thng kn chnh l l thuyt iu
khin t ng.
H thng KT l h thng c xy dng t ba b phn ch yu:
- Thit b iu khin C (Controller) - Thit b iu khin ch tt c cc h
thng iu khin c th l h r le contactor ..hay PLC
- i tng iu khin O (Object)
- Thit b o lng M (Measuring device)

Noise
N
R

X
Controlle

Object

Z
Measuring

Hnh 1 - 4 S khi ca h thng iu khin kn


Cc tn hiu tc ng trong h thng:
R - (Refrence) tn hiu t hay tn hiu ch o
Y - Tn hiu ra OUTPUT
X - Tn hiu iu khin tc ng ln i tng (Object) thng gi
tn hiu vo ca mt khu.
e - Sai lch iu khin (error).
Z- Tn hiu phn hi (hoc k hiu l F: Feed back)
N- Tn hiu nhiu (Noise) tc ng t ngoi vo h thng
H iu khin kn chnh l h iu khin t ng tc l t c vn
iu khin t ng th h thng nht nh phi c khu phn hi. Trong cc
h truyn ng th tn hiu phn hi thng ly tn hiu t u ra sau i tng
iu khin v d cm bin nhit trong h iu khin nhit , phn hi tc
n nh tc ng c

13

3.

iu khin ni cng c tip im.

- Dng cc kh c in nh Rle, cng tc t, kt hp vi cm bin, cc


TZT, cng tc Cc kh c in ny c kt ni vi nhau theo mt mch in
c th thc hin mt yu cu cng ngh nht nh. V d mch iu khin
khi ng, mch iu khin o chiu quay, mch iu khin nhiu ng c
chy v dng trnh t (tun t)
4.

iu khin ni cng khng tip im

- Dng cc cng logic c bn, cc cng logic a nng hay cc mch tun
t l cc vi mch (gi l IC) kt hp vi b cc b cm bin, cc TZT, cng
tc Cc IC ny cng c ni vi nhau theo mt s logic c th thc
hin mt yu cu cng ngh nht nh. Cc mch iu khin ni cng s dng
cc linh kin in t cng sut nh SCR, TRIAC thay th cng tc t
trong mch ng lc.
- Trong h thng iu khin ni cng, cc linh kin hay kh c in c
ni vnh vin vi nhau. Do , khi mun thay i yu cu iu khin ca h
thng th phi thay i li hon ton mch in. Vi cc h thng phc tp th
rt tn km v khng hiu qu
III.. M hnh phn cp chc nng sn xut cng nghip.
Qun l cng ty
Mng cng ty
iu hnh sn xut
Mng x nghip
iu khin gim st
Bus h thng
Bus iu khin
iu khin

Chp hnh

Bus trng
Bus thit b
Bus cm bin
chp hnh

Hnh 1 - 5: M hnh phn cp chc nng cng ty sn xut cng nghip


14

sp xp, phn loi v phn tch c trng cc mng truyn thng cng
nghip, ta da vo m hnh phn cp cho cc cng ty, x nghip sn xut. Vi
m hnh ny cc chc nng c phn cp thnh nhiu cp khc nhau nh c
minh ho trn hnh v 1-5. Cng cp di th cc chc nng cng mang tnh c
bn hn v i hi yu cu cao hn v nhanh nhy, thi gian phn ng. Mt
cp chc nng trn c thc hin da theo cc chc nng cp di, tuy
khng i hi thi gian phn ng nhanh nh cp di, nhng ngc li lng
thng tin cn x l trao i li ln hn.
Tng ng vi nm cp chc nng l bn cp ca h thng truyn thng.
T cp iu khin gim st tr xung thut ng bus thng c dng thay
th cho mng vi l do phn ln cc h thng mng u c cu trc vt l
hoc logic kiu bus.
* Bus trng, bus thit b
Bus trng (fieldbus) l khi nim chung (dng nhiu trong cng nghip
ch bin) ch cc h thng bus ni tip s dng k thut truyn tin s kt
ni cc thit b thuc cp iu khin (PC, PLC) vi nhau v vi cc thit b
cp chp hnh, hay cc thit b trng. Cc chc nng chnh ca cp chp hnh
l o lng, truyn ng v chuyn i tn hiu trong trng hp cn thit. Cc
thit b c kh nng ni mng l cc vo ra phn tn (distributed I/O), cc thit
b o lng (Sensor, transmitter) hoc c cu chp hnh (Actuator, valve) c
tch hp kh nng x l truyn thng.
Nhim v ca bus trng l chuyn d liu qu trnh ln cp iu khin
x l v chuyn quyt nh iu khin xung cc c cu chp hnh, v vy
yu cu v tnh nng thi gian thc c t ln hng u. Thi gian phn ng
tiu biu nm trong phm vi t 0,1 ti vi miligiy. Trong khi yu cu v
lng thng tin trong bc in thng ch hn ch trong khong mt vi byte, v
vy tc truyn thng ch cn phm vi Mbit/s
Cc h thng bus trng thng c s dng rng ri nht hin nay l
PROFIBUS, Contrlonet, INTERBUS, CAN. Foundation Fieldbus.
* Bus h thng, bus iu khin
Cc h thng mng cng nghip c dng kt ni cc my tnh iu
khin v cc my tnh trn cp iu khin gim st vi nhau c gi l bus h
thng (System bus) hay bus qu trnh (process bus). Thng tin c trao i
theo chiu dc v c chiu ngang. Cc trm k thut, trm vn hnh v cc trm
ch cng trao i d liu qua cc bus h thng.
Thi gian phn ng tiu biu nm trong khong mt vi trm mili giy.
Trong khi yu cu v lng thng tin cn trao i ln hn nhiu so vi bus
trng, tc truyn thng trong phm vi t vi trm kbit/s n vi Mbit/s. Khi
bus h thng c s dng ghp ni theo chiu ngang gia cc my tnh iu
khin, ngi ta thng dng khi nim bus iu khin. Vai tr ca bus iu
khin l phc v trao i d liu thi gian thc gia cc trm iu khin trong
h thng c cu trc phn tn. Bus iu khin thng thng c tc truyn
khng cao, nhng yu cu v tnh thi gian thc cao.
Cc kiu bus h thng thng dng u da trn nn Ethernet nh:
Industrial Ethernet, Fieldbus Foundations High Speed Ethernet (HSE),
Ethernet/IP, PROFIBUS-FMS, ControlNet v Modbus Plus.
15

* Mng x nghip
Mng x nghip thc ra l mng LAN bnh thng c chc nng kt ni
cc my tnh vn phng thuc cp iu hnh sn xut vi cp iu khin gim
st. Thng tin c a ln trn bao gm trng thi lm vic ca cc qu trnh
k thut cc s liu tnh ton thng k v din bin qu trnh sn xut v cht
lng sn phm. Thng tin theo chiu ngc li l cc thng s thit k, cng
thc iu khin v mnh lnh iu hnh.
Mng x nghip khng yu cu nghim ngt v tnh thi gian thc. Vic
trao i d liu thng din ra khng nh k, nhng c khi s lng ln ti
hng Mgabyte. C hai loi mng c dng ph bin cho mng x nghip l:
Ethernet v Token-Ring, trn c s giao thc chun nh TCP/IP v IPX/SPX.
* Mng cng ty
Mng cng ty nm trn lp trn cng trong m hnh phn cp h thng
truyn thng ca mt cng ty sn xut cng nghip. c trng ca mng cng ty
gn vi mt mng vin thng hay mng my tnh din rng.
Chc nng ca mng cng ty l kt ni cc my tnh vn phng ca cc x
nghip, cung cp cc dch v trao i thng tin ni b v cc khch hng nh
th vin in t, hi tho t xa qua in thoi, hnh nh cung cp dch v truy
cp internet v thng mi in t. Hnh thc t chc ghp ni mng cng nh
cng ngh c p dng tu thuc vo u t ca cng ty.
Mng cng ty c vai tr nh mt ng cao tc trong h thng h tng c
s truyn thng ca mt cng ty, v vy i hi tc truyn thng v an
ton, tin cy c bit cao. Cc cng ngh ang c p dng l fast Ethernet,
FDDI, ATM.

16

BI 2: B IU KHIN LP TRNH (PLC)


I. S ra i ca b iu khin lp trnh PLC.
Programmable Logic Controller (PLC)
Nm 1642, Pascal pht minh ra my tnh c kh dng bnh rng.
n nm 1834 Babbage hon thin my tnh c kh "vi sai" c kh
nng tnh ton vi chnh xc ti 6 con s thp phn.
- Nm 1808, Joseph M.Jaquard dng cc l trn tm ba th kim loi
mng, sp xp chng trn my dt theo nhiu chiu khc nhau iu khin
my dt t ng thc hin cc mu hng phc tp.
- Trc nm 1904, Hoa K v c s dng mch rle trin khai
chic my tnh in t u tin trn th gii.
- Nm 1943, Mauhly v Ackert ch to "ci my tnh" u tin gi l
"my tnh v tch phn s in t" vit tt l ENIAC. My c:
18.000 n in t chn khng.
500.000 mi hn th cng.
Chim din tch 1613 ft2.
Cng sut tiu th in 174 kW.
6000 nt bm.
Khong vi trm phch cm.
Chic my tnh ny phc tp n ni ch mi thao tc c vi pht li v
h hng xut hin. Vic sa cha lp t li n in t chy li phi mt
n c tun.
Ch ti khi p dng k thut bn dn vo nm 1948, a vo sn xut
cng nghip vo nm 1956 th nhng my tnh in t lp trnh li mi c sn
xut v thng mi ho.
S pht trin ca my tnh cng km theo k thut iu khin t ng.
Mch tch hp in t - IC - nm 1959.
Mch tch hp gam rng - LSI - nm 1965.
B vi x l - nm 1974.
D liu chng trnh - iu khin.
K thut lu gi...
Nhng pht minh ny nh du mt bc rt quan trng v quyt nh
trong vic pht trin t k thut my tnh v cc ng dng ca n nh PLC,
CNC,... lc ny khi nim iu khin bng c kh v bng in t mi c
phn bit.
Thit b iu khin lp trnh u tin (programmable controller) c
nhng nh thit k cho ra i nm 1968 (Cng ty General Motor - M). Tuy
nhin, h thng ny cn kh n gin v cng knh, ngi s dng gp nhiu
kh khn trong vic vn hnh h thng. V vy cc nh thit k tng bc ci
tin h thng n gin, gn nh, d vn hnh, nhng vic lp trnh cho h thng
cn kh khn, do lc ny khng c cc thit b lp trnh ngoi vi h tr cho cng
vic lp trnh.
n gin ha vic lp trnh, h thng iu khin lp trnh cm tay
(Programmable Controller Handle) u tin c ra i vo nm 1969. iu ny
to ra mt s pht trin tht s cho k thut iu khin lp trnh. Trong giai
on ny cc h thng iu khin lp trnh (PLC) ch n gin nhm thay th h
17

thng Relay v dy ni trong h thng iu khin c in. Qua qu trnh vn


hnh, cc nh thit k tng bc to ra c mt tiu chun mi cho h
thng, tiu chun l lp trnh dng gin hnh thang, k hiu l LAD.
Trong nhng nm u thp nin 1970, nhng h thng PLC cn c thm kh
nng khc, l s h tr bi nhng thut ton, vn hnh vi cc d liu cp
nht. Mt khc, do s pht trin ca mn hnh dng cho my tnh nn vic giao
tip gia ngi iu khin lp trnh cho h thng cng tr nn thun tin hn.
S pht trin ca h thng phn cng v phn mm t nm 1975 cho n
nay lm cho h thng PLC pht trin mnh m hn vi cc chc nng m
rng: h thng ng vo/ra c th tng ln n 8.000 cng vo/ra, dung lng b
nh chng trnh tng ln hn 128.000 t b nh (word of memory). Ngoi ra
cc nh thit k cn to ra k thut kt ni vi cc h thng PLC ring l thnh
mt h thng PLC chung, tng kh nng ca tng h thng ring l. Tc x
l ca h thng c ci thin, chu k qut (scan) nhanh hn lm cho h thng
PLC x l tt vi nhng chc nng phc tp s lng cng ra/vo ln.
n cui thp k 20, ngi ta dng nhiu ch tiu phn bit cc loi k
thut iu khin, bi v trong thc t sn xut i hi iu khin tng th nhng
h thng my tnh ch khng iu khin n l tng my. S pht trin ca PLC
em li nhiu thun li v lm cho n cc thao tc my tr nn nhanh, nhy,
d dng v tin cy hn. N c kh nng thay th hon ton cho cc phng php
iu khin truyn thng dng rle (loi thit b phc tp v cng knh).
H thng PLC khng ch giao tip vi cc h thng khc thng qua CIM
(Computer Intergrated Manufacturing) iu khin cc h thng: Robot,
Cad/Cam m cc nh thit k cn xy dng cc loi PLC vi cc chc nng
iu khin thng minh gi l cc siu PLC (super PLC).
Kh nng iu khin thit b d dng v linh hot da trn vic lp trnh
trn cc lnh logic c bn; kh nng nh thi, m; gii quyt cc vn ton
hc v cng ngh; kh nng to lp, gi i, tip nhn nhng tn hiu nhm mc
ch kim sot s kch hot hoc nh ch nhng chc nng ca my hoc mt
dy chuyn cng ngh.
Nh vy nhng c im lm cho PLC c tnh nng u vit v thch hp
trong mi trng cng nghip:
- PLC d dng thay thay i chng trnh iu khin thch ng mt yu
cu mi m vn c th gi nguyn thit k phn cng, u ni dy
- PLC c th iu khin nhiu chc nng khc nhau t nhng thao tc n
gin, lp li, lin tc n nhng thao tc i hi chnh xc, phc tp.
- PLC d dng hiu chnh chnh xc cng vic iu khin v x l nhanh
chng cc lnh, t lnh logic n gin n cc lnh m (Counter), nh thi
(timer), chng trnh con (SBS) v.v
- Giao tip d dng vi cc thit b ngoi vi, cc module v cc thit b ph
tr nh mn hnh hin th.
- Kh nng khng nhiu rt tt.
- Cu trc dng module rt thun tin cho vic thit k, m rng, ci to nng
cp...
- C nhng modul chuyn dng thc hin nhng chc nng c bit hay
nhng modul truyn thng kt ni PLC vi mng cng nghip hoc mng
Internet...
18

- Kh nng lp trnh c, lp trnh d dng cng l c im quan trng


xp hng mt h thng iu khin t ng .
- Yu cu ca ngi lp trnh khng cn gii v kin thc in t m ch cn
nm vng cng ngh sn xut v bit chn thit b thch hp l c th lp
trnh c.
- Thuc vo h sn xut linh hot do tnh thay i c chng trnh hoc
thay i trc tip cc thng s m khng cn thay i li chng trnh.
II.

Gii thiu mt s nhm PLC ph bin hin nay.

1.

Siemens: c ba nhm

CPU S7 - 200:
CPU 21x: 210; 212; 214; 215-2DP; 216.
CPU 22x: 221; 222; 224; 224XP; 226; 226XM.
CPU S7 -300: 312IFM; 312C; 313; 313C; 313C-2DP+P; 313C-2DP;
314; 314IFM; 314C-2DP+P; 314C-2DP; 315; 315-2DP; 315E-2DP; 316-2DP.
CPU S7 - 400: Lin h cataloge Siemens.
2.

Mitsubishi: H FX

3.

Omron: H CMQ , h CPM

4.

Controll technique:

H Compact TWD LCAA 10DRP; TWD LCAA 16DRP; TWD LCAA


24DRP...
5.

ABB: Ba nhm

AC 100M
AC 400M
AC 800M, y l loi c 2 module CPU lm vic song song theo ch
d phng nng.
III.

C cu chung ca mt h thng PLC

C 2 kiu c cu thng dng i vi cc h thng PLC: Kiu hp n v


kiu Modun ni ghp. Kiu hp n thng dng cho cc thit b lp trnh c
nh v c cung cp di dng nguyn chic hon chnh gm b ngun, b vi
x l, b nh v cc thit b nhp xut. Thng thng kiu PLC loi ny c th
c 40 im nhp xut v b nh c th lu tr khong 300-1000 lnh hng
dn. ( Hnh 1-6 )

Hnh 1 - 6: PLC loi LOGO ca Simens


19

Cc PLC loi ny thng c nh LOGO ca Siemens, Zen ca OMRON


n c s dng nhiu trong trong cc h thng iu khin nh c gi thnh
khng cao. V d dng thay th sa cha cho cc my cng c nh, c s
lng I/O t.
Kiu mun gm cc mun ring bit, mun b ngun (PS), b vi x l
(CPU) cc mun vo ra ( I/O)v.v Chng thng c lp trn cc mng kim
loi gi l Rack. Kiu ny c th c s dng cho cc thit b iu khin lp
trnh mi kch c, mi chc nng khc nhau c gp vo mt mun ring bit
thng ghi l m un FM. (Xem nh hnh 1 7 ). Cc PLC loi ny c kch
thc ln hn, s lng u ra v u vo c th thay i thm vo d dng nn
n thch hp vi cc h iu khin ln. Cc PLC loi ny thng c nh
C200Hx ca OMRON, S7 300, 400 ca SIEMENSNi chung cc PLC
ngy nay u c xu hng ch to theo kiu ny.
Rack

SM

SM

SM

SM

SM

SM

CPU

PS Power

Cng giao tip my tnh ( MPI, DP)


Hnh 1 - 7: PLC gm cc module ring bit
Chng trnh c a vo b nh ca PLC bng thit b lp trnh thng
cc thit b ny khng kt ni c nh vi PLC. V c th chuyn t thit b lp
trnh ny ti thit b lp trnh khc m khng lm xo trn cc hot ng. PLC
hot ng th khng cn phi ni ti thit b lp trnh, sau khi chng trnh
c ti vo b nh ca PLC thng qua cp truyn thng.
Cc thit b lp trnh c th l loi cm tay (CONSOLE), my vi tnh vi
phn mm chuyn dng cho mi PLC. Cc CONSOLE c bn phm nh vi
mn hnh hin th LCD, n thun tin cho vic kim tra sa cha chng trnh
m khng cn n my tnh. Cc my tnh c nhn c lp cu hnh nh cc
trm lm vic pht trin chng trnh. Mt my tnh c nhn mun lm vic vi
mt PLC th phi c phn mm tng ng, s khc ch cn th mch truyn
thng chuyn dng giao tip vi PLC. u im khi s dng my tnh l cc
chng trnh c th lu gi v sao chp.
Chng trnh ch c chuyn vo b nh ca PLC khi vit c hon
chnh trn thit b lp trnh.
Trong phn tip theo ca chng trnh chng ta ch i vo nghin cu v
PLC Simatic S7-300.

20

IV.

Cu trc phn cng.

Mt h thng iu khin lp trnh c bn phi gm c hai phn:


Khi x l trung tm (CPU: Central Processing Unit : CPU) v h thng
giao tip vo/ra (I/0).

Hnh 1 - 8: S khi ca h thng iu khin lp trnh


Qu trnh c iu
khin

Pa-len
Lp
trnh

B nh
chng
trnh
B nh d
liu

B x l
trung
tm

Khi
ng vo
Khi
ng ra

Mch giao
tip v cm
bin
Mch cng
sut v tc
ng

Ngun cp iu khin

Hnh 1 - 9: Cu trc phn cng PLC


1.

B x l trung tm : (CPU Central Processing Unit )

CPU iu khin v qun l tt c hot ng bn trong PLC. Vic


trao i thng tin gia PLC, b nh v khi vo /ra c thc hin thng qua h
thng Bus di s iu khin ca CPU. Mt mch dao ng thch anh cung cp
xung clock tn s chun cho CPU, thng l 1 hay 8 Mhz tu thuc vo b x
l s dng. Tn s xung clock xc nh tc hot ng ca PLC v dng
thc hin s ng b cho tt c phn t trong h thng.
+ B x l trung tm (CPU) gm ba phn : B x l (Processor), H thng b
nh (Mermory) v H thng ngun cung cp (power suplly).

Hnh 1 -10 : S khi tng qut ca CPU


21

+ B nh :
Tt c PLC u dng cc loi b nh sau :

ROM (Read Only Memory )

RAM ( Random Acess Memory )

EEPROM (Electronic Erasable Programmable Read Only Memory)


Vi s tin b ca cng ngh ch to b nh, nn hu nh cc PLC u
dng b nh EEPROM. Trng hp ng dng cn b nh ln c th chn la
gia b nh RAM c ngun Pin nui v b nh EEPROM. Ngoi ra, PLC cn
b nh RAM cho cc chc nng khc nh :
B m lu trng thi ca cc ng vo v ng ra .
B nh tm cho tc v nh th, tc v , truy xut c
Dung lng b nh :
i vi PLC loi nh thng b nh c dng lng c nh, thng l
2k. Dung lng ny l p ng cho khong 80% iu khin hot ng trong
cng nghip. Do gi thnh b nh gim lin tc, cc nh sn xut PLC trang b
b nh ngy cng ln hn cho cc sn phm ca h.
2.

Khi vo / ra.

Mi hot ng x l tn hiu bn trong PLC c mc in p 5 vol DC v


15 vol DC (in p cho TTL v CMOS), trong khi tn hiu iu khin bn ngoi
c th ln hn nhiu, thng 24 vol DC n 240 vol DC vi dng ln.
Khi vo / ra c vai tr l mch giao tip gia vi mch in t ca PLC
vi cc mch cng sut bn ngoi kch hot cc c cu tc ng, n thc hin
chuyn i cc mc in tn hiu v cch ly, tuy nhin, khi vo / ra cho php
PLC kt ni trc tip vi cc c cu tc ng c cng sut nh, c 2A tr xung
khng cn cc mch cng sut trung gian hay rle trung gian.
C th la chn cho cc thng s cho cc ng vo / ra thch hp vi cc
yu cu iu khin c th :
Ng vo : 24 vol DC , 110 vol AC, hay 220 vol AC.
Ng ra : Dng rle, transistor hay triac.
Cc modul vo/ra c thit k nhm n gin vic kt ni cc c cu
chp hnh v cm bin vo PLC. Tt c cc cng vo/ra c thit k sao cho
nhanh chng lp rp v thay th khi b hng. Ti cc cng vo/ra u c nh
s a ch d khi lp trnh hay thc hin chc nng gim st (monitor) trng
thi ca chng. Trng thi ca cc cng vo/ra c bo hiu bng n LED ch
bo ngay trn PLC c tc dng kim tra tnh hot ng ca cc cm bin v c
cu tc ng kt ni vi PLC tin hn.
C th la chn thng s cho cc ng vo/ra thch hp cc yu cu iu
khin c th:
3.

Cc kiu ng ra:
* Kiu ng ra dng rle

c im:
- ng ct cho c ngun mt chiu v ngun xoay chiu.
- Chu c tn s ng ct thp.
- Tui th thp, ph thuc vo dng ti i qua zle v tn s ng tip
im. Imax= 2A.
22

LED
Q0.0
Mch vi
x l

Q0.
Mch vi
x l
Cun ht

COM

24V
24V

CO
B thu pht quang
Op coupler)

Hnh 1 - 11: Kiu ng ra dng rle


* Kiu ng ra dng Transistor
c im:
- ng ct cho ngun mt chiu; - Chu c tn s ng ct cao
- Tui th cao;
Imax= 50 mA

Hnh 1 -12: Kiu ng ra dng Tranzitor vi ngun DC.

Hnh 1 -13: Kiu ng ra dng Tranzitor vi ngun AC.


4.

Cc kiu ng vo

Ng vo thc l ng vo c cc mch in chuyn i lm cho tn hiu t


bn ngoi sau khi qua b chuyn i ny s c mc logic 0, hoc 1 m vi x l
nhn bit c. Nh vy, cc ng vo o dng lm vng nh. Ta ch c th dng
ng vo thc kt ni vi cc tip im bn ngoi.
23

* Kiu ng vo mt chiu
Tranzitor quang
R1
CT

R2

Mch
vi x
l

24V
Diot pht
quang
Hnh 1-14: Kiu ng vo mt chiu
* Kiu ng vo xoay chiu
Tranzitor quang
CT

R1
C

R2

Mch
vi x
l

D
Diot pht
quang

Hnh 1-15: Kiu ng vo xoay chiu


+ Pa-len lp trnh:
Trn cc PLC loi ln thng lp trnh bng cch dng VDU (Visual
Display Unit) vi y cc bn phm v mn hnh, c ni vi PLC thng
qua cng ni tip, thng l RS 442. Cc VDU h tr rt tt cho vic lp trnh
dng ngn ng Ladder k c cc ch thch trong mi trng son tho chng
trnh lm cho chng trnh d c hn.
Hin nay my vi tnh c x dng rt ph bin lp trnh cho PLC, vi
CPU x l nhanh, mn hnh ho cht lng cao, b nh ln v gi thnh
ngy cng h, my vi tnh rt l tng cho vic lp trnh bng ngn ng Ladder,
ngoi ra b lp trnh cm tay (Console) thng c s dng thun tin trong
cng tc sa cha v bo tr.

24

V. Hot ng ca PLC

4. Chuyen d lieu t bo nh ao
ieu khien thiet b ngoai vi

1. oc d lieu t ngoai vao


( Read input )

3. Truyen thong va
t kiem tra li

2.Thc hien chng trnh


( Program excution )

Hnh 1-16 :Mt vng qut ca PLC.


- PLC thc hin chng trnh theo chu trnh lp, mi vng lp c gi l
vng qut. Mi vng qut bt u bng giai on c d liu t cc ng vo
(contact, sensor, relay...) vo vng b m o, tip theo l giai on thc hin
chng trnh. Trong tng vng qut, chng trnh c thc hin bng lnh u
tin v kt thc ti lnh MEND. Sau giai an thc hin chng trnh l giai
on truyn thng ni b v kim tra li. Vng qut c kt thc bng giai
on chuyn cc ni dung ca b m o ti cc ng ra.
- Nh vy, ti thi im thc hin lnh vo/ra, lnh ny khng trc tip
lm vic vi cng vo/ra m ch thng qua b m o ca cng trong vng tham
s. Vic truyn thng gia b m o vi thit b ngoi vi trong giai an 1 v 4
l do CPU qun l. Khi gp lnh vo/ra ngay lp tc th h thng s cho dng
mi cng vic khc, ngay c chng trnh x l ngt thc hin lnh ny trc
tip vi cng vo/ra.
- Thng vic thc thi mt vng qut xy ra vi mt thi gian rt ngn,
mt vng qut n (single scan) c thi gian thc hin t 1ms ti 100ms. Vic
thc hin mt chu k qut di hay ngn cn ph thuc vo di ca chng
trnh v c mc giao tip gia PLC vi cc thit b ngoi vi (mn hnh hin
th).Vi x l c th c c tn hiu ng vo ch khi no tn hiu ny tc
ng vi khong thi gian ln hn mt chu k qut th vi x l coi nh khng
c tn hiu ny. Tuy nhin trong thc t sn xut, thng cc h thng chp hnh
l cc h thng c kh nn tc qut nh trn c th p ng c cc chc
nng ca dy chuyn sn xut. khc phc thi gian qut di, nh hng n
chu trnh sn xut cc nh thit k cn thit k h thng PLC cp nht tc thi,
cc h thng ny thng c p dng cho cc PLC ln c s lng I/O nhiu,
truy cp v x l lng thng tin ln.
VI.

Phn loi PLC:

u tin l kh nng v gi tr cng nh nhu cu v h thng s gip


ngi s dng chn nhng loi PLC no m h cn. Nhu cu v h thng c
xem nh l mt nhu cu u tin, n gip ngi s dng bit cn loi PLC no v
c trng ca tng loi d dng la chn.

25

PLC phn loi nh sau:


1.

Loi 1: Micro PLC (PLC siu nh).

Micro PLC thng c ng dng trong cc dy chuyn sn xut nh,


cc ng dng trc tip trong tng thit b n l (v d: iu khin bng ti nh.
Cc PLC ny thng c lp trnh bng cc b lp trnh cm tay, mt vi
micro PLC cn c kh nng hot ng vi tn hiu I/O tng t (analog). Cc
tiu chuu ca mt Micro PLC nh sau:
- 32 ng vo/ra.
- S dng vi x l 8 bit.
- Thng dng thay th rle.
- B nh c dung lng 1K.
- Ng vo/ra l tn hiu s.
- C timers v counters.
- Thng c lp trnh bng cc b lp trnh cm tay.
2.

Loi 2: PLC c nh (Small PLC)

Small PLC thng c dng trong vic iu khin cc h thng nh (v


d: iu khin ng c, dy chuyn sn xut nh), chc nng ca cc PLC ny
thng c gii hn trong vic thc hin chui cc mc logic, iu khin thay
th rle. Cc tiu chun ca mt small PLC nh sau:
- C 128 ng vo/ra (I/O).
- Dng vi x l 8 bit.
- Thng dng thay th cc role.
- Dng b nh 2K.
- Lp trnh bng ngn ng dng hnh thang (ladder) hoc lit k.
- C timers/counters/thanh ghi dch (shift registers).
- ng h thi gian thc.
3.

Loi 3: PLC c trung bnh (Medium PLC)

PLC trung bnh iu khin c cc tn hiu tng t, xut nhp d liu,


ng dng c nhng thut ton, thay i c cc c tnh ca PLC nh vo
hot ng ca phn cng v phn mm (nht l phn mm) cc thng s ca
PLC trung bnh nh sau:
- C khong 1024 ng vo/ra (I/O).
- Dng vi x l 8 bit.
- Thay th rle v iu khin c tn hiu tng t.
- B nh 4K, c th nng ln 8K.
- Tn hiu ng vo ra l tng t hoc s.
- C kh nng x l chng trnh con (qua lnh JUMP).
- C cc lnh dng khi v ngn ng l ngn ng cp cao.
- C timers/counters/Shift Register.
- Thc hin cc thut ton (cng, tr, nhn, chia).
- Gii hn d liu vi b lp trnh cm tay.
- C ng tn hiu c bit module vo/ra.
- Giao tip vi cc thit b khc qua cng RS485.
- C kh nng hot ng vi mng.
- Lp trnh qua mn hnh my tnh d quan st.
26

4.

Loi 4: PLC c ln (large PLC).

Large PLC c s dng rng ri hn do c kh nng hot ng hu


hiu, c th nhn d liu, bo nhng d liu nhn Phn mm cho thit b
iu khin cm tay c pht trin mnh hn to thun li cho ngi s dng.
Tiu chun PLC c ln: Ngoi cc tiu chun nh PLC c trung, PLC c ln
cn c thm cc tiu chun sau:
- C 2048 cng vo/ra (I/O).
- Dng vi x l 8 bit hoc 16 bit.
- B nh c bn c dung lng 12K, m rng ln c 32K.
- Local v remote I/O.
- iu khin h thng rle (MCR: Master Control Relay).
- Chui lnh, cho php ngt (Interrupts).
- PID hoc lm vic vi h thng phn mm PID.
- Hai hoc nhiu hn cng giao tip RS 485.
- Ni mng.
- D liu iu khin m rng, so snh, chuyn i d liu, chc
nng gii thut ton m iu khin m rng (m nh phn, hexa ).
- C kh nng giao tip gia my tnh v cc module.
5.

Loi 5: PLC rt ln (very large PLC)

Very large PLC c dng trong cc ng dng i hi s phc tp v


chnh xc cao, ng thi dung lng chng trnh ln. Ngoi ra PLC loi ny
cn c th giao tip I/O vi cc chc nng c bit, tiu chun PLC loi ny
ngoi cc chc nng nh PLC loi ln cn c thm cc chc nng:
-C 8192 cng vo/ra (I/O).
- Dng vi x l 16 bit hoc 32 bt.
- B nh 64K, m rng ln c 1M.
- Thut ton :+, -, *, /, bnh phng.
- D liu iu khin m rng: Bng m ASCII, LIFO, FIFO.
VII. So snh PLC vi cc h thng iu khin.
1.

H thng iu khin dng r le

Rle l mt cng tc in c kh nng chu c dng cao, c tc ng


gin tip bi dng in iu khin c cng thp, n l thnh phn quan
trng trong h thng iu khin hin i. Nhim v chnh ca r le l to ra s
giao tip gia cc tn hiu mc in p thp (5 - 24vol ) t cc b iu khin
n cc thit b cng sut hoc c cu tc ng lm vic vi in p cao v dng
cao.
Tt c cc rle u c cu to v c ng/m cc tip im, chnh cu
to ny lm hn ch tc tc ng, tui th v tin cy, mt s nhc im na
l cng knh, chim nhiu khng gian trong t iu khin v khng kinh t trong
trng hp ch lm nhim v nh mt cng tc n gin. Ton b h thng ni
cng nn khng th thay i khi thay i yu cu cng ngh, cch duy nht l
lp mi mch in thay h thng khc. Bng cch ni kt cc tip im ng
vo v ng ra ca cc r le theo kiu ni tip hoc song song c th to ra cc
logc iu khin, (logic OR, AND ), vic t hp cc phn t logic khc nhau
c th dng to ra cc chng trnh iu khin phc tp.
27

2.

H thng iu khin dng mch in t

Transistor c dng nh cng tc ng m, vi kch thc nh v khng


c cu to c kh, ng dng iu khin ca transistor khng b hn ch khi thc
hin chuyn trng thi vi hai trng thi 0 v 1 tng ng vi trng hp
transistor dn bo ho hoc ngng dn. Cc mch transistor c thit k
thc hin nhiu loi t hp logic v kch tn hiu ng ra ca b iu khin. H
thng cng l h ni cng, khng th thay th, kh sa cha, s lng cc u
vo ra iu khin h thng c hn..
Khoa hc k thut pht trin cc mch in t c tch hp trn cng
mt chip silicon, vic thit k cc mch iu khin thc hin bng cch la chn
cc vi mch chc nng kt ni thch hp vi nhau.
3.

H thng iu khin dng vi mch s

Nhng IC s u tin c sn xut ch c mt s t cc cng logic trn


mi vi mch. Mi cng bao gm diode hoc transistor, in tr v t in phn
cc, cc cng logc hot ng vi tc cao v tiu th nng lng t hn so vi
cc mch rle. H ny tc dng mch tng i nhanh, t b nhiu .Tuy nhin
mch ni cng nn cng l nhc im ln nh cc h iu khin trn. Mt kh
khn na l h thng rt kh thit k v khng c kh nng m rng cc u vo
ra Du sao h iu khin s cng l mt thnh tu ln trong lnh vc iu
khin.
4.

H thng iu khin dng my tnh

n gia thp nin 1970 ch c rt t ng dng iu khin bng my tnh


vo sn xut. Nhng sau , nh s pht trin mnh m ca k thut in t, cc
my tnh cng nh gn v tc x l ngy cng nhanh hn, gi thnh ngy
cng h hn, gip cho cng vic ng dng my tnh trong iu khin c hiu
qu kinh t v hp dn cc nh thit k h thng iu khin. Mi my vi tnh c
th iu khin tt cho tng thit b v thc hin c kh nng giao tip truyn
thng bao gm truyn v nhn d liu. V vy, my vi tnh c th thc hin cc
dng iu khin:
iu khin trnh t thng qua tn hiu ng vo ng m cc tn hiu
ng ra. Vic p dng my vi tnh vo iu khin i tng vt l i hi phi
thc hin qua mch giao tip tn hiu gia my vi tnh iu khin vi phn
thit b cng sut bn ngoi thng qua cc b m.
iu khin tn hiu lin tc, iu khin qu trnh lin tc, x l vi cc
tn hiu tng t phi trang b cho my vi tnh b chuyn i tn hiu tng t
- s ng vo v b chuyn i tn hiu s - tng t ng ra.
5.

H thng iu khin dng PLC

B iu khin logic lp trnh PLC (programmable logic controller) c


thit k nhm thay th phng php iu khin truyn thng dng rle v thit
b ri cng knh, v n to ra mt kh nng iu khin thit b d dng, linh hot
da vo cc lp trnh trn cc lnh logic c bn. Vic s dng PLC cho php
chng ta hiu chnh h thng m khng cn c s thay i no v mt kt ni
dy, s thay i ny ch l thay i chng trnh iu khin trong b nh thng
qua thit b lp trnh chuyn dng. Mt khc s dng PLC trong iu khin cho
28

php lp t v a h thng vo hot ng nhanh hn so vi h iu khin


truyn thng i hi vic ni dy phc tp gia cc thit b ri.
Nhng c im ni bt ca iu khin PLC.
PLC c y cc u im ca cc h iu khin trn ngoi ra n cn c
cc c im ni tri sau:
- Kh nng chng nhiu tt.
- Cu trc dng modul cho php d dng thay th, tng kh nng
(thm modul m rng vo / ra ) v thm chc nng (thm modul chuyn dng).
- Vic kt ni dy v mc in p tn hiu ng vo v ra c
chun ho .
- Ngn ng lp trnh chuyn dng: Ladder, instruction v Function
chart d hiu v d s dng cho mi i tng lp trnh.
- Thay i chng trnh d dng.
- Kt ni vi ngoi vi d dng
- C nhiu loi khc nhau do vy vic la chn ph hp v mt
kinh t v yu cu cng ngh d dng.
VIII. Mt s ng dng PLC trong iu khin.
T cc u im nu trn, hin nay PLC c ng dng trong rt
nhiu lnh vc khc nhau trong cng nghip nh:
- iu khin h thng nng vn chuyn.
- iu khin dy chuyn ng gi.
- iu khin cc ROBOT lp rp sn phm .
- iu khin h thng bm.
- iu khin dy chuyn x l ho hc.
- iu khin cng ngh sn xut giy .
- iu khin dy chuyn sn xut thu tinh.
- iu khin h thng sn xut xi mng.
- iu khin cng ngh ch bin thc phm.
- iu khin dy chuyn ch to linh kin bn dn.
- iu khin dy chuyn lp gip Tivi.
- iu khin h thng n giao thng.
- iu khin qun l t ng bi u xe.
- iu khin h thng bo ng.
- iu khin dy chuyn may cng nghip.
- iu khin h thng thang my.
- iu khin dy chuyn sn xut xe t.
- iu khin sn xut vi mch.
- Kim tra qu trnh sn xut .
* PLC trong lnh vc iu khin rbt
c thit k c nhiu ng vo /ra, PLC thc hin nhng logic n gin
trn ng vo v kch hot nhng ng ra tng ng. s ln trng hp, hot
ng cho php s dng PLC loi nh iu khin chuyn ng ca robot theo
mt trnh t t im ny n im khc v thc hin cc hot ng kho gia
robot vi cm bin n gin. Trong loi ng dng ny PLC thay th nhng rle
v cng tc dng in c, thng dng iu khin hot ng ca c cu tc
ng theo tn hiu t cc cng tc hnh trnh a v trong qu trnh hot ng
29

trnh t. Hn na, PLC c th thc hin chng trnh trnh t ln n hng trm
bc v khi cn thit c th c thay i nhanh chng robot hot ng theo
mt trnh t khc.
* PLC trong h thng sn xut linh hot
H thng sn xut linh hot FMS (Flexible Manufacturing System ), gm
nhiu my hot ng theo chng trnh c kt hp li thnh cm sn xut, c
kh nng sn xut nhiu chng loi sn phm di s iu khin hon ton t
ng. Mt cm sn xut tiu biu c th gm: My tin CNC v my phay CNC
c c cu thay dao, hai robot, h thng bng ti, my vi tnh Master v PLC.
Hnh 2-11 l s khi m t h thng.
Mng nh my
(LAN)

My tin CNC v
c cu thay dao
My phay CNC
v c cu thaydao

My vi tnh Master
(b iu khin h thng)

Robot 1
Robot 2

Bng iu khin gim


st

H thng bng ti
PLC

Hnh 1-17: H thng sn xut linh hot FMS

Chng trnh iu khin cho my CNC, robot v c cu khc c ti


xung t my tnh Master khi c tn hiu bo c s thay i sn phm. PLC
c s dng to s ng b gia tt c cc my trong h thng.

30

* PLC trong iu khin qu trnh:


Mt v d v iu khin qu trnh l mt n v sn xut s dng dy
truyn m in x l mt dy cc chi tit hp kim c chnh xc cao i
hi qua nhiu chu trnh x l khc nhau. Cc chi tit thng i tng l, s lng
t, vi gi tr ca tng chi tit cao. Dy truyn x l gm nhiu bn, mi loi
bn cha mt loi ho cht, thc hin kh axt, m v lm sch b mt. H
thng cn trc pha trn dng di chuyn chi tit t bn ny qua bn khc,
nng ln hoc h xung nhng mc trt. Dy truyn cn c t ng ho
nng cao nng sut v cht lng sn phm. V vy, PLC c s dng p ng
cc yu cu sau: cho php 12 chu trnh hot ng khc nhau, x l ng thi 3
hay nhiu chi tit hn, giao tip vi ngi s dng d dng v c ch hot
ng bng tay, cho php thm vo chu trnh mi trong tng lai.
Ray mang thanh n

a thanh
vo bn

24

Ra
xuyanua

Kh axit
sunfuric
xuyanua

Kh nng

Ra kim

Ra lnh

Trm cp
liu cho
thanh dn

Hnh 1-18: Cc bn x l ho hc (tng cng c 24 bn)


* PLC trong mng thu nhn d liu
Kho st dy truyn ng gi ht polyethylen trong nh my sn xut ho
cht. Dy truyn h thng c th m t:
Mt s phu ng m bng van cho php cc vin polyethylen ri vo
tng bao trn my ng gi. My ny cn tng gi 25 kg, th tng l vo mt
ci bao khc c ly lin tc t cun bao. Bao c vn chuyn trn bng ti
kim tra trng lng v ng du ln tng bao sau chuyn ra ngoi. C
th s b h thng (nh hnh v 1-18). Gm c mt s cc cng on v ng
dng ca PLC nh sau:

31

H thng hot ng c:
My ng gi
My in
My kim tra trng lng
Bng ti 1
Bng ti 2
My rt bao

Thng
vin
Cun
giy

My
ng
gi
v
cn

PLC iu
khin
RS

My in
Bng
ti 1

Kim
tra
trng
lng

My tnh iu
khin
RS

PLC A
My vi tnh
My vi tnh
PLC B
PLC C
Rle

Bng ti
2
My
cht
gi

My
ng
bao

PLC iu
khin

iu khin
dng rle

PLC iu
khin bng ti

Hnh 1-19: S dy truyn ng bao

32

CHNG 2: K THUT IU KHIN LP TRNH PLC S7-200


BI 1: CU TRC CHUNG CA PLC S7 - 200
PLC S7-200 l thit b iu khin logic lp trnh loi nh v trung bnh
ca hng Siemens (c), c cu trc theo kiu module v c cc module m
rng. Cc module ny ) c s dng cho nhiu ng dng lp trnh khc nhau.
I. Modul CPU
- L module c cha b vi x l, h iu hnh , b nh, cc b thi gian
v cc b m. Cc cng vo/ra s c trn module CPU c gi l cng vo/ra
onboard
- Trong h S7-200 c nhiu loi module CPU khc nhau. Chng c t
tn theo b vi x l c trong n nh module CPU 212, module CPU 213,
module CPU 214, module CPU 221, module CPU 222, module CPU 224.
- Ngoi ra module CPU cn c n bo cc ch hot ng

Cac cng ra

SF
RUN
STOP

SIEM ENS

SIM ATIC
S7 - 200

I0.0
I0.1
I0.2
I0.3
I0.4
I0.5
I0.6
I0.7

Cac cCc
ng va
o vo
cng

I1.0
I1.1
I1.2
I1.3
I1.4
I1.5

Q0.0
Q0.1
Q0.2
Q0.3
Q0.4
Q0.5
Q0.6
Q0.7

Q1.0
Q1.1

Cng truyn thng

Hnh 2 - 1: Cc cng vo ra ca PLC S7-200


II.

Modul m rng v kiu d liu.

1.

SM (Signal module):

Module tn hiu vo/ra. Mi Module ny u c chn cp ngun in p,


thng bng vi in p ca ng vo/ra ca module .
Dng module vo/ra thng c mt s loi nh sau:
* DI (Digital input):
Module cc cng vo s. S cc cng vo s c th l 8, 16 hoc 32 tu
thuc vo tng loi module.
* DO (Digital output):
Module cc cng ra s. S cc cng ra s c th l 8, 16 hoc 32 tu
thuc vo tng loi module.

33

* DI/DO (Digital input /Digital output):


Module cc cng vo/ra s. S cc cng vo/ra s c th l 8/8, 16/16 tu
thuc vo tng loi module.
* AI (Analog input):
Module cc cng vo tng t. Thc cht chng l b chuyn i tng
t /s 12 bits (ADC Analog Digital Convert) (Tc l mi tn hiu tng t c
chuyn thnh mt tn hiu s c di 12 bits). S cc cng vo tng t c th
l 2, 4 hoc 8, tu thuc vo tng loi module.
* AO (Analog output):
Module cc cng ra tng t. Thc cht chng l b chuyn i s/tng
t (DAC Digital Analog Convert). S cc cng vo tng t c th l 2, hoc 4,
tu thuc vo tng loi module.
* AI/AO (Analog input/Analog output):
Module cc cng vo/ra tng t. S cc cng vo/ra tng t c th l
4/2, hoc 4/4, tu thuc vo tng loi module.
- Mi n v I/O c duy nht mt a ch, cc hin th trng thi ca cc
knh I / O c cung cp bi cc n LED trn PLC, iu ny lm cho vic
kim tra hot ng nhp xut tr nn d dng v n gin.
- C th m rng ng vo/ra ca PLC bng cch ghp ni thm vo PLC
cc modul m rng v pha bn phi ca CPU (CPU 214 c th ghp nhiu nht
7 modul), lm thnh mt mc xch, bao gm cc modul c cng kiu.
- Cc modul m rng s hay tng t u chim ch trong b m, tng
ng vi s u vo/ ra ca cc module.
Sau y l mt v d v cch t a ch cho cc module m rng:
CPU

I0.0 Q0.0
I0.1 Q0.1
I0.2 Q0.2
I0.3 Q0.3
I0.4 Q0.4
I0.5 Q0.5
I0.6 Q0.6
I0.7 Q0.7
I1.1 Q1.0
I1.2 Q1.1
I1.3
I1.4
I1.5

MODUL 0 MODUL 1
(4vo/4ra)
(8 vo)

I2.0
I2.1
I2.2
I2.3
Q2.0
Q2.1
Q2.2
Q2.3

I3.0
I3.1
I3.2
I3.3
I3.4
I3.5
I3.6
I3.7

MODUL 2 MODUL 3
( 3 vo
(8 ra)
analog 1 ra
analog)
AIW0
Q3.0
AIW2
Q3.1
AIW4
Q3.2
Q3.3
AQW0
Q3.4
Q3.5
Q3.6
Q3.7

MODUL 4
(3 vo analog
1 ra analog)
AIW8
AIW10
AIW12
AQW4

34

2.

FM (function module):

Module c chc nng iu khin ring. V d module iu khin ng c


bc, module PID
3.
*

Kiu d liu
BOOL:

Vi dung lng 1 bit v c gi tr 0 hoc 1. y l kiu d liu cho bin


hai gi tr.
*

BYTE:

Gm 8 bits, thng c dng biu din mt s nguyn dng trong


khong t 0 n 255 hoc m ASCII ca mt k t.
*

WORD:
Gm 2 byte, biu din mt s nguyn dng t 0 dn 65.535.

INT:

Cng c dung lng 2 byte, dng biu din mt s nguyn trong


khong t -32.768 n 32.767.
*

DINT:

Gm 4 byte, dng biu din mt s nguyn t -2.147.483.648 n


2.147.483.647
*

REAL:
Gm 4 byte, dng biu din mt s thc du phy ng.

S5T (S5TIME):
Khong thi gian c tnh theo gi/pht/giy/mini giy.

DATE :
Biu din gi tr thi gian tnh theo nm/thng/ngy

CHART:
Biu din mt hoc nhiu k t.

III.

Cu trc b nh

1.

Phn chia b nh:

B nh ca S7-200 c chia thnh 4 vng vi 1 t c nhim v duy tr


d liu trong mt khong thi gian nht nh khi mt ngun. B nh S7-200 c
tnh nng ng cao, c, ghi c trong ton vng, loi tr cc bit nh c bit
SM (Special memory) ch c th truy nhp c.
Hnh v 2-2 m t b nh trong v b nh ngoi ca PLC bao gm:
Vng chng trnh: min b nh c s dng lu tr cc lnh
chng trnh.
Vng tham s: min lu tr cc tham s nh: t kha, a ch trm,
cng ging nh vng chng trnh.
35

Vng d liu: c s dng ct cc d liu ca chng trnh bao gm


cc kt qu cc php tnh, b m truyn thng
Vng i tng: Timer, B m, b m tc cao v cc cng vo/ra
tng t c t trong vng nh cui cng.
Hai vng nh: d liu v vng nh i tng c ngha quan trng trong
vic thc hin mt chng trnh.
EEPROM
Min nh ngoi
Chng trnh

Chng trnh

Chng trnh

Tham s

Tham s

Tham s

D liu

D liu

D liu

Vng i tng

Hnh 2 - 2: B nh trong v ngoi ca S7-200


2.

Vng d liu:

- Vng d liu l mt min nh ng. N c th c truy cp theo tng


bit, tng byte, tng t n (word), hoc theo tng t kp v c s dng lm
min lu tr d liu cho cc thut ton, cc hm truyn thng, lp bng, cc hm
dch chuyn, xoay vng thanh ghi, con tr a ch,
- Ghi cc d liu kiu bng b hn ch rt nhiu v cc d liu kiu bng
thng ch c s dng theo nhng mc ch nht nh.
- Vng d liu li c chia thnh nhng min nh nh vi cc cng
dng khc nhau. Chng c k hiu bng cc ch ci u ca tn ting Anh,
c trng cho cng dng ring ca chng nh sau:
+ V: Variable memory
+ I: Input image register
+ O: Output image register
+ M: Internal memory bits
+ SM: Special memory bits
Tt c cc min ny u c th truy cp c tng bit, byte, word, double
word.
V d: V, VB, VW, VDW.
I, IB, IW, IDW
O, OB, OW, ODW
M, MB, MW, MDW
SM, SMB, SMW, SMDW

36

Vng nh

CPU 221

CPU 222

CPU 224

CPU 226

V0.0V2047.7

V0.0V2047.7

V0.0V5119.7

V0.0V5119.7

I0.0I15.7

I0.0I15.7

I0.0I15.7

I0.0I15.7

Vng Q
d liu M
SM
S
L
Timer

Vng
i
tng

Q0.0Q15.7
Q0.015.7
Q0.0Q15.7
Q0.0Q15.7
M0.0M31.7
M0.0M31.7
M0.0M31.7
M0.0M31.7
SM0.0SM179.7 SM0.0SM179.7 SM0.0SM179.7 SM0.0SM179.7
S0.0S31.7
S0.0S31.7
S0.0S31.7
S0.0S31.7
L0.0L63.7
L0.0L63.7
L0.063.7
L0.0L63.7
T0T255

Counter C0C255
Analog
none
inputs
Analog
none
outputs
Thanh ghi
AC0AC3
ACC
B m
HC0 HC5
tc cao

T0T255

T0T255

T0T255

C0C255

C0C255

C0C255

AIW0AIW30

AIW0AIW62

AIW0AIW62

AQW0AQW30 AQW0AQW62 AQW0AQW62


AC0AC3

AC0AC3

AC0AC3

HC0 HC5

HC0HC5

HC0HC5

Bng : Phn chia vng nh v ton hng PLC S7-200


3.

Vng i tng:

- Vng i tng c s dng lu gi d liu cho cc i tng lp


trnh nh cc gi tr tc thi, gi tr t trc ca b m, hay Timer. D liu
kiu i tng bao gm cc thanh ghi ca Timer, cc b m tc cao, b m
vo/ra tng t v cc thanh ghi Accumulator(AC).
- Kiu d liu i tng b hn ch rt nhiu v cc d liu kiu i tng
ch c ghi theo mc ch cn s dng i tng .
4.

Phng thc truy cp b nh:

Truy cp theo bit: tn min(+) a ch byte (+) V (+) ch s bit.


V d: V150.4 : Bit 4 ca byte 150 thuc min V
Truy cp theo byte: tn min (+) B (+) a ch ca byte trong min.
V d: V150 : Byte 150 thuc min V
Truy cp theo t: tn min (+) W (+) a ch byte cao ca t trong min.
V d: VW150 : T gm 2 Byte 150 v 151 thuc min V. Trong 150
ng vai tr l byte cao trong t
- Truy cp theo t kp: tn min (+) D (+) a ch byte cao ca t trong
min.
V d: VD150 : T kp gm 4 Byte 150, 151, 152 v 153 thuc min V.
Trong 150 ng vai tr l byte cao,153 ng vai tr l byte thp trong t
kp.
37

IV.

X l chng trnh

1.

Vng qut chng trnh PLC S7-200

- PLC thc hin chng trnh theo chu trnh lp. Mi vng lp c gi l
vng qut (scan), theo hnh 2.4. Mi vng qut c bt u bng giai on c
d liu t cc cng vo vng b m o, tip theo l giai on thc hin chng
trnh. Trong tng vng qut, chng trnh c thc hin bng lnh u tin v
kt thc ti lnh kt thc (MEND). Sau giai on thc hin chng trnh l giai
on truyn thng ni b v kim tra li. Vng qut c kt thc bng giai
on chuyn cc ni dung ca b m o ti cc cng ra.

4. Chuyen d lieu t bo nh ao
ieu khien thiet b ngoai vi

1.oc d lieu t ngoai vao


( Read input )

3. Truyen thong va
t kiem tra loi

2.Thc hien chng trnh


( Program excution )

Hnh 2- 3: Vng qut trong S7-200


Nh vy, ti thi im thc hin lnh vo/ra, thng thng lnh khng
lm vic trc tip vi cng vo ra m ch thng qua b m o ca cng trong
vng nh tham s. Vic truyn thng gia b m o vi ngoi vi trong cc giai
on 1 v 4 do CPU qun l. Khi gp lnh vo/ra ngay lp tc th h thng s
cho dng mi cng vic khc, ngay c chng trnh x l ngt, thc hin
lnh ny mt cch trc tip vi cng vo/ra.
- Nu s dng cc ch ngt, chng trnh con tng ng vi tng tn
hiu ngt c son tho v ci t nh mt b phn ca chng trnh. Chng
trnh x l ngt ch c thc hin trong vng qut khi xut hin tn hiu ngt v
c th xy ra bt c im no trong vng qut.
2.

Cu trc chng trnh ca PLC S7-200

- i vi thit b iu khin lp trnh PLC S7 - 200, ta khng th lp trnh


trc tip ngay trn n c m phi lp trnh gin tip bng cch s dng mt
trong nhng phn mm sau y :
STEP 7 Micro/DOS
STEP 7 Micro/WIN
- Nhng phn mm ny u c th ci t c trn cc my lp trnh h
PG7xx hoc cc my tnh c nhn (PC). Cng vic lp trnh l ta s dng my
tnh tin hnh lp ghp cc lnh c bn li vi nhau nhm tha mn nhng
yu cu ra ca quy trnh cng ngh ri sau mi chuyn vo PLC iu
khin. Cu trc chng trnh bao gm:
38

+ Chng trnh chnh (Main program) c kt thc bng lnh kt thc


chng trnh MEND
+ Chng trnh con l mt b phn ca chng trnh chnh. Cc chng
trnh con phi c vit sau lnh kt thc chng trnh chnh.
+ Chng trnh x l ngt l mt b phn ca chng trnh chnh. Nu
cn s dng chng trnh x l ngt phi vit sau lnh kt thc chng trnh
chnh.
Main Program
.
.
SBR 0
.
.
.
.
SBR n
.
.
INT 0
.
.

Thc hin trong mt vng qut

Thc hin khi c chng trnh chnh


gi

Thc hin khi c tn hiu bo ngt

.
.
INT n
.
.

3.

Phng php lp trnh ca PLC S7-200

- PLC S7-200 biu din mt mch logic cng bng mt dy cc lnh lp


trnh. PLC S7-200 thc hin chng trnh bt u t lnh lp trnh u tin v
kt thc lp trnh cui trong mt vng qut (scan).
- Mt vng qut (scan cycle) c bt u bng vic c trng thi ca
u vo, v sau thc hin chng trnh. Vng qut kt thc bng vic thay
i trng thi u ra. Trc khi bt u mt vng qut tip theo, PLC S7-200
thc thi cc nhim v bn trong v nhim v truyn thng. Chu trnh thc hin
chng trnh l chu trnh lp.
- Cch lp trnh cho PLC S7-200 ni ring v cho cc PLC ni chung da
trn ba ngn ng c bn. Ngn ng hnh thang (Ladder-LAD), ngn ng lit k
lnh (Statement List- STL), ngn ng theo cc khi chc nng (Function Block
Diagram- FBD).
- Nu c mt chng trnh vit di dng LAD, FBD thit b lp trnh s
t ng to ra mt chng trnh theo dng STL tng ng. Tuy nhin khng
39

phi mi chng trnh vit di dng STL u c th chuyn sang c dng


LAD, FBD.
* Ngn ng LAD:
- LAD l mt ngn ng lp trnh bng ha, nhng thnh phn c bn
dng trong LAD tng ng vi cc thnh phn ca bng iu khin bng rle.
Trong chng trnh LAD, cc phn t c bn dng biu din lnh logic nh
sau:
- Lnh tip im: l biu tng (Symbol) m t cc tip im ca r le.
+ Tip im NO (thng m)
K hiu :
n : 1 bit
Hot ng : tip im
gi tr logic bng 1.
+ Tip im NC(thng ng )
K hiu :
n: 1 bit
Hot ng : tip im
gi tr logic bng 1.

thng h s ng khi n c

thng ng s m khi n c

+ Cc tip im s dng cc ton hng : I , Q ,M, SM ,T,C


- Lnh OUT :
Cun dy (coil) l biu tng m t rle, c mc theo chiu dng in
cung cp cho r le.
K hiu :
n: 1 bit
Hot ng : tip im s ng khi c dng in chy qua
Ton hng : I,Q,M,SM ,T,C,V, S, L
- Hp (Box): l biu tng m t cc hm khc nhau, n lm vic khi c
dng in chy n hp. Nhng dng hm thng c biu din bng hp l
cc b thi gian (Timer), b m (counter) v cc hm ton hc. Cun dy v
cc hp phi mc ng chiu dng in.
* Mng LAD: L ng ni cc phn t thnh mt mch hon thin, i t
ng ngun bn tri sang ng ngun bn phi. ng ngun bn tri l dy
pha, ng ngun bn phi l dy trung tnh v cng l ng tr v ngun
cung cp (thng khng c th hin khi dng chng trnh STEP 7 MICRO /
DOS hoc STEP 7 MICRO/WIN. Dng in chy t tri qua tip im n
ng cc cun dy hoc cc hp tr v bn phi ngun.

40

V d:

* Ngn ng Lit k lnh (STL):


- Ngn ng lit k lnh (STL) l ngn ng th hin chng trnh di
dng tp hp cc cu lnh. Mi cu lnh trong chng trnh biu din mt chc
nng ca PLC.
- Ngn ng lp trnh LAD ph hp cho nhng ngi lp trnh quen suy
lun v k thut, cn STL ph hp cho ngi lp trnh quen suy lun v tin hc.

* Ngn ng khi chc nng (FBD):


- Ngn ng khi chc nng (FBD) l ngn ng th hin chng trnh di
dng cc khi hm c lin kt li. c s dng nhiu trong lp trnh thit b
iu khin c nh: Logo ca Siemens, Zen ca Omron.
u vo s .

u vo tng t.

u ra s .

u ra tng t.

B nh trung gian s

B nh trung gian tng t.

Hm And thc hin nhn


cc u vo.

Hm Or thc hin cng cc


u vo.

Hm Nand thc hin


nhn cc u vo v ly
o.

Hm Nor thc hin cng


cc u vo v ly o.

41

V.

Thit k h thng iu khin dng PLC


Thit k 1 chung trnh iu khin bao gm nhng bc sau:

B1: Xc nh qui trnh cng ngh:


- Trc tin, ta phi xc nh thit b hay h thng no mun iu khin.
Mc ch cui cng ca b iu khin l iu khin mt h thng hot ng.
- S vn hnh ca h thng c kim tra bi cc thit b u vo. N
nhn tn hiu v gi tn hiu n CPU, CPU x l tn hiu v gi n n thit b
xut iu khin s hot ng ca h thng nh lp trnh sn trong chng
trnh.
B2: Xc nh ng vo, ng ra:
- Tt c cc thit b xut, nhp bn ngoi u c kt ni vi b iu
khin lp trnh. Thit b nhp l nhng contact, cm bin ... Thit b xut l
nhng cun dy, van in t, motor, b hin th.
- Sau khi xc nh tt c cc thit b xut nhp cn thit, ta nh v cc
thit b vo ra tng ng cho tng ng vo, ra trn PLC trc khi vit chng
trnh.
B3: Vit chng trnh v sa li:
- Khi vit chng trnh theo s hnh bc thang (ladder ) phi theo s
hot ng tun t tng bc ca h thng, hoc theo dng STL. Sau tin
hnh sa li nu c.
B4: Np chng trnh vo b nh:
- Chng ta c th cung cp ngun cho b iu khin c lp trnh thng
qua cng I/O. Sau np chng trnh vo b nh thng qua my tnh c cha
phn mm lp trnh hnh thang.
B5: Chy chng trnh:
- Trc khi nhn nt Start, phi chc chn rng cc dy dn ni cc ng
vo, ra n cc thit b nhp, xut c ni ng theo ch nh. Lc N PLC
mi bt u hot ng thc s. Trong khi chy chng trnh, nu b li th my
tnh s bo li , ta phi sa li cho n khi n hot ng an ton

42

Sau y l lu phng php thit k b iu khin:


Xc nh yu cu ca h
thng iu khin
V lu chung ca h
thng iu khin
Lit k tt c cc ng ra, ng vo ni tng
i n cc cng I/O ca PLC
Chuyn lu sang
s hnh thang
Np lp trnh s hnh
thang thit k cho PLC
M phng chng trnh v
sa li phn mm

Hiu chnh chng


trnh cho ph hp

Chng
trnh OK

Kt ni ton b thit b
vo, ra vi PLC

Kim tra tt c cc
tip im vo, ra

43

Chy th chng trnh


Hiu nh li
phn mm
Chng
Trnh OK

Np chng trnh vo
EPROM

Lp h s h thng cho
tt c cc bn v

END

Hnh 2- 4: Lu phng php thit k b iu khin

44

VI.

Kt ni gia PLC v cc thit ngoi vi

1.

Gii thiu CPU 214


Cac cng ra

SIEMENS

SF
RUN
STOP

SIMATIC
S7 - 200

I0.0
I0.1
I0.2
I0.3
I0.4
I0.5
I0.6
I0.7

I1.0
I1.1
I1.2
I1.3
I1.4
I1.5

Q0.0
Q0.1
Q0.2
Q0.3
Q0.4
Q0.5
Q0.6
Q0.7

Q1.0
Q1.1

Cac cng vao


Cng truyn thng

Hnh 2-5: Modul CPU b iu khin lp trnh S7-200


* Cc Nn bo:
SF (n ): n SF (System False) bo hiu h thng b hng.
RUN ( n xanh): n xanh RUN ch nh PLC ang ch lm vic v
thc hin chng trnh c np vo trong my.
STOP ( n vng): n vng STOP ch nh rng PLC ang ch
dng chng trnh v ang thc hin li
* u vo: (Input)
Kiu u vo IEC 1131-2.
C a ch t I0.0 I0.7 v I1.0 I1.5
Tm in p mc logic 1: 15-30 VDC, dng nh nht 4 mA; 35VDC
thi gian tc thi 500ms.
Trng thi mc logic 1 chun: 24 VDC, 7mA.
Trng thi mc logic 0: Ti a 5 VDC, 1mA.
p ng thi gian ln nht cc chn I0.0 n I1.5: c th chnh t 0,2
n 8,7 ms mc nh 0,2 ms.
Cc chn t I0.6 n I1.5 c s dng bi b m tc cao HSC1 v
HSC2 (High Speed Counter) 30us n 70us.
S cch ly v quang 500VAC.1 min.
* u ra:
Kiu u ra: Relay hoc Transistor.
C a ch t Q0.0 Q0.7 v Q1.0 Q1.1
Tm in p: 24.4 n 28.8 VDC.
Dng ti ti a: 2A/ im; 8A/common.
Qu dng: 7A vi contact 7ng.
in tr cch ly: nh nht 100 MW.
Thi gian chuyn mch: ti a 10 ms.
Thi gian s dng: 10.000.000 vi cng tc c kh; 100.000 vi tc ti.
45

in tr cng tc: ti a 200 mW.


Ch bo v ngn mch: khng c.
* Ngun cung cp:
in p cp ngun: 20.4 n 24.8 VDC
Dng vo max load: 900mA ti 24 VDC
Cch ly in ng vo: Khng c
Thi gian duy tr khi mt ngun: 10ms 24 VDC
Cu ch bn trong: 2A, 250V
* Ngun cp cho sensor:
Tm in p ra: 15.4 n 28.8 VDC
Dng ra ti a: 280mA
gn sng: Ging nh ngun cp vo
Cch ly: khng c
* Ch lm vic:
PLC c 3 ch lm vic:
RUN: cho php PLC thc hin chng trnh tng b nh, PLC s chuyn
t RUN sang STOP nu trong my c s c hoc trong chng trnh gp lnh
STOP.
STOP: Cng bc PLC dng chng trnh ang chy v chuyn sang ch
STOP.
TERM: Cho php my lp trnh t quyt nh ch hot ng cho PLC
hoc RUN hoc STOP.
* Cng truyn thng:
S7-200 s dng cng truyn thng ni tip RS485 vi phch ni 9 chn
phc v cho vic ghp ni vi thit b lp trnh hoc vi cc trm PLC khc.
Tc truyn cho my lp trnh kiu PPI (Point to Point Interface) l 9600
bauds. Tc truyn cung cp ca PLC theo kiu t do l 300 38.400 bauds.
Chn
1
2
3
4
5
6
7
8
9

Chc nng
GND
24 VDC
Tn hiu A ca RS485 ( RxD/TxD+)
RTS ( theo mc TTL)
GND
+5 VDC
Ngun cp 24 VDC 120mA max
Tn hiu B ca RS485 (RxD/TxD-)
Chn la cch giao tip

Hnh 2-6: S chn ca cng truyn thng

46

ghp ni S7-200 vi cc my lp trnh PG 702 hoc vi cc loi my


lp trnh kiu h PG7xx c th ni thng qua MPI. Cp i km theo my lp
trnh.
ghp S7-200 vi cc my tnh PC qua cng RS-232 cn c cp ni
PC/PPI vi b chuyn i RS232/RS485, theo hnh v 2.-7.

Hnh 2-7: Ghp ni S7-200 vi my tnh qua cng RS232


2.

Phng php kt ni vi thit b ngoi vi

- T yu cu iu khin phn tch c ta gn a ch cho ng vo/ra. Sau


kt ni cc Bus, dy dn cho CPU v cc module m rng vi ngun, thit
b ngoi vi nh nt bm, cm bin.. cho cc ng vo, Rle, cng tc t, ng
c cho cc ng ra. m bo ng a ch m ta gn, ng k thut, m
thut...
3.

Mt s v d kt ni ng vo/ra ca s iu khin c tip im.


V d 1: Khi ng ng c:

47

Hnh 2.-8: PLC kt ni vi thit b ngoi vi.


V d 2: Lp trnh m my ng c dng 2 nt nhn ON/OFF, hot ng
theo yu cu sau:
Nhn nt ON ng c s chy, n D sng.
Nhn nt OFF ng c dng, n D tt.
Khi c s c nh qua ti r le nhit RN tc ng , ng c dng v n D
s nhp nhy lin tc.
Sau khi x l s c xong nhn nt RESET rle nhit v bng iu
khin, ng c chy li bnh thng.

48

S mch ng lc

S mch iu khin
RN

OFF
I0.0

Q0.0

ON
I0.1

RN
I0.2

Q0.1

Reset
I0.3

COM

COM

220V
AC

S mch kt ni PLC
V d 3: M my ng c 3 pha quay thun nghch dng nt nhn
FOR,REV,OFF, hot ng theo yu cu sau:
n STOP sng bo hiu ng c ang dng.
Nhn nt FOR, ng c chy thun, n FOR sng, mun dng ng c
nhn STOP, n FOR tt.
Nhn nt REV, ng c chy nghch, n REV sng, mun dng ng c
nhn STOP, n REV tt.
Khi ng c ang chy mun chuyn i chiu quay ng c nhn STOP
trc, sau nhn FOR hay REV.
Khi c s c nh qu ti, r le nhit RN tc ng ,ng c dng, n
FOR v REV tt, n OL sng nhp nhy lin tc.
49

Sau khi x l s c xong nhn nt RESET r le nhit, sau nhn FOR


hay REV ng c chy li bnh thng.

S mch ng lc v iu khin

S mch kt ni PLC

50

BI 2: CI T V S DNG PHN MN STEP 7 MICRO/WIN32


I.

Nhng yu cu i vi my tnh PC

Phn mm SIMATIC STEP7-Micro/DOS c th khi to trn my tnh c


nhn IBM- PC, nhng my ny phi c ti thiu mt CPU h Intel 80286 tr
ln.
My tnh c nhn PC, mun ci t c phn mm Micro/DOS, phi
tho mn nhng yu cu sau:
640 Kbyte RAM (t nht phi c 500 Kbyte b nh cn trng)
Mn hnh 24 dng, 80 ct ch vn bn.
Cn khong 2 Mbyte trng trong a cng.
C h iu hnh MS- DOS ver.5.0 hoc cao hn.
B chuyn i RS232-RS485 phc v ghp ni truyn thng trc tip
gia my tnh PLC (truyn thng online).
Truyn thng gia STEP7-Micro/DOS vi S7-200 CPU qua cng truyn
thng pha y ca PLC. S dng cp c b chuyn i RS232-RS485, c
gi l cp PC/PPI, ni my tnh vi PLC to thnh mch truyn thng trc
tip. Cm mt u ca cp PC/PPI vi cng truyn thng 9 chn ca PLC, cn
u kia vi cng truyn thng ni tip RS-232 vi 25 chn, th phi ghp ni
qua b chuyn i 25 chn/9 chn c th ni vi cp truyn thng PC/PPI.
Trc khi khi to phm mm Micro/DOS vo my, kim tra b nh ca
PC. C th s dng lnh CHKDSH ca h iu hnh DOS kim tra chc chn
l trong b nh vn cn 500 Kbyte sn sng trong RAM v 2 Mbyte sn sng
trong a cng.

51

II.

Ci t phn mm STEP 7 Micro/win32

- Cho a cng CD (hoc cm USB) v tm ti ng dn Step7


Microwin3.2. S xut hin ca s Step7Microwin3.2
- Chn folder Setup

Sau s xut hin ca s chn ngn ng. C th chn nhiu loi ngn
ng khc nhau. Thng chn ngn ng ting anh (English)

- Sau khi chn ngn ng s xut hin ca s chn chng trnh ci t.

52

Chn Modify v nhp chut vo Next

- Sau khi chn chng trnh ci t s xut hin ca s chn thnh phn
hp thnh ci t. Chn English v nhp chut vo Next

53

- Sau khi chn thnh phn hp thnh ci t, s xut hin ca s bo


kt hon thnh ci t. Chn Finish kt thc chng trnh ci t phn mm.

- Ci t phn mm xong chng trnh s xut hin biu tng trn mn


hnh.

- Mun m chng trnh lp trnh c th nhp p chut tri vo biu


tng hoc vo Start Simatic Step7 Microwin 32 V3.2.0.15 Step7
Microwin 32

54

III.

Phn mm m phng PLC S7-200

1.

Xut chng trnh:

Chng trnh iu khin c vit trn phn mm MicroWIN. Khi lu


tr thng thng ta vo Save hay Save as lu tr hoc ta c th thc hin
download xung PLC S7-200 thc hin chy chng trnh.
Vn ta quan tm y l chy m phng chng trnh: Cch thc
tng t nh lu gi, tuy nhin ta vo File chn Export nh hnh v. Vic
export gip lu gi di dng c bit s cha trong ngun source ca phn
mm m phng.
Cng vic thc hin n y coi nh nhim v ca phn mm lp trnh l
kt thc, ta ng chng trnh vo v tin hnh m phng nh phn mm m
phng.

2.

S dng phn mm m phng.


Phn mm m phng dnh cho S7-200 c ci t v m bo chp
nhn s dng. Cc bc tin hnh m phng thc hin nh sau:

55

M phng phn mn cho PLC S7 - 200

Mn hnh khi ng

H thng yu cu Introduce the access key: type 6596


56

Sau khi key c chp nhn h cho php m phng ta vo menu File
chn Load program nh hnh di hoc nhy p chut vo biu tng dui
thanh cng c:

Cho php m chng trnh mun m phng chn tip. H s cho ta tip 1
bng.
nh du cho cc mc nh hnh v v Chn Accept

Mn hnh k tip cho ta chn bi mun m phng nm trong Source Path


C-> programFile -> Siemens --> Step 7 Micro WIN V4.0 --> Source-->
tn bi cn m phng.

57

Gi s chn m phng n giao thng 6 n khi mn hnh xut hin

Bi giao thng 6 n c m: Trong xut hin hai bng m phng


k tip l Program (OB1) v KOP

Chng trnh m phng dng LAD

Chng trnh chnh dng STL

58

tip tc ta phi chuyn PLC v Mode Run nhp chut vo phm mu xanh
trn mn hnh

Sau khi chy RUN s xut hin mn hnh hi c chy CPU hay khng.

Nu chp nhn th chn Yes khi n hiu ch Stop mu vng cam


s tt v n hiu ch RUN sng mu xanh v chng trnh sn sng
chy m phng theo yu cu cng ngh.
- B gi nh tn hiu vo mu xanh cho php ta vn hnh
+ Cc cng tc u vo c th tt - bt. Khi bt (mc 1) n hin th sng,
khi tt (mc 0) th n hin th tt.
+ Chng trnh ng cc n ng vo ra sng tt theo yu cu cng ngh

Cc cng tc u vo ch On-off
59

quan st mch in di dng ladder ta nhp chut vo biu tng


State Program:

60

- Mch in c th hin nh hnh di:

dng m phng ta nhp vo nt Stop mu n s hi Place CPU in


Stop mode ta chn Yes

61

BI 3: CC PHP TON NH PHN CA PLC S7-200


I.

Lnh lin kt Logic

1.

Lnh vo/ra :
* LOAD (LD):

Lnh LD np gi tr logic ca mt tip im vo trong bit u tin ca


ngn xp, cc gi tr cn li trong ngn xp b y li xung mt bit.
Ton hng gm I, Q, M, SM, V, C, T.
Dng LAD: Tip im thng m s ng nu I0.0 =1

Dng STL :

LD I0.0
= Q0.0

* LOAD NOT (LDN) :


Lnh LDN np gi tr logic ca mt tip im vo trong bit u tin ca
ngn xp, cc gi tr cn li trong ngn xp b y li xung mt bit.
Ton hng gm: I, Q, M, SM, V, C, T.
Dng LAD: Tip im thng ng s m khi I0.0 =1

Dng STL: LDN I0.0


=
Q0.0
* OUTPUT (=):
Lnh sao chp ni dung ca bit u tin trong ngn xp vo bit c ch
nh trong lnh. Ni dung ngn xp khng b thay i.
Ton hng bao gm: I,Q,M,SM,T,C (bit)
M t lnh OUTPUT bng LAD nh sau:
Nu I0.0 = 1 th Q0.0 s ln 1 (cun dy ni vi ng ra Q0.0 c in)

Dng STL: Gi tr logic I0.0 c a vo bit u tin ca ngn xp, v


bit ny c sao chp vo bit ng ra Q0.0.
LD I0.0
=
Q0.0

62

2.

Cc lnh tip im c bit :


* Tip im o, tc ng cnh xung, tc ng cnh ln:

NOT

C th dng cc lnh tip im c bit pht hin s chuyn tip trng


thi ca xung (sn xung) v o li trng thi ca dng cung cp (gi tr nh
ca ngn xp). LAD s dng cc tip im c bit ny tc ng vo dng
cung cp. Cc tip im c bit khng c ton hng ring ca chnh chng v
th phi t chng pha trc cun dy hoc hp u ra. Tip im chuyn tip
dng/m (cc lnh sn trc v sn sau) c nhu cu v b nh bi vy i
vi CPU 214 c th s dng nhiu nht l 256 lnh.
V d:
Dng LAD :
Dng STL :
LD I0.0
EU
= Q0.0
LD I0.0
ED
= Q0.1
LD I0.0
NOT
= Q0.2
Biu thi gian :

I0.0

Q0.0
Q0.1
Q0.2

* Tip im trong vng nh c bit :


- SM0.1: Vng qut u tin tip im ny ng, k t
vng qut th hai th m ra v gi nguyn trong sut qu trnh
hat ng.
- SM0.0: Ngc li vi SM0.1, vng qut u tin th
m nhng t vng qut th hai tr i th ng.

63

- SM0.4 : Tip im to xung vi nhp xung vi chu k l


1 pht.
- SM0.5: Tip im to xung vi nhp xung vi chu k
l 1s
3.

Cc lin kt logic c bn:

Cc lnh tip im lin kt logic c bn cho php to lp cc mch logic


(khng c nh). Trong LAD cc lnh ny c biu din thng qua cu trc
mch, mc ni tip hay song song cc tip im thng ng hay cc tip im
thng m. Trong STL c th s dng lnh A (AND) v O (OR) cho cc hm
h hoc cc lnh AN (AND NOT), ON (OR NOT) cho cc hm kn.
Gi tr ca ngn xp thay i ph thuc vo tng lnh.
* AND (A) :
Dng LAD :

Dng STL :
LD I0.0
A I0.1
= Q0.0

* AND NOT(AN) :
Dng LAD :

Dng STL :
LD I0.0
AN I0.1
= Q0.0

* OR (O):
Dng LAD :

Dng STL :
LD I0.0
O
I0.1
= Q0.0

* OR NOT (ON):
Dng LAD :

Dng STL :
LD I0.0
ON I0.1
= Q0.0

64

- Ngoi nhng lnh lm vic trc tip vi tip im, S7-200 cn c 5 lnh
c bit biu din cc php tnh ca i s Boolean cho cc bit trong ngn xp,
c gi l lnh stack logic. l cc lnh ALD (AND Load), OLD (OR Load),
LPS (Logic Push), LRD (Logic Read) v LPP (Logic Pop). Lnh stack logic
c dng t hp, sao chp hoc xo cc mnh logic.
+ LAD khng c b m dnh cho Stack logic.
+ STL s dng cc lnh stack logic thc hin phng trnh tng th c
nhiu biu thc con v c biu din nh sau:
* . AND LOAD (ALD) :
Dng LAD :

Dng STL :
LD
LD
I0.1
O
Q0.0
= Q0.0

I0.0
ALD

* OR LOAD (OLD) :
Dng LAD :

Dng STL :
LD I0.0
A I0.1
O Q0.0
= Q0.0

* LOGIC PUSH (LPS), LOGIC READ (LRD) , LOGIC POP (LPP) :


Dng LAD:

Dng STL:
LD I0.0
LPS
LD
O
ALD
=
LRD
LD I0.2
O
ALD
=
LPP
A
=

I0.1
Q0.0
Q0.0

Q0.1
Q0.1
I0.3
Q0.2

65

ngha ca cc lnh :
Lnh
M t
ALD
Lnh t hp gi tr ca bit u tin v th hai ca ngn xp
(And load)
bng php tnh logic AND. Kt qu ghi li vo bit u tin.
Gi tr cn li ca ngn xp c ko ln mt bit.
OLD
Lnh t hp gi tr ca bit u tin v th hai ca ngn xp
(Or load)
bng php tnh logic OR. Kt qu ghi li vo bit u tin.
Gi tr cn li ca ngn xp c ko ln mt bit.
LPS
Lnh Logic Push (LPS) sao chp gi tr ca bit u tin
(Logic Push) vo bit th hai trong ngn xp. Gi tr cn li b y xung
mt bit. Bit cui cng b y ra khi ngn xp.
LRD
Lnh sao chp gi tr ca bit th hai vo bit u tin trong
(Logic read) ngn xp.Cc gi tr cn li ca ngn xp gi nguyn v tr
V d :
Vit chng trnh iu khin ng c bng PLC.
Lp trnh LAD:
Ghi ch :
I0.0 : Nt nhn dng
I0.1 : Nt nhn m
Q0.0 : Cun dy KT
Q0.0 : Tip im duy tr

4.

Lin kt cc cng logic c bn:

Ngoi nhng lnh ghp ni tip, song song v tng hp cc tip im th


tp lnh ca S7-200 cn cung cp cc cng logic AND, OR, EXOR thc hin
i vi byte (8 bit hay 8 tip im), word (16 bit hay 16 tip im) v double
word (32 bit hay 32 tip im). Sau y l chi tit ca tng cng:
* Lnh AND byte :
Dng LAD :

Dng STL:
ANDB VB0, VB1

ngha:
- Lnh thc hin php AND tng bit ca hai Byte ng vo IN1 v IN2, kt
qu c ghi vo 1 byte ng ra OUT. c bit y a ch byte ng vo IN2
v byte ng ra OUT l ging nhau.
- Ton hng trong cu lnh thuc mt trong cc vng a ch sau:
IN1 : VB, T, C, IB, QB, SMB, AC, const
IN2 : VB, T, C, IB, QB, SMB, AC
66

V d:
VB10

1 0

VB20

0 0

VB20

0 0

AND

Kt qu

* Lnh AND word :


Dng LAD :

Dng STL:
ANDW VW0, VW1

ngha :
- Lnh thc hin php AND tng bit ca hai Word ng vo IN1 v IN2,
kt qu c ghi vo 1 Word ng ra OUT. c bit y a ch Word ng
vo IN2 v Word ng ra OUT l ging nhau.
- Ton hng trong cu lnh thuc mt trong cc vng a ch sau:
IN1: VW, T, C, IW, QW, SMW, AC, const
IN2: VW, T, C, IW, QW, SMW, AC
V d :

VW10
VW12
Kt qu
VW12

* Lnh AND DWord :


Dng LAD :

Dng STL:
ANDD VD0, VD4

67

* Lnh OR byte:
Dng LAD:

Dng STL:
ORB VB0, VB1

ngha :
- Lnh thc hin php OR tng bit ca hai byte ng vo IN1 v IN2, kt
qu c ghi vo 1 byte ng ra OUT. c bit y a ch byte ng vo
IN2 v byte ng ra OUT l ging nhau.
- Ton hng trong cu lnh thuc mt trong cc vng a ch sau
IN1: VB, T, C, IB, QB, SMB, AC, const
IN2: VB, T, C, IB, QB, SMB, AC
V d:
VD10
1 0 0 0 1 1 1 0
OR
VD20
Kt qu
VD20

0 0

1 0

* Lnh OR word :
Dng LAD :

Dng STL:
ORW VW0, VW2

* Lnh OR double word:


Dng LAD:

Dng STL:
ORDW VD0, VD4

68

5.

Bi tp ng dng

* Bi 1: Lp trnh iu khin ng c KB XC 3 pha dng khi ng t n.

Lp trnh trn phn mm PLC S7 200


Ngn ng LAD
Bi 1:

Ngn ng STL
LDN I0.0
LD
I0.1
O
Q0.0
AN I0.2
=
Q0.0

a ch
D: I0.0
M: I0.1
RN: I0.2

C: Q0.0
* Bi 2: Lp trnh iu khin ng c KB XC 3 pha dng khi ng t kp.

69

* Bi 3: Lp trnh iu khin ng c KB XC 3 pha khi ng hai cp tc


dng nt bm.

70

71

II.

Lnh ghi/xa gi tr cho tip im

1.

Mch nh R-S
- Bng trng thi:
S
0
0
1
1

R
0
1
0
1

out
Q0
0
1
0

- Mch nh RS hot ng nh bng trng thi. Khi c hai u vo S v R


u mc thp 0 th u ra (out) gi nguyn trng thi trc . u ra mc
thp 0 khi chn R ln mc cao 1. u ra mc cao 1 khi chn S ln mc
cao 1 v R mc thp 0
- Vng nh v kiu d liu:

72

2.

Lnh Set v Reset


* SET (S) :

- Lnh dng ng cc im gin on c thit k. Trong LAD,


logic iu khin dng in ng cc cun dy u ra. Khi dng iu khin n
cc cun dy th cc cun dy ng cc tip im. Trong STL, lnh truyn trng
thi bit u tin ca ngn xp n cc im thit k. Nu bit ny c gi tr bng
1, cc lnh S s ng 1 tip im hoc mt dy cc tip im (gii hn t 1 n
255). Ni dung ca ngn xp khng b thay i bi cc lnh ny.
Dng LAD: ng mt mng gm n cc tip im k t a ch S-bit,
Ton hng bao gm I, Q, M, SM,T, C,V (bit)

Dng STL: Ghi gi tr logic vo mt mng gm n bit k t a ch S-bit


LD I0.0
S
Q0.0, 5
* RESET (R):
- Lnh dng ngt cc im gin on c thit k. Trong LAD,
logic iu khin dng in ngt cc cun dy u ra. Khi dng iu khin n
cc cun dy th cc cun dy m cc tip im. Trong STL, lnh truyn trng
thi bit u tin ca ngn xp n cc im thit k. Nu bit ny c gi tr bng
1, cc lnh R s ngt 1 tip im hoc mt dy cc tip im (gii hn t 1 n
255). Ni dung ca ngn xp khng b thay i bi cc lnh ny.
- Dng LAD: ngt mt mng gm n cc tip im k t S-bit. Nu S-bit
li ch vo Timer hoc Counter th lnh s xo bit u ra ca Timer/ Counter
... .Ton hng bao gm I, Q, M, SM,T, C,V (bit)

- Dng STL: xa mt mng gm n bit k t a ch S-bit. Nu S-bit li ch


vo Timer hoc Counter th lnh s xo bit u ra ca Timer/Counter .
D I0.0
R
Q0.0, 10

73

3.

Cc v d ng dng b nh

Ngn ng LAD
Ngn ng STL
Bi 1: Dng 1 nt bm iu khin 3 ng c chy Network 1
tun t
LD I0.0
EU
LPS
AN Q0.0
AN Q0.1
S M0.0, 1
R M0.2, 1
LRD
A Q0.0
S M0.1, 1
R M0.0, 1
LPP
A Q0.1
S M0.2, 1
R M0.1, 1

a ch
M: I0.0
ng c 1:
Q0.0
ng c 2:
Q0.1
ng c
3:
Q0.2

Network 2
LD M0.0
= Q0.0
Network 3
LD M0.1
= Q0.1
Network 4
LD M0.2
= Q0.2.

74

Ngn ng LAD
Bi 2:

Ngn ng STL
Network 1
LD I0.0
EU
LPS
AN Q0.0
S M0.0, 1
R M0.1, 1
LPP
A Q0.0
S M0.1, 1
R M0.0, 1
Network 2
LD M0.0
= Q0.0
Network 3

a ch
M: I0.0
ng c
-Chy
thun:
Q0.0
-Chy
ngc:
Q0.1

LD M0.1
= Q0.1

Ngn ng LAD
Ngn ng STL
a ch
Bi 3: iu khin 4 ng c chy tun t Network 1
dng 1 nt bm
Network Comment
D: I0.0
LD I0.1
S M0.1, 1
M1: I0.1
Network 2
ng c 1: Q0.1
M2: I0.2
LD M0.1
= Q0.1
M3: I0.3
Network 3
Network
M4: I0.4
Comment
LD M0.1
A I0.2
S M0.2, 1

75

Ngn ng LAD

Ngn ng STL
Network 4
ng c 2: Q0.2
LD M0.2
= Q0.2
R M0.1, 1
Network 5
Network
Comment
LD M0.2
A I0.3
S M0.3, 1
Network 6
ng c 3: Q0.3
LD M0.3
= Q0.3
R M0.2, 1
Network 7
Network
Comment
LD M0.3
A I0.4
S M0.4, 1
Network 8
ng c 4: Q0.4
LD M0.4
= Q0.4
R M0.3, 1
Network 9
LD I0.0
R M0.0, 5

a ch
ng c 1:
Q0.1
ng c 2:
Q0.2
ng c 3:
Q0.3
ng c 4:
Q0.4

76

III.

Bi tp ng dng.

Bi 1: S dng phn mm Simatic S7-200 lp trnh iu khin ng c


khng ng b xoay chiu ba pha khi ng v lm vic mt chiu.
n M th ng c khi ng v lm vic.
n D th ng c dng
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 2: S dng phn mm Simatic S7-200 lp trnh iu khin ba ng c
theo yu cu sau:
n M1: ng c 1 lm vic, sau n M2 ng c 2 lm vic, sau
n M3 ng c 3 lm vic.
n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 3: S dng phn mm Simatic S7-200 lp trnh mch in iu khin
ng c xoay chiu ba pha i ni sao - tam gic (Y/D) yu cu sau
n MY: ng c khi ng chy Y, sau n MD ng c chy ch
tam gic.
n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 4: S dng phn mm Simatic S7-200 lp trnh iu khin mch in
ng c xoay chiu ba pha i ni sao sao kp (Y/YY) yu cu sau
n MY: ng c khi ng chy Y, sau n MYY ng c chy ch
sao kp.
n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
77

Bi 5: S dng phn mm Simatic S7-200 lp trnh iu khin mch in


khi ng ng c xoay chiu ba pha i ni sao tam gic (Y/D) c o chiu
quay theo yu cu sau.
n MT: ng c khi ng chy Y theo chiu thun, sau n MD ng
c chy ch tam gic.
n MN: ng c khi ng chy Y theo chiu ngc, sau n MD ng
c chy ch tam gic.
n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
IV.

Lnh v thi gian (Timer).

Timer l b to thi gian tr gia tn hiu vo v tn hiu ra nn trong iu


khin vn thng c gi l khu tr. Nu k hiu tn hiu vo l x(t) v thi
gian tr to ra bng Timer l t th tn hiu u ra ca Timer s l x(t t).
S7-200 c 64 b Timer (vi CPU 212) hoc 128 Timer (vi CPU 214)
c chia lm hai loi khc nhau:
- Timer to thi gian tr khng c nh (On-Delay Timer), k hiu l
TON.
- Timer to thi gian tr c nh (Retentive On-Delay Timer), k hiu
TONR.
- Hai kiu Timer ca S7-200 (TON v TONR) phn bit vi nhau phn
ng ca n i vi trng thi u vo.
- Timer TON v TONR bao gm 3 loi vi ba phn gii khc nhau,
phn gii 1ms, 10ms v 100ms. Thi gian tr t c to ra chnh l tch ca
phn gii ca b Timer c chn v gi tr t trc cho Timer. V d Timer
c phn gii 10ms v gi tr t trc 50 th thi gian tr l 500ms.
- phn gii cc loi Timer ca S7-200, loi CPU 214:
Lnh
TON

TONR
1.

phn gii
1 ms
10 ms
100 ms
1 ms
10 ms
100 ms

Gi tr cc i
32,767 s
327,67 s
3276,7 s
32,767 s
327,67 s
3276,7 s

CPU 214
T32 v T96
T33 T36, T97 T100
T37 T63, T101 T127
T0 v T64
T1 T4, T65 T68
T5 T31, T69 T95

Timer to thi gian tr khng c nh (On-Delay Timer TON).

- Timer TON c dng to thi gian tr trong mt khong thi gian


- Timer kiu TON bt u to thi gian tr tn hiu k t thi im c
sn ln tn hiu u vo, tc l khi tn hiu u vo chuyn trng thi logic t
0 ln 1, c gi l thi gian Timer c kch v khng tnh khong thi
gian khi u vo c gi tr logic 0 vo thi gian tr tn hiu t trc.
78

- Khi u vo c gi tr logic bng 0, TON t ng reset


C php khai bo s dng Timer nh sau:
LAD
TON-Txx

_
_

IN
PT

M t

Ton hng

Khai bo Timer s hiu xx kiu TON


to thi gian tr tnh t khi u vo
IN c kch. Nu nh gi tr m tc
thi ln hn hoc bng gi tr t trc
PT th T-bit c gi tr logic bng 1.
C th reset Timer kiu TON bng lnh
R hoc bng gi tr logic 0 ti u vo
IN.

Txx (word)
CPU214:3263
96127
PT: VW, T, (word)
C, IW, QW, MW,
SMW, C, IW,
hng s

V d: S dng Timer kiu TON

LD I0.0
TON T32, +100
LD T32
= Q0.0

Gin thi gian :

2.

Timer to thi gian tr c nh (Retentive On-Delay Timer TONR)

- Timer kiu TONR bt u to thi gian tr tn hiu k t thi im c


sn ln tn hiu u vo, tc l khi tn hiu u vo chuyn trng thi logic t
0 ln 1, c gi l thi gian Timer c kch v khng tnh khong thi
gian khi u vo c gi tr logic 0 vo thi gian tr tn hiu t trc.
- Khi u vo c gi tr logic bng 0, TONR th khng t reset, vi
TONR thi gian tr s c to ra trong nhiu khong thi gian khc nhau.
79

C php khai bo s dng Timer nh sau :


LAD
M t
Khai bo Timer s hiu xx kiu TONR
TONR-Txx
to thi gian tr tnh t khi u vo
_
IN c kch. Nu nh gi tr m tc
IN
thi ln hn hoc bng gi tr t trc
_
PT th T-bit c gi tr logic bng 1. Ch
PT
c th reset Timer kiu TON bng lnh
R cho T-bit.

Ton hng
Txx (word)
CPU214: 031
64 95
PT: VW, T, (word)
C,IW,QW, MW,
SMW, AC, AIW,
hng s

- Khi s dng Timer TONR, gi tr m tc thi c lu li v khng b


thay i trong khong thi gian khi tn hiu u vo c logic 0. Gi tr ca Tbit khng c nh m hon ton ph thuc vo kt qu so snh gia gi tr m
tc thi v gi tr t trc.
- Khi Reset mt b Timer, T-word v T-bit ca n ng thi c xa v
c gi tr bng 0, nh vy gi tr m tc thi c t v 0 v tn hiu u ra
cng c trng thi logic bng 0.
V d: S dng timer kiu TONR

LD I0.0
TONR T1, +100
LDW= T1, +170
R T1, 1

Gin thigian:

V.

Lnh m (counter)

- Counter l b m thc hin chc nng m sn xung, trong S7-200


cc b m c chia lm hai loi: b m ln (CTU) v b m ln/xung
(CTUD).
- Khc vi cc b Timer, cc b m CTU v CTUD u c chn ni vi
tn hiu iu khin xa thc hin vic t li ch khi pht ban u (reset)
80

cho b m, c k hiu bng ch ci R trong LAD, hay c qui nh l trng


thi logic ca bit u tin ca ngn xp trong STL. B m c reset khi tn
hiu xo ny c mc logic l 1 hoc khi lnh R (reset) c thc hin vi Cbit. Khi b m c reset, c C-word v C-bit u nhn gi tr 0.
1.

B m ln (Counter up)

- B m ln CTU m s sn ln ca tn hiu logic u vo, tc l m


s ln thay i trng thi logic t 0 ln 1 ca tn hiu. S xung m c ghi
vo thanh ghi 2 byte ca b m, gi l thanh ghi C-word.
- Ni dung ca thanh ghi C-word, gi l gi tr m tc thi ca b m,
lun c so snh vi gi tr t trc ca b m, c k hiu l PV. Khi gi
tr m tc thi bng hoc ln hn gi tr t trc ny th b m bo ra ngoi
bng cch t gi tr logic 1 vo mt bit c bit ca n, gi l C-bit. Trng
hp gi tr m tc thi nh hn gi tr t trc th C-bit c gi tr logic l 0.
- B m ln CTU c min gi tr m tc thi t 0 n 32.767.
LAD
CTU Cxx
CU
R
PV
2.

M t
Khai bo b m ln theo sn ln ca
CU. Khi gi tr m tc thi C-word
Cxx ln hn hoc bng gi tr t trc
PV, C-bit (Cxx) c gi tr logic bng 1.
B m c reset khi u vo R c gi
tr logic bng 1. B m ngng m
khi C-word Cxx t c gi tr cc i.

Ton hng
Cxx : (word)
CPU 214 : 0 47
80 127
PV(word) : VW, T,
C, IW, QW, MW,
SMW, AC, AIW,
hng s, *VD,
*AC

B m ln/xung (Counter up)

- B m ln/xung CTUD m tin khi gp sn ln ca xung vo cng


m ln, k hiu l CU hoc bit th 3 ca ngn xp trong STL, v m xung
khi gp sn ln ca xung vo cng m xung, k hiu l CD trong LAD hoc
bit th 2 ca ngn xp trong STL.
- B m ln/xung CTUD c min gi tr m tc thi t 32.768 n
32.767.
LAD

M t

Ton hng

CTU/D-Cxx

Khai bo b m ln/xung, m ln
theo sn ln ca CU, m xung theo
sn ln ca CD. Khi gi tr m tc
thi C-word Cxx ln hn hoc bng gi
tr t trc PV, C-bit (Cxx) c gi tr
logic bng 1. B m ngng m tin
khi C-word Cxx t c gi tr cc i
32.767 v ngng m xung khi C-word
Cxx t c gi tr cc i 32.768.
CTUD reset khi u vo R c gi tr
logic bng 1.

Cxx (word)
CPU 214 : 48 79

CU
CD
R
PV

PV(word) : VW, T,
C, IW, QW, MW,
SMW, AC, AIW,
hng s,
*VD, *AC

81

V d:

S dng b m CTU:

LD I0.0
LD I0.1
CTU C40, +5
Gin thi gian:

V d:

S dng b m CTUD:
//NETWORK COMMENTS
//
LD I0.0
LD I0.1
LD I0.2
CTUD C48, +5

Gin thi gian:

82

VI.

Lnh nhy v lnh gi chng trnh con

- Thng thng hat ng ca chng trnh l thc hin cc lnh theo th


t t trn xung di trong mt vng qut, bn cnh chng trnh cng cho
php thay i v chuyn th t thc hin lnh ty theo yu cu ca ngi lp
trnh sao cho vic lp trnh n gin, vng qut chng trnh ngn gn v
chng trnh x l tt cc chc nng iu khin.
- lm c iu ta phi s dng ti nhm lnh iu khin chng
trnh gm: lnh nhy, lnh gi chng trnh con.
- Mun nhy n x l on no trong chng trnh th ta phi nh du
trc on bng mt k hiu gi l nhn, tn ca chng trnh con hoc tn
ca ngt x l.
Vic t nhn cho lnh nhy phi nm trong chng trnh. Nhn ca
chng trnh con hoc ca chng trnh x l ngt phi khai bo u chng
trnh.
- Chng trnh s khng hiu khi dng lnh nhy JMP chuyn iu
khin t chng trnh chnh vo mt nhn bt k trong chng trnh con hoc
trong chng trnh x l ngt. Tng t nh vy cng khng th t mt chng
trnh con hay chng trnh x l ngt nhy vo bt c mt nhn no nm ngoi
cc chng trnh .
1.

Lnh t nhn:
Dng LAD:

Dng STL:
LBL 0

ngha:
- Lnh ny c th t trong chng trnh chnh v cng c th t
trong chng trnh con. Ta c th hiu y l mt a ch chng trnh thc
hin bt u t khi c lnh nhy n. a ch nhn ny c t tn theo th
t t 0, 1, 2, 3.....
2.

Lnh nhy n nhn:


Dng LAD:

Dng STL:
JMP 0

ngha:
- Lnh ny c th t trong chng trnh chnh v cng c th t
trong chng trnh con nhng vi iu kin l trong c a ch nhn .
Khi chng trnh thc hin gp lnh ny th chng trnh lp tc nhy n a
ch nhn .
83

3.

Lnh gn nhn cho chng trnh con:


Dng LAD:

Dng STL:
SBR 0

ngha:
- Lnh ny thng t u chng trnh con v c a ch theo th t t 0,
1, 2, 3, 4.... Khi trong chng trnh chnh gi n a ch ca chng trnh con
no th chng trnh con bt u thc hin t y.
4.

Lnh kt thc chng trnh con


Dng LAD:

Dng STL:
RET

ngha:
- Lnh ny t cui chng trnh con. Khi gp lnh ny th chng trnh
s kt thc chng trnh con v tr v (Return) thi hnh lnh k sau lnh gi
n.
5.

Lnh gi chng trnh con:


Dng LAD:
CPU21x

CPU22x

Dng STL:
CPU21x
CALL 0

CPU22x
Call sbr 0

ngha:
- Lnh gi chng trnh con l lnh chuyn iu khin n chng trnh
con c gi. Khi kt thc chng trnh con th vic iu khin li c chuyn
tr v lnh tip theo trong chng trnh chnh ngay sau lnh gi chng trnh
con.
- T mt chng trnh con c th gi c mt chng trnh con khc
trong n, c th gi nh vy nhiu nht l 8 ln i vi S7-200.
6.

Cu trc lp trnh chng trnh con:

- Cu trc lp trnh kiu chng trnh con thng c s dng lp


trnh cho cc h thng c quy trnh cng ngh phc tp, c nhiu chc nng lm
vic khc nhau. Lc chng trnh ca h thng l mt tp hp gm nhiu
chng trnh con ghp li, mi chng trnh con thc hin mt chc nng ca
84

h thng. Cc chng trnh con ny hot ng di s kim sot ca mt


chng trnh chnh v hot ng nh sau:
- Chng trnh chnh c kt thc bng lnh kt thc chng trnh
(MEND)
- Chng trnh con l mt b phn ca chng trnh. Cc chng trnh
con phi c vit sau lnh kt thc chng trnh chnh, l lnh MEND.
- Cc chng trnh x l ngt l mt b phn ca chng trnh. Nu cn
s dng chng trnh x l ngt phi vit sau lnh kt thc chng trnh chnh
MEND.
- Cc chng trnh con c nhm li thnh mt nhm ngay sau chng
trnh chnh. Sau n cc chng trnh x l ngt. Bng cch vit nh vy, cu
trc chng trnh c r rng v thun tin hn trong vic c chng trnh
sau ny. C th t do trn ln cc chng trnh con v chng trnh x l ngt
ng sau chng trnh chnh.
Main Program
.......
MEND

SBR 0
.......
RET

SBR n
......
RET

chng trnh con th nht

Thc hin trong mt vng


qut

Thc hin khi c chng


trnh chnh gi

(chng trnh con th n+1)

INT 0 (chng trnh x l ngt th nht)


......
RET

Thc hin khi c tn hiu


bo ngt

INT n chng trnh x l ngt th n+1


......
RET
Hnh 2 - 9: Cu trc lp trnh kiu chng trnh con ca S7-200
85

VII. Cc v d ng dng
1.
V d 1:
Lp trnh iu khin 1 dy gm 4 n hat ng nh sau:
Bc 1: Nhn I0.1 n 1 sng
Bc 2: Nhn I0.2 n 1 tt v n 2 sng
Bc 3: Nhn I0.3 n 2 tt v n 3 sng
Bc 4: Nhn I0.4 n 3 tt v n 4 sng
Nhn I0.0 th tt bt k n no ang sng.
S khi:

I0.0

I0.1
Bc 1

Q0.1 (n 1)

M0.1
I0.2
Bc 2

Q0.2 (n 2)

M0.2
I0.3
Bc 3

Q0.3 (n 3)

M0.3
I0.4
Bc 4

Q0.4 (n 4)

M0.4

86

Lp trnh dng LAD:

Ghi ch :
I0.0: Nt dng
I0.1: Nt khi ng bc 1
I0.2: Nt khi ng bc 2
I0.3: Nt khi ng bc 3
I0.4: Nt khi ng bc 4
Q0.1: n 1
Q0.3 : n 3

M0.1: Bin trung gian bc 1


M0.2: Bin trung gian bc 2
M0.3: Bin trung gian bc 3
M0.4 : Bin trung gian bc 4
Q0.2 : n 2
Q0.4 : n 4

87

2. V d 2 :
Lp trnh iu khin 1 dy chuyn sn xut gm 4 ng c hot ng
nh sau :
Nhn nt nhn 1 ng c 1 chy.
Sau 30s nu nhn nt nhn 2 ng c 2 chy ng thi 1 tt
Sau 30s nu nhn nt nhn 3 ng c 3 chy ng thi 2 tt
Sau 30s nu nhn nt nhn 4 ng c 4 chy ng thi 3 tt
Nhn nt nhn 4 bt k ng c no chy cng dng.
S khi:
I0.1

I0.4

Reset
M0.2

Bc 1
T37 10s

I0.2
Reset
M0.3
I0.3

Bc 2

Reset
M0.4

Bc 3

T38 10s

T39 10s

I0.4
Reset

Ghi ch :
I0.0:
I0.1:
I0.2:
I0.3:
I0.4:

Nt dng
Nt khi ng C1
Nt khi ng C2
Nt khi ng C3
Nt khi ng C4

Q0.1: C1
Q0.3 : C3

Bc 4

M0.1:
Bin trung gian bc 1
M0.2:
Bin trung gian bc 2
M0.3: Bin trung gian bc 3
M0.4 : Bin trung gian bc 4
Q0.2 : C2
Q0.4: C4

88

Lp trnh dng LAD :

89

BI TP NG DNG
Bi 1: S dng phn mm Simatic S7-200 lp trnh mch in iu khin
ng c xoay chiu ba pha i ni sao - tam gic (Y/D) yu cu sau:
n M ng c khi ng chy Y, sau thi gian 5s ng c chy ch
tam gic.
n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 2: S dng phn mm Simatic S7-200 lp trnh mch in iu khin
ng c xoay chiu ba pha i ni sao sao kp (Y/YY) yu cu sau
n M ng c khi ng chy Y, sau thi gian 5s ng c chy ch
sao kp.
n D th dng c h thng, khi dng thc hin hm trong vng 3s
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 3: S dng phn mm Simatic S7-200 lp trnh mch in iu khin
ng c xoay chiu ba pha i ni sao tam gic (Y/D) c o chiu quay theo
yu cu sau:
n MT ng c chy Y theo chiu thun, sau thi gian 5s ng c chy
ch tam gic.
n MN ng c chy Y theo chiu ngc, sau thi gian 5s ng c chy
ch tam gic.
n D th dng c h thng, khi dng thc hin hm trong vng 3s
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 4: S dng phn mm Simatic S7-200 lp trnh iu h thng bng ti
gm ba ng c chy tun t theo yu cu sau:
n M1 ng c C1 chy, sau 5s th C2 chy, sau th C3 chy
n D1 th C1 dng, sau 5s th C2 dng, sau 5s th C3 dng.
Khi c s c mun dng khn cp n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
90

4. Vit chng trnh di dng LAD, STL.


Bi 5: S dng phn mm Simatic S7-200 lp trnh iu h thng bng ti
gm ba ng c chy tun t theo yu cu sau:
n M1 ng c C1 chy, sau 5s th C2 chy, sau th C3 chy
n D1 th C3 dng, sau 5s th C2 dng, sau 5s th C1 dng.
Khi c s c mun dng khn cp n D th dng c h thng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 6: S dng phn mm Simatic S7-200 lp trnh iu h thng n
giao thng gm 4 n theo yu cu sau:
n M h thng n hot ng theo chu k sau: n xanh sng 30s, n
sng 36s.
n D th tt c h thng n tt.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 7: S dng phn mm Simatic S7-200 lp trnh iu h thng n
giao thng gm 5 n theo yu cu sau:
n M h thng n hot ng theo chu k sau: n xanh 1 sng 30s, n
vng 1 sng 3s, n 1 sng 33s, n xanh 2 sng 30s, n 2 sng 36s.
n D th tt c h thng n tt.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 8: S dng phn mm Simatic S7-200 lp trnh iu h thng n
giao thng gm 6 n theo yu cu sau:
n M h thng n hot ng theo chu k sau: n xanh 1 sng 30s, n
vng 1 sng 3s, n 1 sng 33s, n xanh 2 sng 30s, n vng 2 sng 3s n
2 sng 33s.
n D th tt c h thng n tt
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
91

Bi 9: S dng phn mm Simatic S7-200 lp trnh iu h thng n


giao thng gm 6 n theo yu cu sau:
n M h thng n hot ng theo chu k sau: n xanh 1 sng 30s, n
vng 1 sng 3s, n 1 sng 33s, n xanh 2 sng 30s, n vng 2 sng 3s n
2 sng 33s. Trc khi tt n vng 1, n vng 2 nhy 2 ln.
n D th tt c h thng n tt
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 10: S dng phn mm Simatic S7-200 lp trnh iu h thng n
giao thng gm 6 n hot ng hai ch Auto/Hand theo yu cu sau:
Ch Auto: n M h thng n hot ng theo chu k sau: n xanh 1
sng 6s, n vng 1 sng 2s, n 1 sng 8s, n xanh 2 sng 6s, n vng 2
sng 2s n 2 sng 8s.
Ch Hand: n vng 1 v vng 2 nhy vi chu k T = 1 pht.
n D th tt c h thng n tt.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.

92

BI 4: CC PHP TON S HC CA PLC S7-200


I.

Cc lnh so snh.

- Khi lp trnh, nu cc quyt nh v iu khin c thc hin da trn


kt qu ca vic so snh th c th s dng lnh so snh theo Byte, Word hay
DWord ca S7-200.
LAD s dng lnh so snh so snh cc gi tr ca byte, word hay
DWord (gi tr thc hoc nguyn).
- Nhng lnh so snh thng l: so snh nh hn hoc bng (<=); so snh
bng (= =) v so snh ln hn hoc bng (>=).
- Khi so snh gi tr ca byte th khng cn phi n du ca ton
hng, ngc li khi so snh cc t hay t kp vi nhau th phi n du ca
ton hng l bit cao nht trong t hoc t kp.
V d:
7FFF > 8000 v 7FFFFFFF > 80000000
1.

Lnh so snh bng (= =)

LAD

2.

M t
Lnh dng so snh IN-1 v
IN-2
Tip im ng khi:
IN-1= IN-2
B = byte
I = Integer = Word
D = Double Integer
R = Real
S = String ( chui k t ASCII).
Chiu di ln nht ca mt chui
l 126 byte

Ton hng
IN-1; IN-2
byte: VB, IB, QB,
MB, SMB, AC, Const.
integer(word): VI, IB, QI,
MI, SMI, AC, Const
Double integer: VD, ID,
QD, MD, SMD, AC,
Const

Lnh so snh ln hn hoc bng (>=).

LAD

M t
Ton hng
Lnh dng so snh IN-1 v IN-1; IN-2
IN-2
byte: VB, IB, QB,
Tip im ng khi:
MB, SMB, AC, Const.
IN-1 >= IN-2
integer(word): VI, IB, QI,
B = byte
MI, SMI, AC, Const
I = Integer = Word
Double integer: VD, ID,
D = Double Integer
QD, MD, SMD, AC,
R = Real
Const

93

3.

Lnh so snh ln hn (>).

LAD

4.

Lnh so snh nh hn hoc bng (=<).

LAD

5.

M t
Ton hng
Lnh dng so snh IN-1 v IN-1; IN-2
IN-2
byte: VB, IB, QB,
Tip im ng khi:
MB, SMB, AC, Const.
IN-1 > IN-2
integer(word): VI, IB, QI,
B = byte
MI, SMI, AC, Const
I = Integer = Word
Double integer: VD, ID,
D = Double Integer
QD, MD, SMD, AC,
R = Real
Const

M t
Ton hng
Lnh dng so snh IN-1 v IN-1; IN-2
IN-2
byte: VB, IB, QB,
Tip im ng khi:
MB, SMB, AC, Const.
IN-1 <= IN-2
integer(word): VI, IB, QI,
B = byte
MI, SMI, AC, Const
I = Integer = Word
Double integer: VD, ID,
D = Double Integer
QD, MD, SMD, AC,
R = Real
Const

Lnh so snh nh hn (<).

LAD

M t
Ton hng
Lnh dng so snh IN-1 v IN-1; IN-2
IN-2
byte: VB, IB, QB,
Tip im ng khi:
MB, SMB, AC, Const.
IN-1 < IN-2
integer(word): VI, IB, QI,
B = byte
MI, SMI, AC, Const
I = Integer = Word
Double integer: VD, ID,
D = Double Integer
QD, MD, SMD, AC,
R = Real
Const

94

6.

Lnh so snh khng bng (<>).

LAD

M t
Lnh dng so snh IN-1 v
IN-2
Tip im ng khi:
IN-1 <> IN-2
B = byte
I = Integer = Word
D = Double Integer
R = Real
S = String ( chui k t ASCII).
Chiu di ln nht ca mt chui
l 126 byte

Ton hng
IN-1; IN-2
byte: VB, IB, QB,
MB, SMB, AC, Const.
integer(word): VI, IB, QI,
MI, SMI, AC, Const
Double integer: VD, ID,
QD, MD, SMD, AC,
Const

Trong STL nhng lnh so snh thc hin php so snh byte, Word hay
DWord. Cn c vo kiu so snh (<=, = =, >=), kt qu ca php so snh c gi
tr bng 0 (nu ng) hoc bng 1 (nu sai) nn n c th c kt hp cng cc
lnh LD, A, O. to ra c cc php so snh m S7-200 khng c lnh so
snh tng ng (nh so snh khng bng nhau <>, so snh nh hn <, hoc so
snh ln hn >) ta c th kt hp lnh NOT vi cc lnh c (= =, >=, <=)
II.

Cc lnh di chuyn ni dung nh.

- Cc lnh di chuyn thc hin vic di chuyn hoc sao chp s liu t
vng ny sang vng khc trong b nh. Trong LAD v STL lnh dch chuyn
thc hin vic di chuyn hay sao chp ni dung mt byte, mt t n, hoc mt
t kp t vng ny sang vng khc trong b nh. Lnh trao i ni dung ca hai
byte trong mt t n thc hin vic chuyn ni dung ca byte thp sang byte
cao v ngc li chuyn ni dung ca byte cao sang byte thp ca t . Sau
y l chi tit ca tng lnh.
1.

MOV_B:
Dng LAD

Dng STL
MOVB VB0, VB0

95

ngha:
- Lnh sao chp ni dung ca byte a ch ng vo IN sang byte c a
ch ng ra OUT. c bit trong lnh ny a ch ca byte ng vo IN v a
ch byte a ch ng ra OUT ging nhau v thng nm trong cc vng sau:
IN: VB, IB, QB, MB, SMB, AC, const
OUT: VB, IB, QB, MB, SMB, AC,
V d :
Dng LAD
Dng STL
LD I0.0
MOVB 0, VB0
LD I0.1
MOVB 12, VB0

Gii thch :
- Nu tip im I0.0 ng th ly gi tr 0 ghi vo byte VB0 (xa VB0)
- Tip theo ng tip im I0.1 th ly s 12 ghi vo VB0. Kt qu a ch
byte VB0 c gi tr bng 12.
2.

MOV_W :
Dng LAD

Dng STL
MOVW VW0, VW0

ngha:
Lnh sao chp ni dung ca Word a ch ng vo IN sang Word c
a ch ng ra OUT. c bit trong lnh ny a ch ca Word ng vo IN v
a ch Word a ch ng ra OUT ging nhau v thng nm trong cc vng
sau:
IN: VW, IW, QW, MW, SMW, AC, const
OUT: VW, IW, QW, MW, SMW, AC

96

3.

MOV_DW :
Dng LAD

Dng STL
MOVDW VD0, VD0

ngha :
Lnh sao chp ni dung ca DWord a ch ng vo IN sang DWord c
a ch ng ra OUT. c bit trong lnh ny a ch ca DWord ng vo IN v
a ch DWord a ch ng ra OUT ging nhau v thng nm trong cc vng
sau:
IN: VD, ID, QD, MDW, SMD, AC, const
OUT: VD, ID, QD, MD, SMD, AC
4.

MOV_R: (dch chuyn s thc)


Dng LAD

Dng STL
MOVR VD0, VD0

ngha:
- Lnh sao chp ni dung ca s thc cha trong double word c a ch
ng vo IN sang double word c a ch ng vo OUT. c bit trong lnh
ny a ch ca double word ng vo IN v double word ng ra OUT ging
nhau v thng nm trong cc vng sau:
IN: VD, ID, QD, MD, SMD, AC, const
OUT: VD, ID, QD, MD, SMD, AC
Khi d liu ghi vo trong cc a ch ny theo nguyn tc sau :
Phn nguyn ghi vo word thp
Phn thp phn ghi vo word cao

97

V d:

Gii thch:
- Tip im I0.0 ng th xa double word 0 (VD0), tip im I0.1 ng
th ghi s thc 30,2 vo double word VD0, kt qu nh sau:
VD0
VW2 (word cao)
20

VW0 (word thp)


30

III.

Cc lnh chuyn i d liu.

1.

Lnh chuyn i s nguyn h thp lc phn sang led 7 an:


Dng LAD:

Dng STL:
SEG VB0, VB0

ngha:
- Lnh ny c tc dng chuyn i cc s trong h thp lc phn t 0 n
F cha trong 4 Bit thp ca byte c a ch ng vo IN thnh gi tr BIT cha
trong 8 bit ca byte c a ch ng ra OUT tng ng vi thanh led 7 on.
Trong lnh ny byte c a ch ng vo IN v byte c a ch ng ra OUT c
th cng a ch v nm trong nhng vng sau:
IN: VB, IB, QB, MB, SMB,AC, const
OUT: VB, IB,AB,MB,SMB,AC

98

V d:
Dng LAD:

Dng STL:

LD I0.0
MOVW +3, VW0
LD I0.1
SEG VB0, AC0

Gii thch:
- Khi tip im I0.0 ng th s 3 c ghi vo VW0, sau tip im
I0.1 ng th gi tr cha trong 4 bit thp ca byte VB0 chuyn thnh 8 bit cha
trong thanh ghi AC0.
Ta c th minh ha theo bit nh sau:
VB1
VB0
VW0
0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 1
VB1
VB0
0 0 0 0 0 0 1 1
SEG
AC0
2.

. g f e d c b a
0

0 1 0 0 1 1 1 1

Lnh chuyn i s m BCD sang s nguyn:


Dng LAD:

Dng STL:
BCDI VW0

ngha:
- Lnh ny thc hin php bin i mt s nh thp phn 16 bit cha trong
word c a ch ng vo IN sang s nguyn 16 bit cha trong word c a ch
ng ra OUT. c bit y word c a ch ng vo IN v word c a ch
ng ra OUT c th cng mt a ch. a ch ny thng nm trong cc vng sau
IN: VW, T, C, IW, QW, MW, SMW, AC, AIW, const
OUT: VW, T, C, IW, QW, MW, SMW, AC.
99

V d:
Dng LAD

Dng STL
LD I0.0
MOVW +1124, AC0
LD I0.1
BCDI AC0

Gii thch:
- Khi I0.0 ng , gi tr 1124 theo m BCD l 0001 0001 0010 0100 c
ghi vo a ch AC0. Tip im I0.1 ng th gi tr BCD c chuyn sang
s nguyn v lu vo AC0. Ta biu din theo bit nh sau:
MOV
AC0
M BCD 0 0 0 1
0 0 0 1
0 0 1 0
0 1 0 0
BCD-I
S nguyn 0 0 0 0

0 1 0 0

AC0
0 1 1 0
0 1 0 0

AC0= 1024 + 64 + 32 + 4 = 1124


3.

Lnh chuyn i s nguyn sang m BCD:


Dng LAD:

Dng STL:
I BCD VW0

nghi:
- Lnh ny thc hin php bin i mt s nguyn 16 bit cha trong word
c a ch ng vo IN sang s nh thp phn 16 bit cha trong word c a ch
ng ra OUT. c bit y word c a ch ng vo IN v word c a ch
ng ra OUT c th cng mt a ch.
- a ch ny thng nm trong cc vng sau :
IN: VW, T, C, IW, QW, MW, SMW, AC, AIW, const
OUT: VW, T, C, IW, QW, MW, SMW, AC.
100

4.

Lnh chuyn i s nguyn sang s thc:


Dng LAD:

Dng STL:
DTR VD0, VD0

ngha:
- Lnh ny thc hin php bin i mt s nguyn 32 bit c a ch ng
vo IN thnh s thc 32 bit ri ghi vo Dword c a ch ng ra OUT. Trong
ton hng IN v OUT c th cng i ch v thuc mt trong cc vng sau:
IN : VD, ID, QD, MD, SMD, AC, HC, const
OUT: VD, ID, QD, MD, SMD, AC
5.

Lnh chuyn i s thc sang s nguyn:


Dng LAD:

Dng STL:
TRUNC

VD0, VD0

ngha:
- Lnh ny thc hin php bin i mt s thc 32 bit cha trong Dword
c a ch ng vo IN thnh s nguyn 32 bit ri ghi vo Dword c a ch
ng ra OUT. Trong tan hng IN v OUT c th cng i ch v thuc mt
trong cc vng sau:
IN : VD, ID, QD, MD, SMD, AC, HC, const
OUT: VD, ID, QD, MD, SMD, AC.

101

6.

Lnh ly gi tr nghch o:
Dng LAD:

Dng STL:

Gi tr Byte

INVB VB0

INVW VW0
Gi tr Word

INVD VD0
Gi tr Double Word

ngha:
- Lnh c tc dng o gi tr tng Bit ca tan hng ca DW c a ch
ng vo IN ri ghi kt qu vo Dword c a ch ng ra OUT. Lnh ny cn
c gi l lnh ly gi tr b ca mt s.
V d:
VB10 0 0 0 0 0 1 1 1
INVB
VB10 1 1 1 1 1 0 0 0
IV.

Cc lnh chc nng ton hc.

1.

Lnh tng gim mt n v:


* Lnh cng s nguyn 1 vo ni dung byte:
Dng LAD:

Dng STL:
INCB VB0

102

ngha:
- Lnh ny c tc dng cng s nguyn 1 n v vi ni dung ca byte c
a ch ng vo IN, kt qu c ghi vo byte c a ch ng ra OUT. Byte
IN v byte OUT c th cng a ch v lnh ny c s dng cc bit nh c
bit SM1.0, SM1.1, SM1.2 bo trng thi kt qu php tnh theo nguyn tc
nh sau:
Kt qu tnh
SM1.0 SM1.1 SM1.2
=0
1
S m
1
> byte

V d:
Dng LAD:

Dng STL:

MOVB 10, VB0


INCB VB0

Gii thch:
- Tip im I0.0 ng th s 10 c ghi vo VB0, tip im I0.1 ng
th ni dung ca VB0 tng ln 1 n v v kt qu c lu li VB0.
Lc ny VB0 = 11.
* Lnh cng s nguyn 1 vo ni dung word:
Dng LAD:

Dng STL:

INCW

VW0

103

ngha :
- Lnh ny c tc dng cng s nguyn 1 n v vi ni dung ca word c
a ch ng vo IN, kt qu c ghi vo word c a ch ng ra OUT, word
IN v word OUT c th cng a ch v lnh ny c s dng cc bit nh c
bit SM1.0, SM1.1, SM1.2 bo trng thi kt qu php tnh theo nguyn tc
nh sau:
Kt qu tnh
SM1.0 SM1.1 SM1.2
=0
1
S m
1
> byte

* Lnh cng s nguyn 1 vo ni dung double word:


Dng LAD:

Dng STL:
INCD

VD0

ngha:
- Lnh ny c tc dng cng s nguyn 1 n v vi ni dung ca double
word c a ch ng vo IN, kt qu c ghi vo doub word c a ch ng
ra OUT, double word IN v double word OUT c th cng a ch v lnh ny
cng s dng cc bit nh c bit SM1.0, SM1.1, SM1.2 bo trng thi kt
qu php tnh theo nguyn tc nh sau:
Kt qu tnh
=0

SM1.0 SM1.1
1

S m
> byte

SM1.2

104

* Lnh tr ni dung ca byte i 1 n v:


Dng LAD:

Dng STL:

DECB

VB0

ngha:
- Lnh ny c tc dng ly ni dung ca byte c a ch ng vo IN tr
i 1 n v, kt qu c ghi vo byte c a ch ng ra OUT, byte IN v byte
OUT c th cng a ch v lnh ny cng s dng cc bit nh c bit SM1.0,
SM1.1, SM1.2 bo trng thi kt qu php tnh theo nguyn tc nh sau:
Kt qu tnh
SM1.0 SM1.1 SM1.2
=0
1
S m
1
> byte

* Lnh tr ni dung ca word i 1 n v:


Dng LAD:

Dng STL:
DECW

VW0

ngha:
- Lnh ny c tc dng ly ni dung ca word c a ch ng vo IN tr
i 1 n v, kt qu c ghi vo word c a ch ng ra OUT, trong lnh
word IN v word OUT c th cng a ch v lnh ny cng s dng cc bit
nh c bit SM1.0, SM1.1, SM1.2 bo trng thi kt qu php tnh theo
nguyn tc nh sau:
Kt qu tnh
SM1.0 SM1.1
SM1.2
=0
1
S m
1
> byte

1
105

* Lnh tr ni dung ca double word i 1 n v:


Dng LAD:

Dng STL:
DECD

VD0

ngha:
- Lnh ny c tc dng ly ni dung ca double word c a ch ng vo
IN tr i 1 n v, kt qu c ghi vo double word c a ch ng ra OUT,
trong lnh double word IN v double word OUT c th cng a ch v lnh
ny cng s dng cc bit nh c bit SM1.0, SM1.1, SM1.2 bo trng thi
kt qu php tnh theo nguyn tc nh sau:
Kt qu tnh
=0
S m

SM1.0 SM1.1
1

> byte
2.

SM1.2
1

Cc lnh s hc:
* Lnh cng s nguyn 16 bit :
Dng LAD:

Dng STL:
+I

VW0, VW2

ngha:
Lnh thc hin cng cc s nguyn 16 bit IN1 v IN2 , kt qa l mt s
nguyn 16- bit c ghi vo OUT, tc l: IN1 + IN2 = OUT
Trong IN2 v OUT c th cng a ch v thuc cc vng nh sau:
IN1, IN2: VW,T ,C, IW, QW, MW, SMW, AC, AIW, const
OUT:
VW,T ,C, IW, QW, MW, SMW, AC, AIW

106

* Lnh tr s nguyn 16 bit


Dng LAD:

Dng STL:
+I

VW0, VW2

ngha:
- Lnh c thc hin php tr cc s nguyn 16 bit IN1v IN2 , kt
qa l mt s nguyn 16- bit v c ghi vo OUT, tc l: IN1 IN2 = OUT
- Tng t lnh cng s nguyn 16 bit lnh ny cng c IN2 v OUT
cng a ch v thuc cc vng nh sau:
IN1, IN2: VW,T ,C, IW, QW, MW, SMW, AC, AIW, const.
OUT:
VW,T ,C, IW, QW, MW, SMW, AC, AIW.
* Cng s nguyn 32 bit
Dng LAD:

Dng STL:

+D

VD0, VD4

ngha:
Lnh thc hin php cng cc s nguyn 32 bit IN1 v IN2, kt qa l
mt s nguyn 32 bit c ghi vo OUT, tc l IN1 + IN2 = OUT.
Trong lnh IN2 v OUT c th cng a ch v thuc cc vng nh sau:
IN1, IN2: VD,T ,C, ID, QD, MD, SMD, AC, HC, const.
OUT:
VD,T ,C, ID, QD, MD, SMD, AC.
* Tr s nguyn 32 bit
Dng LAD:

Dng STL:
INVD VD4
INCD VD4
+D VD0, VD4

107

ngha:
Lnh thay v thc hn php tr cc s nguyn 32 bit IN1 v IN2 th y
thc hin bng cch ly nghch o ca s thc VD4 sau tng ln 1 n v ri
thc hin cng vi VD0 kt qa l mt s nguyn 32 bit c ghi vo OUT.
Trong IN2 v OUT c th cng a ch v thuc cc vng nh sau:
IN1, IN2: VD,T ,C, ID, QD, MD, SMD, AC, HC, const.
OUT:
VD,T ,C, ID, QD, MD, SMD, AC.
* Cng s thc:
Dng LAD:

Dng STL:
+R

VD0, VD4

ngha:
Lnh thc hin php cng cc s thc 32 bit IN1 v IN2, kt qu l mt
s thc 32 bit c ghi vo OUT, tc l: IN1 + IN2 = OUT
Trong ton hng IN2 v OUT c th cng a ch, thng nm trong
cc vng sau:
IN1, IN2: VD, ID, QD, MD, SMD, AC, HC, const
OUT:
VD, ID, QD, MD, SMD, AC
* Tr s thc:
Dng LAD:

Dng STL:
*R -1.000000, VD4
(nhn 1)
+R VD0, VD4

ngha:
Lnh thay v thc hin php tr cc s thc 32 bit IN1 v IN2 th y
thc hin bng cch nhn s thc IN2 vi 1 ri sau ly IN1 cng vi IN2,
kt qu l mt s thc 32 bit c ghi vo OUT, tc l: IN1 + (IN2)(-1) = OUT.
Trong ton hng IN2 v OUT c th cng a ch, thng nm trong
cc vng sau:
IN1, IN2: VD, ID, QD, MD, SMD, AC, HC, const
OUT:
VD, ID, QD, MD, SMD, AC
108

* Nhn s nguyn 16 bit :


Dng LAD:

Dng STL:
MUL VW0, VD0

ngha:
Lnh thc hin php nhn 2 s nguyn 16bit IN1 v IN2. Kt qu 32 bit
cha trong t kp OUT (4 byte).
* Chia s nguyn 16 bit :
Dng LAD:

Dng STL:

MOVW

VW0,

VW6
DIV VW2, VD4

ngha:
- Lnh thc hin php chia s nguyn 16 bit IN1 cho s nguyn 16 bit
IN2. Kt qu 32 bit cha trong t kp OUT (4 byte) gm thng s ghi trong
mng 16 bit t bit 0 n bit 15 (t thp) v phn d cng 16 bit ghi trong mng
t bit 16 n bit 31 (t cao). Trong lnh ny c s dng cc bit nh c bit sau
bo trng thi.
Kt qu tnh
=0
Bo trn
S m

SM1.0 SM1.1
1
1

SM1.2

SM1.3

Mu = 0

* Nhn s thc 32 bit:


Dng LAD:

Dng STL:
*R

VD0, VD4

109

ngha:

- Lnh thc hin php nhn hai s thc 32bit IN1 v IN2 cho ra kt qu
32 bit cha trong t kp OUT (4 byte).
* Chia s thc 32 bit:
Dng LAD:

Dng STL:
MOVR VD0, VD8
/R
VD4, VD8

ngha:
- Lnh thc hin php chia s thc 32 bit IN1 cho s thc 32 bit IN2. Kt
qa 32 bit cha trong t kp OUT (4 byte). Trong lnh ny cng s dng cc bit
nh c bit sau bo trng thi:
Kt qu tnh
=0
Bo trn
S m
Mu = 0

SM1.0 SM1.1
1
1

SM1.2

SM1.3

1
1

110

V d:
Dng LAD:

Dng STL:
LD I0.0
MOVW +6000, AC0
MOVW +4000, AC1
MOVW +41, VW10
MOVW +200, VW102
MOVW+4000, W202
LD I0.1
+I AC1, AC0
MUL AC1, VD100
DIV VW10, VD200

Kt qu cc php tnh trn:


Php cng:
AC0=10000
Php chia: VW202
VW200
VD200=
Phn d

23
Phn nguyn

Php nhn:
VD100=800000

97

111

V.

Cc lnh truy cp ng h thc.

- Trong thit b lp trnh S7-200 k t CPU 214 tr i th trong CPU c


mt ng h ghi gi tr thi gian thc gm cc thng s v Nm, thng, ngy,
gi, pht, giy v ngy trong tun. ng h ny c cp in lin tc bi
ngun pin 3V.
- Khi thc hin lp trnh cho cc h thng t ng iu khin cn cp nht
gi tr ng h thi gian thc ny ta phi thng qua 2 lnh sau:
1.

Lnh c:
Dng LAD:

Dng STL:

TODR VB0

ngha:
Lnh ny c ni dung ca ng h thi gian thc ri chuyn sang m
BCD v lu vo b m 8 byte lin tip nhau theo th t nh sau:

Trong byte u tin c ch nh bi ton hng T trong cu lnh, byte


7 ch s dng 4 bit thp lu gi tr cc ngy trong tun.
2.

Lnh ghi:
Dng LAD:

Dng STL:

TODW VB0

ngha:
Lnh ny c tc dng ghi ni dung ca b m 8 byte vi byte u tin
c ch nh trong ton hng T vo ng h thi gian thc. Trong T thuc 1
trong nhng vng nh sau: VB, IB, QB, MB, SMB.
Nu cn iu chnh cc thnng s v nm, thng, ngy, gi, pht, giy,
ngy trong tun th iu chnh cc byte nh sau:
112

V d : iu chnh gi tr ngy ta lm nh sau:


Dng LAD:
Dng STL:

LD I0.0
TODR VB100
MOVB VB102, AC0
INCB AC0
MOVB AC0, VB102
TODW VB100

VB100
VB101
VB102
VB103

2003
02
31
09

VB100
VB101
VB102
VB103

2003
02
30
09

VB104
VB105
VB106

20
35
0

VB104
VB105
VB106

20
35
0

VB107

VB107

Gi tr trc x l

Sau khi x l

113

BI TP NG DNG
Bi 1: S dng phn mm Siamtic S7-200 lp trnh iu khin mch in
qung co gm 8 n theo yu cu sau:
n M h thng n sng dn t tri qua phi (t Q0.0 n Q0.7) ri tt
hn v t ng lp li.
Chu trnh lp li 4 ln ri dng hn mun hot ng li nhn 1 nt bt k
(Reset).
n D th h thng n dng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 2: S dng phn mm Siamtic S7-200 lp trnh iu khin mch in
qung co gm 8 n theo yu cu sau:
n M h thng n sng dn t tri qua phi (t Q0.0 n Q0.7) ri tt
dn t tri qua phi v t ng lp li.
Chu trnh lp li 3 ln ri dng hn mun hot ng li nhn 1 nt bt k
(Reset).
n D th h thng n dng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 3: S dng phn mm Siamtic S7-200 lp trnh iu khin mch in
qung co gm 8 n theo yu cu sau:
n M h thng n sng dn t hai u vo (t Q0.0, Q0.7 n Q0.3,
Q0.4) ri tt dn t gia ra hai u (t Q0.3, Q0.4 n Q0.0, Q0.7) v t ng
lp li.
Chu trnh lp li 5 ln ri dng hn mun hot ng li nhn 1 nt bt k
(Reset).
n D th h thng n dng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 4: S dng phn mm Siamtic S7-200 lp trnh iu khin mch in
qung co gm 8 n theo yu cu sau:
n M h thng n sng ui t tri t tri sang phi (Q0.0 n Q0.7) v
t ng lp li.
Chu trnh lp li 5 ln ri dng hn mun hot ng li nhn 1 nt bt k
(Reset).
114

n D th h thng n dng.
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 5: S dng phn mm Siamtic S7-200 lp trnh iu khin mch in
qung co gm 8 n theo yu cu sau:
n M h thng n sng dn t tri t tri sang phi (Q0.0 n Q0.7) ri
tt hn v nhy 3 ln trc khi t ng lp li.
Chu trnh lp li 5 ln ri dng hn mun hot ng li nhn 1 nt bt k
(Reset).
n D th h thng n dng
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.
Bi 6: S dng phn mm Siamtic S7-200 lp trnh iu khin mch in
qung co gm 8 n theo yu cu sau:
n M h thng n sng dn t tri t tri sang phi (Q0.0 n Q0.7) ri
tt hn v nhy 3 ln trc khi t ng lp li.
Chu trnh lp li 5 ln ri dng hn mun hot ng li nhn 1 nt bt k
(Reset).
n D th h thng n dng
Ch : c s dng m hnh.
Sinh vin phi thc hin cc phn sau:
1. Xc nh a ch vo/ra (Input/Output).
2. V gin thi gian.
3. V s kt ni vi PLC.
4. Vit chng trnh di dng LAD, STL.

115

BI 5: X L TN HIU ANALOG
I.

Tn hiu ANALOG

- Tn hiu tng t (Analog) l tn hiu m bin bin thin lin tc theo


thi gian trong mt gii hn cho php. ng biu din tn hiu l dng sng.
- Mch tng t x l tn hiu tng t. Tn hiu tng t nh l tn hiu
ting ni, tn hiu bin thin ca nhit , tn hiu ca in p, dng in.
- Mt s c tnh ca tn hiu tng t:
+ Thng do cc hin tng t
nhin pht sinh ra v c cm bin
chuyn thnh tn hiu in.
+ Lin tc v bin ngha l c
bt c tr s no trong khong bin thin
ca n, v d nh 1V; 1,1 V; 1,25V.
+ Thng l lin tc v thi gian.
II.

Gii thiu modul m rng ANALOG PLC S7 - 200

- Modul Analog c kt ni vi CPU PLC l modul EM 235 c s


khi nh sau:

- Modul EM 235 gm 4 knh (channel) c c u vo in p v u vo


dng in. V d Channel 1 c u vo in p l A+ v A- cn u dng
in l RA.
- Cc u vo ny c a qua b khuch i thut ton OPAM so
snh cc trng thi tn hiu. Sau khi qua b OPAM tn hiu c qua b m
(buffer) v ti b chuyn i tng t / s (ADC)
- Tt c cc tn hiu tng t m ta thu c x l u c a qua
b chun ha vi mc in p l t: (0 10)V, vi mc dng in t: (4
20)mA.
116

- Chn dy in p trong gii hn 0V10V cho EM235, bt cc cng tc


trn module theo cc v tr c n nh tng ng vi tng dy in p u
v phn di ca tn hiu vo theo bng di y:
Khng o du
SW1 SW2 SW3
ON OFF OFF
OFF ON
OFF
ON OFF OFF
OFF ON
OFF
ON OFF OFF
ON OFF OFF
OFF ON
OFF
o du
SW1 SW2
ON OFF
OFF ON
OFF OFF
ON OFF
OFF ON
OFF OFF
ON OFF
OFF ON
OFF OFF

SW3
OFF
OFF
ON
OFF
OFF
ON
OFF
OFF
ON

SW4
ON
ON
OFF
OFF
OFF
OFF
OFF

SW4
ON
ON
ON
OFF
OFF
OFF
OFF
OFF
OFF

SW5
OFF
OFF
ON
ON
OFF
OFF
OFF

SW5
OFF
OFF
OFF
ON
ON
ON
OFF
OFF
OFF

SW6
ON
ON
ON
ON
ON
ON
ON

Dy in p
u vo
0 50 mV
0 100 mV
0 500 mV
0 1V
0 5V
0 20 mA
0 10V

SW6
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF
OFF

Dy in p
phn gii
u vo
25mV
1,25 mV
50 mV
25 mV
100 mV
50 mV
250 mV
125mV
500 mV
250 mV
1V
500 mV
1,25 mV
2,5V
25mV
5V
50 mV
10V

phn gii
1,25 mV
25 mV
125 mV
250 mV
1,25 mV
5mA
2,5 mV

- Vi mi tn hiu Analog th c chuyn i thnh 12 bit tn hiu s


- Gi tr chuyn i ADC 12 bit ca t n i vi tn hiu vo c/khng
c du:
- Tu thuc vo s knh s dng trn module analog EM235 tng ng
vi a ch u vo (t n) phi s dng trong qu trnh lp trnh: AWI0_cho
channel 1, AWI2_cho channel 2, AWI4_cho channel 3

117

III.

Kt ni ng vo ra ca modul ANALOG
Vi Modul EM 235 cc thit b u vo/ra Analog c kt ni nh sau:

IV.

Cc bi tp ng dng PLC x l tn hiu ANALOG

Bi 1: O IN TH DC 0 10V
Yu cu: o gi tr in p ti mt trong cc li vo trn khi EM235 v
xut gi tr ny (di dng s nh phn 12 bt) ra cc li ra ca PLC.
Chng trnh vit bng LAD

118

t cc SW trn khi EM235 cc v tr sau:


1

10

11

ON

OFF

OFF

OFF

OFF

OFF

OFF

OFF

ON

OFF

OFF

Cp ngun cho h thng v chy chng trnh. Quan st tn hiu trn


ng h v cc ng ra trn PLC.
Chnh bin tr thay i gi tr in p ng vo A+; A- quan st trn
ng h v cc ng ra trn PLC v ghi vo bng sau.

119

Gi tr in p c trn ng h
2
(s thc-n v: Volt)
Gi tr c c trn cc ng ra
PLC
(s nh phn)

10

Da vo kt qu nhn c tr li cc cu hi sau:
1. Cho bit gi tr bo ho ca in th li vo analog.
2. Cho bit gi tr mt khong chia ca b bin i ADC (ly gi tr trung
bnh).
Bi 2: O IN TH DC V IU KHIN ON/OFF
Yu cu: o gi tr in p ti mt trong cc li vo trn khi EM235 v
xut gi tr ny (di dng s nh phn 12 bt) ra cc li ra ca PLC.
So snh kt qu vi cc s 1000 v 2000
Nu gi tr ln hn 2000: ng tip im Q1.0
Nu gi tr nh hn 1000: ng tip im Q1.1
Chng trnh vit di dng LAD

120

Da vo kt qu nhn c tr li cc cu hi sau:
Tnh gi tr in p ti 1000 v 2000. So snh kt qu ny vi kt qu
quan st trn ng h.
C s khc bit gia hai kt qu ny khng? Gii thch

121

Bi 6: PLC CA CC HNG KHC


I.

PLC ca hng Omron:

1.

Cc PLC h CPM1A

Cc PLC loi ny l cc PLC c kch thc nh gn, cu trc ng nht mt


khi, trn mi CPU c sn t 10, 20, 30, 40 ng I/O. Tt c cc CPU dng ny
u c ng ra Rle.
d liu trong RAM khng b mt khi PLC b mt in th ngi dng c
th s dng mt Card nh Flash Memory.
* Kh nng m rng:
Cc modul CPU c th m rng thm 3 modul m rng, mi module m
rng c t 30-40 I/O
* Chc nng lc tn hiu ng vo:
Cc ng vo c kh nng p ng nhanh, nhn bit trng thi tn hiu ng
vo trong vng 0,2 ms v c kh nng chng nhiu

* B m tc cao
B m tc cao cho php tng kh nng m ln hoc xung v khng
b nh hng bi chu k qut ca CPU.
* Timer
Cc Timer c kh nng tr hon t 0.5 ms n 999,9s. c tng s 128 Timer.
* Chc nng chnh nh tn hiu Analog
Trn CPU c 2 bin tr nh cho php chnh nh gi tr ci t ca tn hiu
Analog
* Truyn thng
Cc PLC CPM1A c kh nng kt ni vi cc my tnh c nhn PC,
chuyn i giao tip RS 232 cho truyn thng 1-1 v b chuyn i giao tip
RS-422 cho truyn thng 1-n.
122

* Di y l ton b cc Module h CPM1A

2.

Cc CPU h C200Ha

Cc PLC h C200Ha l h cc PLC c trung bnh, c pht trin da trn


cc PLC h C200H. Cc CPU C200Ha c nhiu u im nh b nh c m
rng hn, tc x l nhanh hn, c h tr Protocol Marco (th tc tryn
thng cho cc Modul ASCII v Basic Modul)m v c th ty chn gn thm cc
card PCMCIA . Di y l mt s c im ca cc PLC thuc h ny.
+ C 11 loi CPU thuc h ny
+ Ngun cung cp l module tch ri vi CPU
+ Tng s I/O: 1184
+ Tc x l: 0.1 ms/lnh
+ Kh nng m rng l 3 Backplane(rack m rng)
+ Cc chc nng tch hp cho php cc PLC h ny giao tip vi nhau mt
cch d dng.
+ Kh nng truyn thng vi cc bng iu khin vn hnh (OP), cc b c
m vch, s dng Devicenet cho php chng c th kt ni d dng vi cc
thit b ca cc hng khc nh cc b bin tn hay cc thit b Analog.
+ S dng phn mm SYSMAC V1.2 hoc SYSWIN V3.0 tr ln.

123

Cu hnh ca 1 PLC h C200Ha

Backplane v cc modul m rng


3.

PLC loi Micro


Gm c cc loi PLC: CPM1A, CPM2A, CPM2C

CPM1A
CPM2A
Hnh 2 - 10. PLC loi Micro ca OMRON

CPM2C

124

Cc PLC ny c kch thc dng Modul nh gn, c tch hp sn cc b


m tc cao, ng ra xung, t chnh c ng vo analog, thch hp trong
vic lp t trong cc my cng c.
Ngoi ra PLC loi ny cn c mt s c im sau:
- S lng ng vo ra ti a t 100 n 140 ng ty loi CPU.
- C kh nng m rng cc ng vo ra vi cc modul m rng.
- B nh chng trnh t 2..4kWord ty loi.
- C kh nng kt ni mng.
4.

PLC loi Mini: CQM1/CQM1H

PLC ny c ng dng trong cc h thng sn xut linh hot. N c


thit d dng m rng h thng v t ng thch hp vi yu cu iu khin
ca ngi dng. C cc modul truyn thng tc cao v cc modul I/O c
bit.
* c im:
- C kh nng m rng n 512 ng vo/ra bng cch kt ni vi cc
modul m rng.
- B nh chng trnh 15 kWord
- B nh d liu n 6.144 kWord
- C kh nng kt ni mng.
- Ngoi ra cn c cc chc nng iu khin c bit khc nh: vo ra
analog, iu khin nhit , giao tip sensor tuyn tnh, iu khin
tc cao .
5.

PLC loi Medium CS1:

y l loi PLC c ng dng cho cc iu khin ln trong cc nh my,


n c cc c im sau:
125

- Kh nng cc ng vo/ra: 5.120 vi cc modul m rng


- B nh chng trnh 250 Kword
- B nh lm vic ni (RAM ni): 8.192 kWord.
- B nh d liu: 32.768 kWord.
- C kh nng truyn thng: Ethernet, Controller Link, SYSMAC
Link, CompoBus/D (DeviceNet), CompoBus/S, Profibus-DP, Modbus, Host
Link, NT Link, Protocol Macro.
- Cc chc nng iu khin c bit khc: Analog I/O, Temperature
Sensor, Temperature Controller, Fuzzy Logic, Hight-Speed Counter, Cam
Positioner, Basic Processor, PID Control, Motion/Position control, I/D
Sensor, Voice, Analog Timer, B7A Interface, Interrupt Input
II.

PLC ca hng Mitsubishi:

Trong phn ny ch cp ti cc CPU


h FX1S, FX 1N, FX2N, FX2NC , c th
l cc CPU h FX 2NC.
Cc CPU loi ny s dng phn mm FXWIN v GPP-WIN, chng c mt s c im
sau:
+ Tnh hiu qu cao
+ C th son tho chng trnh 3
dng l LAD, STL v FBD
+ Kt ni: c kh nng kt ni vi tt c
cc CPU ca Mitsubishi, CC Link,
Profibus, AS-i v cc mng khc.
+ S dng trong cc lnh vc iu khin c
s lng ng vo ra ti 256 I/O
CPU h FX
Di y l phm vi m rng I/O ca cc CPU h FX

126

1.

PLC cc nh loi Alpha


PLC ny chuyn dng iu khin cho cc ng dng nh.

Hnh 2 - 11. PLC cc nh loi Alpha


* PLC ny c mt s c tnh nh sau:
- Mn hnh hin th tinh th lng cho php lp trnh trc tip, hin th d liu,
nhp s liu cho PLC, truy xut d liu b nh m khng cn cp ni, c bit
c th hin th chui 10 k t thng bo, thun tin cho vic bo tr nhng ni
khng c my tnh.
- Chc nng ng h thi gian thc c kh nng lp trnh cho cc tc v hng
ngy, hng tun, hng thng, hng nm ( vi hng nm hin th ti 4 s).
- B nh loi EEPROM c kh nng m rng.
- Cc ng vo c th nhn tn hiu dng s hoc tn hiu lin tc (analog) tu
vic lp trnh.
- Cc ng ra rle hoc transistor c th ty chn; ng ra rle chu dng n 10A.
- C tnh nng bo mt trnh trng hp chng trnh b thay i bi ngi l.
- C kh nng ni mng vi bo mch truyn thng gn thm.
2.

PLC loi FXO, FXOS

Cc loi PLC ny c thit k mnh, tht nh gn thch hp cho vic


lp trn cc my n gin hot ng c lp (hnh 1.2). Chng ch yu thay th
cc mch iu khin truyn thng dng rle, timer, counter ri , . . ., v thc
hin cc tc v iu khin t, khng phc tp.

Hnh 2 - 12. PLC loi FXO, FXOS

127

PLC loi ny c cc iu khin c bn thng qua cc lnh c bn, mt s


lnh ng dng iu khin trnh t, cc tc v chun nh nh thi v m. Vic
lp trnh c h tr vi cc ngn ng nh Instruction, Ladder v Function
Chart. Tuy nhin, PLC ny c mt s c im m ngi s dng cn lu nh
sau:
- Khng c kh nng kt ni vi modul m rng vo/ra.
- Khng c kh nng kt ni vi modul chc nng chuyn dng.
- Khng c kh nng kt ni vo h thng mng.
- B nh nh 2 khng v khng tng c dung lng.
3.

PLC loi FXON, FX, FX2C, FX2N

Cc loi PLC ny c cu trc modul thun li cho vic m rng kh nng v


chc nng iu khin ca h thng. c im ny cho php ta nng cp hay m
rng h thng iu khin d dng.
Cc modul chuyn dng bao gm:
- Modul x l tn hiu analog
- Modul dng vi cp nhit in
- Modul iu khin v tr
- B giao tip kt ni song song
- B giao tip RS232-RS485
PLC loi ny c h tr chc nng truyn thng, cho php PLC tham gia
vo mt h thng mng iu khin phn tn.

Hnh2 - 13: PLC loi FXON, FX, FX2C, FX2N


Trng hp khong cch iu khin xa th vic thc hin ni dy trc tip
n tng c cu tc ng cng nh cm bin l khng kinh t. Cch gii quyt
cho vn ny l t cc modul vo/ra xa v dng k thut truyn thng
nhn tn hiu ng vo v cp nht trng thi cho ng ra thng qua cp truyn
thng. Tn hiu c chuyn t dng d liu ni tip thnh tn hiu kch cc ng
ra ring l.

128

III.

PLC ca hng Siemens (trung bnh v ln)

1.

PLC h S7-300:
Loi PLC c s dng cho cc h thng iu khin c mc trung bnh.

C nhiu loi CPU khc nhau theo k hiu l CPU 31x. N cc cc c


im sau:
- S lng ng vo/ra ti a: 1024
- Ng vo ra analog: 256
- C kh nng m rng b nh bng card nh Flash EPROM ln n
4 MB.
- Ty theo loi CPU c th t a ch t do
- C kh nng m rng n 32 khi.
- C kh nng mng: Multipoint interface (MPI), PROFIBUS hoc
Ethernet cng nghip, ASI.
2.

PLC h S7-400:

y l loi CPU PLC c kh nng trung bnh n cao cp, c nhiu loi CPU
khc nhau theo k hiu CPU 41x. N c cc c im sau:
- Kh nng cc cng vo/ra: tu tng loi CPU c th ln n 128 K ng
vo/ra.
- C kh nng m rng n 300 khi
- B nh chng trnh khi c gn thm card nh c th ln n 16 MB.
- C th ni mng: MPI, PROFIBUS, Ethernet cng nghip ..
- C kh nng a ch t do.
129

- C khiu kh nng x l song song (c n 4 CPU c dng rnh trung


tm).
- C th gn thm nhiu khi chc nng c bit khc nh: iu khin vng
kn, nh v, m ....
IV.

HNG ALLENBRADLEY

1.

PLC 5 System Controller

L loi PLC dng iu khin h thng ln vi


khong t 5 n 125 ng vo/ra.
B nh s dng 6K n 100K words. Tt c loi
PLC 5 u c kh nng iu khin ng/ra nh
v t xa.
2.

Loi Pico Controllers:

C kch thc nh, gn v rt linh ng. Pico controller c kh nng thc


hin nhng php ton logic n gin, iu khin timer, cuonter v ng h thi
gian thc. tng kh nng v hiu qu iu khin, hng AB cho ra i thm
loi PLC Pico GFX, s dng mn hnh hin th sinh ng v c tnh iu khin
chng trnh ging nh iu khin PID; tc m cao.
Pico c ng dng nhiu nhng ni khng i hi iu khin qu phc tp,
r tin nh n giao thng, n khu bi u xe
V.

HNG TELEMECANIQUE

1.

PLC loi XPS MF 60:

L loi PLC an ton, cung cp nhng line iu khin t ng linkt vi


my tnh. C tnh nng an ton cao cho ngi s dng v cho cc thit b. c
thit k theo tiu chun IEC 64508 v EN 954 11.
Tn hiu vo c th l s/tng t
Tn hiu ra c th l s/ tng t hoc
rle.
Giao tip vi mng Ethernet, truy cp
theo ng 4 RJ45.
S dng phn mm chng trnh
SSV1XPS MF Win.
B nh s dng 500 Kb
Chu c dng ti cc i l 30A.
Ngun cung cp 24 VDC.
Khi vo/ra c th di chuyn c.
130

2.

PLC loi XPS MF 1-2-3.


Ngun cung cp : 24 VDC
Dng ti : 0,8A n 14A

3.

PLC loi XPS MF 31-30-35


20 ng vo s 8 ng ra s.
Ngun cung cp 24 VDC.
B nh 250Kb.
Dng ti cc i: 8A

131

BI 7: CC M HNH IU KHIN BNG PLC


M hnh thang my xy dng
M hnh iu khin ng c sao - tam gic
M hnh xe chuyn nguyn liu
M hnh o chiu di v sp xp vt liu
M hnh thit b nng hng ha
M hnh thit b v nc chai
M hnh thit b trn ha cht
Cc m hnh ny c sp xp theo th t v c cc bi tp km theo.
Ton b cc m hnh s dng in p 24VDC. in p ny c th c
ly t ngun ring hoc ngun 24VDC c sn cung cp cho PLC. i vi cc
PLC c ng ra l relay th trn m hnh c thit k sn ngun US dng lm
ngun cung cp cho cc ng ra ny.
Cc m hnh cng c th c ng dng cho cc b iu khin lp trnh
loi nh nh LOGO! ca hng Siemens, EASY ca hng Moeller, ZEN ca
Omron....
Ty theo ni dung bi hc m c th chn m hnh thch hp cho bi tp
ng dng. Mt m hnh c th s dng vi nhiu bi tp ng dng khc nhau.
Ly v d: m hnh thang my xy dng c th c s dng trong cc
bi hc nh ng dng iu khin theo t hp logic, iu khin vi cc lnh
ghi/xa tip im, s dng timer, s dng counter, v ng dng trong iu khin
trnh t.
Cch kt ni dy
Cch ni dy t PLC n m hnh c cho nh hnh v:
Cng tc cung
cp ngun cho
Ngun cung
m hnh
cp +24VDC
cung cp cho
Ngun
cc ng ra
cung cp
24VDC
Ni vi cc ng Ni vi cc
vo ca PLC
ng ra ca
PLC
Hnh 2-14: Cch kt ni vi m hnh.
kt ni c vi PLC, yu cu cc modul vo/ra ca PLC nh sau:
- S dng ngun p 24VDC (n p).
- Ngun cung cp cho modul vo/ra phi c kt ni
Nu cc ng ra l rle v cha c ngun cung cp th u chung mt u
li ri ni vi ngun +Us trn m hnh (hoc ngun +24VDC ngoi), cn cc
u cn li ca rle ni vi ng ra trn m hnh.

132

Cc kt ni c th thc hin nh v d sau:

Ni vi cc ng vo trong m hnh

Hnh 2- 15: Cch ni vi cc ng vo trong m hnh.


Noi vi cac ngo ra
tren mo hnh

No i vi cac ngo ra
tren mo hnh

Hnh 2 - 16:Cch ni cc ng ra 24VDC ca PLC vi cc ng ra trong m hnh.


+Us
trn

Ni vi cc ng ra trn m
hnh

Hnh 2 - 17: Cch ni cc ng ra rle ca PLC vi cc ng ra trong m hnh.

133

I.

M hnh thang my xy dng

1.

M T:

M t qui trnh cng ngh ca mt thang my xy dng. S chuyn ng


ca thang c biu din di dng LED. Tn hiu cc cng tc gii hn c
to ra t ng.
M hnh ny c ng dng trong phn bi tp c bn trong mn hc
PLC (ng dng cc cng logic, timer, counter).
Ngoi ra cng c th c p dng cho phn lp trnh nng cao (iu
khin trnh t).
2.

Bng k hiu

K hiu
Nng
H
Dng
GH_Trn
GH_Di
K1
K2
3.

a ch
I0.0
I0.1
I0.2
I0.3
I0.4
Q0.0
Q0.1

Ch thch
Nt nhn nng, thng h
Nt nhn h, thng h
Nt nhn dng, thng ng
Cng tc hnh trnh trn, thng ng
Cng tc hnh trnh di, thng ng
Cun dy khi ng t K1, nng gu
Cun dy khi ng t K2, h gu

Bi tp mu

Cc bi tp mu ny c gii vi theo phn mm STEP 7 Micro/win 32


V3.01.

134

3.1. Bi tp 1: ng dng cng logic, cc lnh ghi/xa tip im


Vit chng trnh iu khin thang my xy dng theo yu cu sau:
Khi nhn nt nhn nng, gu s c nng ln n cng tc gii hn trn
th dng li.
Khi nhn nt nhn h, gu s h xung n cng tc gii hn di th
dng li.
Khi ang nng hoc h, nu nhn nt nhn dng th gu dng li.
Hy vit chng trnh theo m t theo hai cch: ng dng cng logic v
s dng cc lnh ghi xa tip im.
Kim tra hot ng bng m hnh.
Cch 1: ng dng cng logic
Chng trnh c vit LAD:

Chng trnh c vit STL:

135

Cch 2: S dng lnh Set/reset:


Chng trnh vit LAD:

Chng trnh vit STL:

Bi tp 2: S dng Timer.

3.2. Bi 2: Vit chng trnh iu khin thang my xy dng theo yu cu sau:


* Khi n nt nng th gu c nng ln, n gii hn trn th dng li
5s, sau t ng h xung. n gii hn di th dng.
Trong qu trnh nng ln hoc h xung cng c th dng.
* Khi n nt nng th gu c nng ln, n gii hn trn th dng li
5s, sau t ng h xung n gii hn di th dng li 10s, sau t ng
nng ln.
136

Thang cng c th nng ln khi cha h 10s ch t ng m c ngi n


nt nng.
Cu a:
Chng trnh vit LAD:

Chng trnh vit STL:

137

Ghi ch: Nu bi ton c cho yu cu khi n nt h th gu cng h, lc


ny chn thm mt tip im NO ca I0.1 song song vi tip im NO T37
Network 4.
Cu b:
Chng trnh vit LAD:

138

Chng trnh vit STL:

Ghi ch: Nu bi ton c cho yu cu khi n nt h th gu cng h, lc


ny chn thm mt tip im NO ca I0.1 song song vi tip im NO T37
Network 4.
3.3. Bi tp 3: S dng b m:
Vit chng trnh iu khin thang my xy dng theo yu cu sau:
Khi n nt nng th gu c nng ln, n gii hn trn th dng li 5s,
sau t ng h xung n gii hn di th dng li 10s, sau t ng nng
ln. Khi gu nng ln c 10 ln th khng nng ln na v sau h xung tr
v v tr c bn v qu trnh lp li.
Trong qu trnh ang nng hoc h cng c th dng gu.

139

Chng trnh vit LAD:

140

Ghi ch: Nu bi ton c cho yu cu khi n nt h th gu cng h, lc


ny chn thm mt tip im NO ca I0.1 song song vi tip im NO T37
Network 5.
141

Chng trnh vit STL:

142

II.

M hnh iu khin ng c Y-:

1.

M t

M hnh ny m phng mt ng c m my Y/D . S chuyn ng ca


rotor v s ng ct ca cc contactor c m t bng LED.
M hnh ny c ng dng trong phn bi tp c bn ca mn hc PLC
(ng dng PLC trong iu khin ng c, cc cng logic, timer).
C th da vo m hnh bng ti lp thnh cc bi tp khc nhau nh:
M my ng c Y/D , o chiu quay ng c, iu khin ng c cc tc
khc nhau, bn sy.
Cch thc ni dy cho m hnh nh mc II.
2.

Cch vn hnh m hnh

Sau khi ni dy m hnh vi PLC xong, thc hin vit chng trnh
theo bi tp a ra (c th t kim tra cc ng vo ra bng phn mm (i vi
S7-200 dng bng Status chart)) v sau thc hin m phng vi m hnh.
Khi ng c quay phi (tri), cc n LED s chuyn ng theo chiu
phi (tri) theo cc trng thi tng ng: Nu n chuyn ng nhanh bo ng
c quay tc t nhanh v ngc li. Cc cotactor khi c ng in c bo
bi cc n bo t k hiu cun dy. Trong ng c c t 3 dy n bo
tng trng cho 3 cun dy ca ng c. Nu ng c c in th cc dy n
ny sng.

143

3.

Bng k hiu

K hiu
Start
Stop
F1
F4
Right
Left
H_Right
H_Left
Not aus
Q1
Q2
Q3
Q4
R
L
4.

a ch
I0.0
I0.1
I0.2
I0.4
I0.5
I0.6
I1.0
I1.1
I1.2
Q0.0
Q0.1
Q0.2
Q0.3
Q0.4
Q0.5

Ch thch
Nt nhn khi ng, thng h
Nt nhn dng, thng ng
CB 3 pha, cng tc
Relay nhit, cng tc
Chn chiu quay phi, thng h
Chn chiu quay tri, thng h
Quay phi tc nhanh, thng h
Quay tri tc nhanh, thng h
Dng khn cp, cng tc
Khi ng t K1, quay phi
Khi ng t K2, quay tri
Khi ng t K3, chy Y
Khi ng t K4, chy D
n bo quay phi
n bo quay tri

Bi tp mu

Cc bi tp v iu khin ng c c th s dng c vi m hnh ny.


Trong phn ny a ra 4 bi tp mu nh sau:
4.1. Bi tp 1 (S dng cc cng logic, lnh ghi xa tip im): Vit chng
trnh iu khin ng c Y/D bng tay.
ng c c iu khin theo yu cu sau:
Khi n nt khi ng Start (I0.0), cc contactor Q1 v Q3 ng li.
ng c chy ch Y. Sau nu nt nhn Right (I0.5) th contactor Q3
tt v contactor Q4 c in. ng c chy ch D.
ng c c cung cung cp in bi CB 3 pha, v c bo v bi rle
nhit v dng bng nt nhn Stop
4.2. Bi tp 2 (S dng cc cng logic, lnh ghi xa tip im): Vit chng
trnh iu khin tc v o chiu quay ng c.
Sau khi c cp in, ng c hot ng nh sau:
Trc tin chn chiu quay ca ng c bng cc nt nhn Right hoc
Left. Khi n cc nt ny tng ng cc contactor Q1 hoc Q2 ng li v cc
n bo quay phi R hoc quay tri L sng. Sau n nt khi ng Start
th ng c s quay tc thp trc (contactor Q3 c in). By gi c th
cho ng c quay tc cao hn bng cch n cc nt tng ng vi cc
chiu quay H-Right hocH-Left. Khi n cc nt ny th contactor Q4 c
in.
ng c c bo v qu nhit v c dng bng nt nhn Stop.
Ghi ch: Khng cho php o chiu trc tip cng nh vic chuyn i
tc ch c th t thp sang cao.
4.3. Bi tp 3 (S dng Timer): Vit chng trnh iu khin m my ng c
Y/D
144

Vit chng trnh m my ng c Y/D theo yu cu sau:


* Sau khi CB 3 pha c ng, n nt khi ng Start th ng c hot
ng ch Y. Sau thi gian 10s th ng c c chuyn sang hot ng
ch tam gic.
(Ghi ch: ng c quay theo chiu phi).
* Khi n nt Right hoc Left th ng c s hot ng ch sao/tam
gic vi chiu quay l chiu chn. Tng ng cc n bo quay phi hoc
tri sng.
4.4. Bi tp 4 (iu khin trnh t): Vit chng trnh iu khin bn trn.
Vit chng trnh iu khin mt bn trn theo yu cu sau: Khi n
nt Start, th ng c quay phi tc thp trong thi gian 10s dng 5s
quay tri 10s dng 5s. Qu trnh c th lp li. Sau khong 20 ln lp th
ng c dng 10s v sau quay phi tc thp c 5s th chuyn sang
quay tc cao khong 30s th dng hn.
Bn trn c th dng bng cch n nt dng Stop.
Chng trnh mu: (Bi tp 1):
Chng trnh vit LAD:

145

Chng trnh vit STL:

III.

M hnh xe chuyn nguyn liu

146

1.

M t

M phng mt xe vn chuyn nguyn liu t ni ny n ni khc vi


vic ly nguyn liu t bn cha v x nguyn liu vo bn cha khc bng cc
LED vi nhiu mu sc khc nhau. Cng nh cc cm bin v cng tc hnh
trnh u to ra t ng.
ng dng trong PLC c bn: iu khin t hp logic.
ng dng trong PLC nng cao: iu khin trnh t
Cch thc ni dy cho m hnh nh mc II.
2.

Cch vn hnh m hnh:

Sau khi ni dy m hnh vi PLC xong, thc hin vit chng trnh
theo bi tp a ra (c th t kim tra cc ng vo ra bng phn mm (i vi
S7-200 dng bng Status chart)) v sau thc hin m phng vi m hnh.
Nguyn liu trong bn cha khi ang c x hoc c rt vo biu th
bi nhng dng LED chy, cc n LED chy ui tng trng cho xe ang di
chuyn, van thu lc c biu th bi n sng dn v tt dn.
Ty theo yu cu bi tp m c th lp trnh xe chy ch t ng, ch
tay, hoc hot ng c hai ch .
3.

Bng k hiu
K hiu
Start
End 1
Fill 1
End 2
Fill 2
Stop
Step
Auto
Dir_A
Dir_B
Y1
Y2

4.

a ch
I0.0
I0.1
I0.2
I0.3
I0.4
I0.5
I0.6
I0.7
Q0.0
Q0.1
Q0.2
Q0.3

Ch thch
Khi ng h thng, thng h.
Cng tc hnh trnh trm x, thng ng
Cm bin bo xe rng, thng ng.
Cng tc hnh trnh trm np, thng ng.
Cm bin bo y, thng h.
Dng, thng ng.
Ch bc, thng h.
Ch t ng, thng h.
Xe chy v hng A
Xe chy v hng B
Van x nguyn liu
Van thy lc

Bi tp mu:

Xe vn chuyn nguyn liu hot ng nh sau:


*Xe vn chuyn nguyn liu c th thc hin qua cng tc chn ch :
- Ch t ng: I0.6
- Ch bc: I0.7
*V tr c bn: Xe v tr cng tc hnh trnh End 2 (I0.3 v xe cha c
lm y.
Ch t ng:
Khi xe v tr c bn v cng tc chn ch t ch t ng, khi
nhn nt khi ng (I0.0) th van x Y1 m, vt liu c vo xe, cm bin
147

Fill 2 dng nhn bit xe c y. Khi xe y th van x Y1 mt in


v xe chy v hng B sau thi gian n nh 5s, xe dng li ti B (trm nhn
nguyn liu) khi chm cng tc hnh trnh S2. Xy lanh thy lc ca thit b x
c iu khin v tm chn trn xe c m vt liu c rt vo bn cha.
Khi xe x ht vt liu cm bin S4 pht ra tn hiu 1, pit tng thy lc ca thit
b x mt in, tm chn tr v v tr c, xe dng 5 giy sau chy v hng
A. Chu k hot ng c lp li.
Nu trong chu k hot ng m nt dng c n th qu trnh vn tip
tc cho n khi xe tr v v tr c bn (xe rng v trm nhn nguyn liu) v
dng hn.
Ch bc:
mi bc thc hin phi thng qua nt nhn start.
V d : khi n start xe ng v tr van x c m, khi xe y th S3 tc
ng, van x ng li. Nu tip tc n start th xe chy v hng B.
Hy vit chng trnh iu khin xe chuyn nguyn liu ny v sau kt
ni vi thit b m phng kim tra hot ng hai dng:
a. iu khin dng t hp logic
b. iu khin trnh t
Bi gii mu: Chng trnh c vit theo iu khin trnh t
Chng trnh vit LAD

148

149

Chng trnh c vit STL

150

151

IV.

o chiu di v sp xp vt liu

1.

M t

M hnh m phng mt h thng bng ti vn chuyn g v sp xp cc


loi g c chiu di ngn khc nhau vo cc thng cha bng cc cn gt kh
nn. Hng LED ln trn tng trng cho cc on g di chuyn trn bng ti,
hng nh di l bng ti. G trong thng cha c sp xp thnh hng
- ng dng trong PLC c bn: iu khin t hp logic.
- ng dng trong PLC nng cao: iu khin trnh t
152

2.

Cch vn hnh m hnh

Sau khi ni dy m hnh vi PLC xong, thc hin vit chng trnh
theo bi tp a ra (c th t kim tra cc ng vo ra bng phn mm (i vi
S7-200 dng bng Status chart)) v sau thc hin m phng vi m hnh.
Ch c th t g trn bng ti c nu bng ti hot ng. Vic t
nhng thanh g di ngn khc nhau c to ra bng cch n nt Khi ng
lu hay ngn. Khi cc thanh g ny i qua cc cm bin quang th cc cm bin
ny s thay i trng thi. Cc cn gt nu c kch hot th cc thanh g ngay
v tr ca n s bin mt v sau mt thanh LED trong hp sng ln cho bit
g vo trong hp. Ty theo bi tp t ra m c th pht hin c ngi
thc hnh vit chng trnh sai hay ng.
3.

Bng k hiu:
K hiu
Khi ng
B1
B2
B3
B4
B5
B6
B7
B8
SI
S II
T ng
Tay
Bng ti
Cn gt I
Cn gt II
n bo

4.

a ch
I0.0
I0.1
I0.2
I0.3
I0.4
I0.5
I0.6
I0.7
I1.0
I1.1
I1.2
I1.3
I1.4
Q0.0
Q0.1
Q0.2
Q0.3

Ch thch
Khi ng h thng, thng h.
Cm bin quang, thng ng
Cm bin quang, thng ng
Cm bin quang, thng ng
Cm bin quang, thng ng
Cm bin quang, thng ng
Cm bin quang, thng ng
Cng tc hnh trnh, thng ng
Cng tc hnh trnh, thng ng
Nt nhn a g vo thng I
Nt nhn a g vo thng II
Cng tc chn ch t ng
Cng tc chn ch tay
Bng ti vn chuyn g
Cn gt a g vo thng I
Cn gt a g vo thng II
n bo bng ti sn sng nhn g

Bi tp mu:

M hnh o chiu di v sp xp vt liu c dng m phng vic sp


xp cc thanh g c chiu di ngn khc nhau trn bng ti vo cc thng khc
nhau.
H thng c th hot ng hai ch : t ng v tay.
* Ch t ng:
Khi n bo sng bo hiu h thng sn sng lm vic. Bng cch nhn
nt Khi ng th n bo tt v mt tn hiu khi ng c to ra. Cc
thanh g n c t ln bng ti (bng nt n khi ng) v bng ti chuyn
ng.
Chiu di ca thanh g c nhn bit bng cc cm bin quang B1, B2,
B3. iu ny c ngha:
Cm bin B1 tc ng tng ng g ngn.
Cm bin B1 v B2 tc ng tng ng g trung bnh.
153

Cm bin B2, B2 v B3 tc ng tng ng g di.


Khi g ngn n cm bin B7 th Tay gt 1 s y thanh g ny vo
thng 1. Khi g trung bnh n cm bin B8 th Tay gt 2 s y thanh g ny
vo thng 2. G di th c di chuyn tip tc n khu x l k tip. Tay gt 1
v tay gt 2 c s dng bng kh nn c iu khin khong 1 giy v sau
tr v v tr c bn ca n.
Sau khi s sp xp thnh cng (tng ng vi cc cm bin quang B4, B5
v B6) th thit b t ng pht tn hiu khi ng tip theo v bng ti li vn
chuyn g tip tc.
* Ch tay:
ch tay mi thanh g c x l xong th yu cu khi ng li h
thng bng tay. Tn hiu khi ng ch c php x l nu vic iu khin
trc y c bo bng n. Ngay sau khi sp xp thnh cng th n bo li
sng
Tay gt I v II c iu khin bng tay t nt nhn iu khin.
Ghi ch: y ch l mt khu sp xp g v g c t vo bng ti nh
vo nt nhn khi ng. iu ny c ngha nt nhn khi ng va ng vai tr
khi ng va l ni cung cp g cho bng ti.
Hy vit chng trnh iu khin h thng ny v kim tra bng m hnh
hai cch:
- Dng t hp logic
- Dng phng php iu khin trnh t
Bi gii mu (dng t hp logic):
Chng trnh vit LAD:

154

155

156

V.

Thit b nng hng

1.

M t

M phng mt h thng nng hng bng cc n LED vi nhiu mu sc


khc nhau. Hng ha t bn ln thp c a ln cao sang bn ln 2 nh vo
bn nng. H thng ny thng thy trong vic sp xp hng ha trong kho hoc
a hng ho vo cc khoang cha hng ca my bay.
ng dng:
PLC c bn: iu khin t hp logic
PLC nng cao: iu khin trnh t
Kt ni dy nh trnh by mc II.
2.

Cch vn hnh m hnh

Sau khi ni dy m hnh vi PLC xong, thc hin vit chng trnh
theo bi tp a ra (c th t kim tra cc ng vo ra bng phn mm (i vi
S7-200 dng bng Status chart)) v sau thc hin m phng vi m hnh.
Vt th trn bn ln 1 xem nh do mt ni khc chuyn n. C sau khi
vt th c a sang bn nng th mt vt th trn bn ln 1 li xut hin. Vt
th trn bn nng c a sang u bn kia ca bn nng nh vo bng ti trn
bn nng (tng trng bi LED chy ui). Khi vt th c a sang bn ln 2
th n s ln n cui bn v dng li 1s v sau t bin mt.

157

3.

Bng k hiu
K hiu
ON
OFF
S2
S3
S4
S5
Thanh chn
Bng ti
K1
K2

4.

a ch
I0.0
I0.1
I0.2
I0.3
I0.4
I0.5
Q0.0
Q0.1
Q0.2
Q0.3

Ch thch
Khi ng h thng, thng h.
Dng h thng, thng ng
Bo hng v tr cui bn nng, thng ng
Gii hn di bn nng, thng ng
Gii hn trn bn nng, thng ng
Bo hng cui bn ln 2
Chn hng ha bn nng 1
Bng ti chuyn hng
Nng hng ho ln
H bn nng xung

Bi tp mu

Thit b nng hng hot ng nh sau:


Hng ha c t sn trn bn ln 1. Bn nng v tr gii hn di th
khi n nt khi ng ON, bng ti trn bn nng hot ng, ng thi thanh
chn h xung (s dng kh nn) khong 2s hng ha c a sang bn
nng. Sau thanh chn tr v v tr c.
Khi hng ha n v tr cui bn nng (S2), th bng ti dng. Khi ng
t K1 ca ng c M1 c in ko bn nng ln. Khi n gii hn trn th bn
nng dng li. Bng ti bt u chuyn ng a hng sang bn ln 2. Khi hng
n cng tc hnh trnh S5 th bng ti dng. Khi ng t K2 ca ng c M1
c in h bn nng xung, n gii hn di th dng.
Qu trnh mi li bt u cho n khi no nhn nt dng OFF
Hy vit chng trnh iu khin thit b nng trn v kim tra bng m
hnh theo hai cch:
- Vit theo t hp Logic
- Vit theo iu khin trnh t.
- Bi gii mu (Vit theo phng php trnh t):

158

Chng trnh vit LAD:

159

Chng trnh vit STL:

160

161

VI.

Thit b v nc chai

1.

M t

M phng mt thit b v nc chai c cc cm bin, cng tc hnh trnh


v s chuyn ng bng cc LED
ng dng:
PLC c bn: iu khin t hp logic
PLC nng cao: iu khin trnh t
Cch kt ni dy nh mc II
2.

Cch vn hnh m hnh

Sau khi ni dy m hnh vi PLC xong, thc hin vit chng trnh
theo bi tp a ra (c th t kim tra cc ng vo ra bng phn mm (i vi
S7-200 dng bng Status chart)) v sau thc hin m phng vi m hnh.
Hai chai ba bn phi c xem l chai rng. Chai v tr th ba c
xem nh chai c a n ng v tr. Nc trong chai dng ln c m
phng bng n LED sng dn. Ty vo s sng dn ny m c th nh thi
gian lm y chai. Khi chai c y nc v nu bng ti vn chuyn
chai hot ng th chai y t ng c chuyn sang bng ti a chai vo kt.
Mt tn hiu s pht ra nu chai ng v tr trong kt. Khi kt t n 12 th
n khng th t Reset c. c th xa cc LED trong kt ny phi n nt
Khi ng.
hot ng ging thc t th khi cn v nc n ming chai phi dng
li 1s n nh.

162

3.

Bng k hiu
K hiu
S1
S2
S3
S4
S5
K1
K2
K3
K4
K5

4.

a ch
I0.0
I0.1
I0.2
I0.3
I0.4
Q0.0
Q0.1
Q0.2
Q0.3
Q0.4

Ch thch
Gii hn trn ca cn v nuc, thng ng
Gii hn di ca cn v nc, thng ng
Cm bin v tr chai, thng h
Khi ng h thng, thng h
Chai ng v tr trong kt, thng h
Van x nuc
H cn v nc xung
Nng cn v nc ln
Bng ti vn chuyn chai rng
n bo kt y

Bi tp mu:

Thit b v nc chai hot ng nh sau:


Trc khi vn hnh thit b v nc chai th cc chai rng phi c t
ln bng ti. Nu sau nt nhn khi ng ( I0.3) c tc ng, th bng ti
s vn chuyn chai rng vi thi gian tr hon ban u l 1s. Bng ti dng li
khi c mt chai n cm bin v tr (I0.2).
By gi cn v nc s h t trn xung, khi n gii hn di (I0.1) th
dng li, sau 1s th van x s c m nc vo chai, van x s ng li
khi chai y thi gian lm y ko di khong 3s.
Sau khi van x ng li 1s th cn v nc c nng ln, n gii hn
trn (I0.0) th dng li. Sau 1s th bng ti vn chuyn chai rng li tip tc
v qu trnh c th lp li.
Chai y nc c a sang bng ti a chai vo kt khi bng ti
chai rng hot ng, khi chai ng v tr trong kt th c mt tn hiu pht ra
(I0.4).
Qu trnh c lp i lp li cho n khi no s lng chai trong kt
12 th n bo sng ln v h thng dng li. Qu trnh mi li bt u khi nt
nhn khi ng c tc ng.
Hy vit chng trnh iu khin v kim tra bng m hnh.

163

Bi gii mu (Chng trnh c vit theo t hp logic):


Chng trnh vit LAD

164

165

Chng trnh c vit STL:

166

167

VII. Thit b trn ha cht

1.

M t

M phng mt thit b trn nh lng, s dng cc cm bin analog


o lng cht lng cha trong bnh v o nhit trong bnh. Nhit v lng
cht lng trong bnh c th c iu chnh trc bng cc phm chnh nh bn
ngoi.
ng dng trong PLC nng cao (iu khin tun t, x l tn hiu analog,
cc php ton, b iu chnh 2 im...)
2.

Cch vn hnh m hnh

Vi nt v m hnh:
y l m hnh thit b trn dng cc cm bin analog. M hnh ny c
thit k cho cc PLC c gn cc modul ng vo analog c ng vo l in p
(0..10V). Ty theo loi PLC v cng ty theo cc modul ng vo analog hin c
m chng ta c th a ra mt s bi tp ph hp.
Ghi ch:
Cm bin o nhit m hnh ny c thit k ph thuc v V3. C
ngha l nu V3 c cung cp in th nhit tng dn t khong 50C ln v
n cc i l 1000C. Nu V3 mt in th nhit t ng gim xung t t.
i vi cm bin o lng cht lng, th n ch o c ti mi thi im
ch vi mt bm cht lng. Tc l nu nh bm A hot ng th bm B phi
ngng v ngc li.

168

3.

Bng k hiu
K hiu
AI1
AI2
AI3
AI4
ON
OFF
V1
V2
V3
V4
M1

4.

a ch
AIW0
AIW2
AIW4
AIW6
I0.0
I0.1
Q0.0
Q0.1
Q0.2
Q0.3
Q0.4

Ch thch
Cm bin nhn bit lng cht lng trong bnh
Cm bin nhn bit nhit trong bnh
t chnh trc lng cht lng cn thit
t chnh trc nhit cn thit
Khi ng h thng, thng h
Dng h thng, thng ng
Bm cht lng A
Bm cht lng B
Cung cp nhit cho bnh trn
Van x cht lng
Qut trn ha cht

Bi tp mu:

Thit b trn ha cht hot ng nh sau:


C hai loi cht lng A v B cn c trn vi nhau theo t l 1/3. Nhit
cn thit trn hai cht ny c t chnh AI4. Lng cht lng mun
trn c t chnh AI3.
Khi n nt khi ng ON th bm B hot ng trc. Sau khi cht lng
A t n mc cn thit th dng li (c nhn bit bi cm bin AI1). Nhit
trong bn trn c tng dn ln n gi tr t (sai s cho php l 10%,
c nhn bit bi cm bin AI2) th bm cht lng A hot ng, ng thi qut
trong bn trn cng quay. Khi cht lng A ng lng cho php th bm
A dng. Qut trn tip tc quay trong khong thi gian 10s na th dng li. Sau
van x t ng m ra x cht lng trn vo bn cha.
Qu trnh mi li bt u, nu nt nhn ON c n. H thng c th
dng nu nhn nt dng OFF.
Hy vit chng trnh iu khin bn trn ny.

169

Bi gii mu:
Chng trnh vit LAD:

170

171

172

173

174

CHNG 3: K THUT IU KHIN LP TRNH PLC S7-300.


BI 1: CU TRC CHUNG CA PLC S7 - 300
I.

Cc module v cc kiu d liu ca PLC S7-300.

Thng thng, tng tnh mm do trong ng dng thc t m


phn ln cc i tng iu khin c s tn hiu u vo, u ra cng nh chng
loi tn hiu vo/ ra khc nhau m cc b iu khin PLC c thit k khng b
cng ho v cu hnh. Chng c chia nh thnh cc module. S cc s
module c s dng nhiu hay t tu theo tng bi ton, song ti thiu bao gi
cng phi c mt module chnh l module CPU. Cc module cn li l nhng
module nhn/ truyn tn hiu vi i tng iu khin, cc module chc nng
chuyn dng nh PID, iu khin ng c Chng c gi chung l module
m rng. Tt c cc module c g trn nhng thanh ray (Rack).
Rack
SM

SM

SM

CPU

PS ( Power)

Cng ni tip RS 485

ng bus
lin kt cc
m un tip
theo

Cc m un m rng

Nu c nhiu modul th b tr thnh nhiu rack (tr CPU312IFM v


CPU313 ch c mt rack), CPU rack 0, slot 2, k l modul pht IM360,
slot 3, c nhim v kt ni rack 0 vi cc rack 1, 2, 3, trn mi rack ny c
modul kt ni thu IM361, bn phi mi modul IM l cc modul SM/FM/CP.
Cp ni hai modul IM di ti a 10m. Cc modul c nh s theo slot v
dng lm c s t a ch u cho cc modul ng vo ra tn hiu. i vi
CPU 315-2DP, 316-2DP, 318-2 c th gn a ch ty cho cc modul.

175

Chng trnh vit trn my tnh nh phn mm Step 7 sau truyn cho
PLC qua cng ni tip RS 485 ca module CPU

Hnh 3- 1: Mt thit b PLC SIMATIC S7-300


1.

Module CPU

Module CPU l loi module c cha b vi x l, h iu hnh, b nh,


cc b thi gian, b m, cng truyn thng (RS485) v c th cn c mt vi
cng vo ra s. Cc cng vo ra s c trn module CPU c gi l cng vo ra
onboard.
Trong h PLC S7- 300 c nhiu loi module CPU khc nhau. Ni chung
chng c t theo b vi x l c trong n nh module CPU312, module
CPU314, module CPU315
Nhng module cng s dng mt loi b vi x l, nhng khc nhau v
cng vo/ ra onboard cng nh cc khi hm c bit c tch hp sn trong
th vin ca h iu hnh phc v vic s dng cc cng vo/ ra onboard ny s
c phn bit vi nhau tn gi bng thm cm ch ci IFM (vit tt ca
Intergrated Function Module).

176

V d module CPU312 IFM, module CPU314 IFM

Hnh 3- 2 : Cng giao tip ca cc PLC SIMATIC S7-300


Ngoi ra cn c cc loi module CPU vi hai cng truyn thng, trong
cng truyn thng th hai c chc nng chnh l phc v vic ni mng phn
tn. Tt nhin km theo cng truyn thng th hai ny l nhng phn mm tin
dng thch hp cng c ci sn trong h iu hnh. Cc loi module CPU
c phn bit vi nhng module CPU khc bng thm cm t DP (Distributed
Port) trong tn gi. V d module CPU315- DP.

Hnh 3- 3 : Cc loi CPU PLC SIMATIC S7-300

177

2.

Module m rng
Cc module m rng c chia thnh 5 loi chnh:

Hnh 3- 4 : Cc loi module m rng PLC SIMATIC S7-300


2.1. Module PS (Power supply).
L module chuyn i t ngun xoay chiu vi 1 cp in p sang ngun
mt chiu vi nhiu cp in p (5VDC, 10VDC, 12VDC, 24VDC...). N l
module ngun nui cung cp cho tt c cc module trong h PLC. N thng c
3 loi 2A, 5A v 10A.
2.2. Module SM (Signal module).
Module m rng cng tn hiu vo/ ra. Mi module ny u c chn cp
ngun in p cp thng bng vi in p ca cc ng vo ra ca cc module
. Dng module vo/ ra thng c mt s loi:
* Module DI (Digital input): Module m rng cc cng vo s. S cc
cng vo s m rng c th l 8, 16 hoc 32 tu thuc vo tng loi module.
+ SM 321; DI 32 _ 24 VDC
+ SM 321; DI 16 _ 24 VDC
+ SM 321; DI 16 _ 120 VAC, 4*4 nhm
+ SM 321; DI 8 _ 120/230 VAC, 2*4 nhm
+ SM 321; DI 32 _ 120 VAC 8*4 nhm
* Module DO (Digital output): Module m rng cc cng ra s. S cc
cng ra s m rng c th l 8, 16 hoc 32 tu thuc vo tng loi module.

178

Modul ra s:
+ SM 322; DO 32 _ 24 VDC/0.5 A, 8*4 nhm
+ SM 322; DO 16 _ 24 VDC/0.5 A, 8*2 nhm
+ SM 322; DO 8 _ 24 VDC/2 A, 4*2 nhm
+ SM 322; DO 16 _ 120 VAC/1 A, 8*2 nhm
+ SM 322; DO 8 _ 120/230 VAC/2 A, 4*2 nhm
+ SM 322; DO 32_ 120 VAC/1.0 A, 8*4 nhm
+ SM 322; DO 16 _ 120 VAC ReLay, 8*2 nhm
+ SM 322; DO 8 _ 230 VAC Relay, 4*2 nhm
+ SM 322; DO 8 _ 230 VAC/5A Relay,1*8 nhm
* Module DI/ DO (Digital input/ Digital output): Module m rng cc
cng vo/ ra s. S cc cng vo/ ra s m rng c th l 8 vo/ 8 ra hoc 16
vo/16 ra tu thuc vo tng loi module.
+ SM 323; DI 16/DO 16 _ 24 VDC/0.5 A
+ SM 323; DI 8/DO 8 _ 24 VDC/0.5 A
* Module AI (Analog input): Module m rng cc cng vo tng t.
Dng o in p, dng in, in tr ba dy, bn dy, nhit . C nhiu tm
o, phn gii, thi gian chuyn i khc nhau. Ci t thng s hot ng
cho modul bng phn mm S7- Siemen 300 Station - Hardware v/hoc chng
trnh ngi dng s dng hm SFC 55, 56, 57 ph hp v/hoc ci t nh
modul tm o (measuring range modul) gn trn modul SM. Kt qu chuyn
i l s nh phn ph hai vi bit MSB l bit du. V bn cht chng chnh l
nhng b chuyn i tng t s 12 bits (AD), tc l mi tn hiu tng t c
chuyn thnh mt tn hiu s (nguyn) c di 12 bits. S cc cng vo tng
t c th l 2, 4 hoc 8 tu tng loi module.
SM331 AI 2*12: modul chuyn i hai knh vi sai p hoc dng, hoc
mt knh in tr 2/3/4 dy, dng phng php tch phn, thi gian chuyn i
t 5ms n 100ms, phn gii 9, 12, 14 bit + du, cc tm o nh sau: 80
mV; 250 mV; 500 mV; 1000 mV; 2.5 V; 5 V;1 .. 5 V; 10 V; 3.2
mA; 10 mA; 20 mA; 0 .. 20 mA; 4 ..20 mA. in tr 150 W; 300 W; 600 W;
o nhit dng cp nhit E, N, J, K, L, nhit k in tr Pt 100, Ni 100. Cc
thng s mc nh c ci sn trn modul, kt hp vi t v tr ca modul
tm o (bn v tr A, B, C, D) nu khng cn thay i th c th s dng ngay.
* Module AO (Analog output): Module m rng cc cng ra tng t.
Chng chnh l nhng b chuyn i s tng t (DA). S cc cng ra tng t
c th l 2 hoc 4 tu tng loi module.
Cung cp p hay dng ph thuc s nh phn ph hai
- SM332 AO 4*12 bit: 4 ng ra dng hay p phn gii 12 bit, thi gian
chuyn i 0.8 ms .
- SM332 AO 2*12 bit
- SM332 AO 4*16 bit

179

* Module AI/AO (Analog input/ Analog output): Module m rng cc


cng vo/ra tng t. S cc cng ra tng t c th l 4 vo/ 2 ra hoc 4 vo/ 4
ra tu tng loi module.
- SM 334; AI 4/AO 2 * 8 Bit
- SM334; AI 4/AO 2* 12 Bit
2.3. Xc nh a ch cho module m rng
Mt trm PLC c hiu l mt module CPU ghp ni cng vi cc
module m rng khc (module DI, DO, AI, AO, CP, FM) trn nhng thanh
Rack (gi ), trong vic truy nhp ca CPU vo cc module m rng c
thc hin thng qua a ch ca chng. Mt CPU c kh nng qun l c 4
thanh Rack vi ti a 8 module m rng trn mi thanh Rack. Tu thuc vo v
tr lp t ca cc module m rng trn mi thanh Rack m cc module c a
ch khc nhau. Tuy nhin ngoi l vi loi CPU 31x C c thm cc ng vo ra
ngay trn module CPU th a ch thng c ngm nh trc bi nh ch
to. Vic xc nh a ch cho cc ng vo/ra l ht sc cn thit c ngha thc
tin cao trong lp t v vn hnh. Quy tc xc nh a ch cho cc module m
rng tu thuc vo v tr lp t ca n.

IM

Rack 3

Nh
n
IM
Rack 2
Nh
n
IM
Rack 1

Rack 0

CPU
+
PS

Nh
n
IM
Gi

640
+
655

656
+
671

672
+
687

688
+
703

704
+
719

720
+
735

736
+
751

752
+
767

512
+
527

528
+
543

544
+
559

560
+
575

576
+
591

592
+
607

608
+
623

624
+
639

384
+
399

400
+
415

416
+
431

432
+
447

448
+
463

464
+
479

480
+
495

496
+
511

256
+
271

272
+
287

288
+
303

304
+
319

320
+
335

336
+
351

352
+
367

368
+
383

Slot 4 -:- Slot 11


Hnh 3-5: Xc nh a ch cho cc Module tng t

180

IM
Rack 3
Nhn
IM
Rack 2

Nhn
IM

Rack 1
Nhn
Rack 0

CPU
+
PS

IM
Gi

96.0
+
99.7

100.
0
+

104.
0
+

108.
0
+

112.
0
+

116.
0
+

120.
0
+

124.
0
+

119.
84.0
+
.87.

98.0
+
91.7

92.0
+
95.7

64.0
+
67.7

68.0
+
71.7

72.0
+
.75.

76.0
+
79.7

80.0
+
83.7

32.0
+
35.7

36.0
+
39.7

40.0
+
43.7

44.0
+
47.7

48.0
+
51.7

52.0
+

56.0
+
59.7

60.0
+
63.7

0.0
+
3.7

4.0
+
7.7

8.0
+
11.7

12.0
+
15.7

16.0
+
19.7

20.0

24.0
+
27.7

28.0
+
31.7

23.7

Slot 4-:- Slot11


Hnh 3- 6: Quy tc xc nh a ch cho cc Module s
2.4. Module IM (Interface module).
Module ghp ni (giao tip). y l loi module chuyn dng c nhim
v ni tng nhm cc module m rng li vi nhau thnh mt khi v c
qun l chung bi mt module CPU. Thng thng cc module m rng c
g lin vi nhau trn mt thanh gi l Rack. Trn mi mt Rack ch c th g
c nhiu nht 8 module m rng ( khng k module CPU, module ngun
nui). Mt module CPU S7-300 c th lm vic trc tip c vi nhiu nht 4
Racks v cc Racks ny phi c ni vi nhau bng module IM.
2.5. Module FM (Function module).
Module c chc nng iu khin ring, v d nh module iu khin
ng c bc, module iu khin ng c servo, module PID, module iu
khin vng kn.
2.6. Module CP (Communication module).
Module phc v truyn thng trong mng gia cc PLC vi nhau hoc
gia PLC vi my tnh.
3.

Kiu d liu
Mt chng trnh trong S7-300 c th s dng cc kiu d liu sau:

D liu BOOL.

Vi dung lng 1 bt v c gi tr l 0 hoc 1 (ng hoc sai). y l kiu


d liu cho bin hai tr.

181

D liu BYTE.

Gm 8 bits, thng c dng biu din mt s nguyn dng trong


khong t 0 n 225 hoc m ASCH ca mt k t.
V d :
L
B # 16 #14 // Np s nguyn14 vit theo h c s 16 di 1 byte
vo ACCU1.
*

D liu WORD.

Gm 2 bytes, dng biu din mt s nguyn dng trong khong t 0


n 65535.
V d:
L
930
L
W#16#3A2
*

D liu INT.

Cng c dung lng l 2 bytes, dng biu din mt s nguyn trong


khong 32768 n 32767.
V d:
L
930
L
W#16#3A2
*

D liu DINT.
Gm 4 bytes, dng biu din mt s nguyn trong khong t
2147483648 n 2147483647.
V d:
L
930
L
W#16#3A2

* D liu REAL.
Gm 4 bytes, dng biu din mt s thc du phy ng.
V d:
L
1 . 234567e + 13
L
930 . 0
*

D liu S5T (hay S5TIME).


Khong thi gian, c tnh theo gi/ pht/ giy/ mili giy.
V d:
L
S5T#2h 1m- 0s- 5ms
lnh to khong thi gian l hai ting mt pht v 5 mili giy.

D liu TOD.
Diu din gi ttr thi gian tnh theo gi/ pht/ giy.
V d:
L
TOD#5: 45: 00.
lnh khai bo gi tr hthi gian trong ngy l 6 gi km 15.

D liu DATE.
Biu din gi tr thi gian tnh theo nm/ thng/ ngy.
182

V d:
L
DATE# 1999- 12- 8
lnh khai bo ngy mng 8 thng 12 nm 1999.
*

D liu CHAR.
Biu din mt hoc nhiu k t ( nhiu nht l 4 k t ).
V d:
L
`ABCD
L
`E

II.

Phn chia b nh
B nh ca S7- 300 c chia lm ba vng chnh:

1.

Vng cha chng trnh ng dng (LOAD MEMORY).

Vng nh chng trnh (do ngi s dng vit) bao gm tt c cc khi


chng trnh ng dng OB, FC, FB, cc khi chng trnh trong th vin ca h
thng c s dng ( SFC, SFB) v cc khi d liu DB. Vng nh chng
trnh ng dng c chia lm 3 min.
* Min OB (organisation block).
Min cha chng trnh t chc.
* Min FC (Function).
Min cha chng trnh con c t chc thnh hm c bin hnh thc
trao i d liu vi chng trnh gi n.
* Min FB (Function block).
Min cha chng trnh con, c t chc thnh hm v c kh nng trao
i d liu vi bt c mt khi chng trnh no khc. Cc d liu ny phi
c xy dng thnh mt khi d liu ring (gi l BD Data block).
Vng nh ny c to bi mt phn b nh RAM ca CPU v
EEPROM (nu c EEPROM). Khi thc hin xo b nh (MRES) ton b khi
chng trnh d liu nm trong RAM s b xo .cng nh vy khi chng trnh
hay khi d liu c (Down load), t thit b lp trnh (My tnh) vo
module CPU chng s d ghi ln RAM ca vng nh Load memory.
2.
Vng cha tham s ca h iu hnh v chng trnh ng dng.
(system memory)
c phn chia thnh 7 min khc nhau, bao gm:
* Min I (Process image input).
Min b m cc d liu cng vo s. Trc khi bt u thc hin
chng trnh, PLC s c gi tr logic ca tt c cc cng u vo v ct gi
chng trong vng nh 1. Thng thng chng trnh ng dng khng c trc
tip trng thi logic ca cng vo s m ch ly d liu ca cng vo t b m
I.

183

* Min Q (Process image output).


Min b m cc d liu cng ra s. Kt thc giai on thc hin chng
trnh, PLC s chuyn gi tr logic ca b m Q ti cc cng ra s. Thng
thng chng trnh khng trc tip gn gi tr ti tn cng ra m ch chuyn
chng vo b m Q.
* Min M.
Min cc bin c. Chng trnh ng dng s dng vng nh ny lu
gi nhng tham s cn thit v c th truy cp n theo bit (M), byte ( MB), t
(MW) hay t kp ( MD).
* Min T.
Min nh phc v b thi gian (Timer) bao gm vic lu gi gi tr thi
gian t trc (PV Preset value), gi tr m thi gian tc thi (CV Current
value) cng nh gi tr logic u ra ca b thi gian.
* Min C.
Min nh phc v b m (Counter) bao gm vic lu gi gi tr t
trc (PV Preset value), gi tr m tc thi (CV Current value) v gi tr
logic u ra ca b m.
* Min PI.
Min a ch cng vo ca cc module tng t (I/ O External input). Cc
gi tr tng t ti cng vo ca module tng t s c module c v chuyn
t ng theo nhng a ch. Chng trnh ng dng c th truy nhp min nh
PI theo tng byte (PIB), tng t (PIW) hoc theo tng t kp (PID).
* Min PQ.
Min a ch cng ra cho cc module tng t (I/ O External output). Cc
gi tr theo nhng a ch ny s c module tng t chuyn ti cc cng ra
tng t. Chng trnh ng dng c th truy nhp min nh PQ theo tng byte
(PQB), tng t (PQW) hoc theo tng t kp (PQD).
Vic truy cp, sa i d liu cc nh thuc vng nh ny c phn
chia hoc bi h iu hnh ca CPU hoc do chng trnh ng dng.
C th thy rng cc vng nh c trnh by trn khng c vng nh no
c dng lm b m cho cc cng vo ra tng t. Ni cch khc cc cng
vo ra tng t khng c b m v nh vy mi lnh truy nhp module tng
t (c hay gi gi tr) u c tc ng ti cng vt l ca Module.
3.

Vng cha cc khi d liu (Work memory):

L vng nh cha cc khi DB ang c m, khi chng trnh


(OB,FC,FB,SFC hoc SFB) ang c CPU thc hin v phn b nh cp pht
cho nhng tham s hnh thc cc khi chng trnh ny trao i tham tr vi
h iu hnh v vi cc khi chng trnh khc (Local Block). Vng nh ny
c chia thnh hai loi:

184

* Min nh DB (Data block):


Min cha cc d liu c t chc thnh khi. Kch thc cng nh s
lng khi do ngi s dng quy nh, ph hp vi tng bi ton iu khin.
Chng trnh c th truy cp min ny theo tng bit (DBX), byte (DBB), t
(DBW) hoc t kp (DBD).
* Min nh L (Local data block):
Min d liu a phng, c cc khi chng trnh OB, FC, FB t chc
v s dng cho cc bin php tc thi v trao i d liu ca bin hnh thc vi
nhng khi chng trnh gi n. Ni dung ca mt s d liu trong min nh
ny s b xo khi kt thc chng trnh tng ng trong OB, FC, FB. Min ny
c th c truy nhp t chng trnh theo bit (L), byte (LB) t (LW) hoc t
kp (LD).
Ti mt thi im nht nh vng word memory ch cha mt khi
chng trnh. Sau khi chng trnh c thc hin xong th h iu hnh s
xo n khi Word memory v np vo khi chng trnh k tip n lt
thc hin.
Tr phn b nh EEPROM thuc vng Load memory v mt phn RAM
t nui c bit ( Non-Volatile) (Khng c th bin i) dng lu gi tham s
cu hnh trm PLC nh a ch trm (MPI address), tn cc Module m rng, tt
c cc phn b nh cn li ch mc nh s khng c kh nng t nh (Non
Retentive). Khi mt ngun nui hoc khi thc hin cng vic xo b nh
(MRES) ton b ni dung trong phn b nh (Non Retentive) s b mt . Tuy
nhin ta c th s dng phn mm STEP 7 chuyn nhng khi DB cha
nhng d liu quan trng, cng nh cc d liu ca Timer, Counter vo phn b
nh Ram t nui khi mt in (gi l phn (Non volatile hay retentive).
chi tit hn v ngha cc vng nh (kch thc ph thuc vo chng
loi CPU) ta xem bng 3-1 di y.
ngha cc vng nh:
Tn gi

Kch thc Kch thc ti a


truy nhp
( ph thuc CPU)

I
Process- Image
IB
input ( I )
IW
B m vo s
ID

0.0 127.7
0 127
0 126
0 124

Q
Process- Image
QB
output ( Q )
QW
B m ra s
QD

0.0 127.7
0 127
0 126
0 124

M
MB
MW
MD

0.0 155.7
0 255
0 254
0 252

Bit Memory
( M)
Vng nh c

ngha
u mi vng qut h iu
hnh s ghi vo phn nh
ny cc gi tr c ly t
cng vo s vt l ca
module m rng.
Cui mi vng qut h iu
hnh s c ni dung ca
min nh ny v chuyn ra
cng ra s ca cc module
m rng.
c s dng nh mt min
cc bin c.
185

Tn gi

Timer ( T)

Counter ( C)

Kch thc Kch thc ti a


truy nhp
( ph thuc CPU)

ngha

T0 T255

Min nh lu gi cc gi tr
PV,CV v T - bit ca
TIMER. c truy cp
sa i bi h iu hnh v
chng trnh ng dng.

C0 C255

Data block
DBX
( DB)
DBB
khi d liu DBW
Share
DBD
Data block
DIX
( DI)
DIB
khi d liu DIW
Instance
DID
Local block (L)
Min nh a
phng cho cc
tham s hnh
thc

L
LB
LW
LD

PIB
Peripheral Input
PIW
(PI)
PID
PQB
Peripheral
PQW
output (PQ)
PQD
III.

Min nh lu gi cc gi tr
PV,CV v C-bit ca Counter.
c truy cp sa i bi
h iu hnh v chng trnh
ng dng.
0.0 65535.7
0 65535
0 65534
0 65532
0.0 65535.7
0 65535
0 65534
0 65532
0.0 65535.7
0 65535
0 65534
0 65532
0
0
0
0
0
0

65535
65534
65532
65535
65534
65532

c m bng lnh OPN


DB.
L khi DB nhng c m
bng lnh OPN DI
Min nh c cp pht cho
cc khi OB, FC,FB mi khi
khi ny c gi thc
hin. Min nh ny cng
c gii phng khi thc
hin xong chng trnh .
Ch c a ch truy cp
c. Khng c phn b nh
thc s.
Ch c a ch truy cp
ghi. Khng c phn b nh
thc s.

Vng qut chng trnh v nhng khi OB c bit

1. Vng qut chng trnh


PLC thc hin chng trnh theo chu k lp. Mi vng lp c gi l
vng qut (scan). Mi vng qut c bt u bng giai on chuyn d liu t
cc cng vo s ti vng b m o I, tip theo l giai on thc hin chng
trnh. Trong tng vng qut, chng trnh c thc hin t lnh u tin n
lnh kt thc ca khi OBI (Block End). Sau giai on thc hin chng trnh l
giai on chuyn cc ni dung ca b m o Q ti cc cng ra s. Vng qut
c kt thc bng giai on truyn thng ni b v kim li (hnh 3- 7).
186

Ch rng b m I v Q khng lin quan ti cc cng vo/ ra tng t


nn cc lnh truy nhp cng tng t c thc hin trc tip vi cng vt l
ch khng thng qua b m.
Thi gian cn thit PLC thc hin c 1 vng qut gi l thi gian
vng qut (Scan time). Thi gian vng qut khng c nh, tc l khng phi
vng qut no cng c thc hin trong mt khong thi gian nh nhau. C
vng qut c thc hin lu, c vng qut c thc hin nhanh tu thuc vo
s lnh trong chng trnh c thc hin, vo khi d liu c truyn
thng trong vng qut .
Nh vy gia vic c d liu t i tng x l, tnh ton v vic gi
tn hiu iu khin ti i tng c mt khong thi gian tr ng bng thi
gian vng qut. Ni cch khc, thi gian vng qut quyt nh tnh thi gian
thc ca chng trnh iu khin trong PLC. Thi gian vng qut cng ngn,
tnh thi gian thc ca chng trnh cng cao.

Chuyn d liu t
cng vo ti I

Truyn thng v
kim tra ni b
Vng qut
chng trnh

Chuyn d liu t
Q ti cng ra

Thc hin
chng trnh

Hnh 3- 7 : Vng qut chng trnh


Nu s dng cc khi chng trnh c bit c ch ngt, v d nh
khi OB40, OB80, chng trnh ca cc khi s c thc hin trong
vng qut khi xut hin tn hiu bo ngt cng chng loi. Cc khi chng
trnh ny c th thc hin ti mi im trong vng qut ch khng b g p l
phi trong giai on thc hin chng trnh. Chng hn nu mt tn hiu bo
ngt xut hin khi PLC ang giai on truyn thng v kim tra ni b, PLC
s tm dng cng vic truyn thng, kim tra thc hin khi chng trnh
tng ng vi tn hiu bo ngt . Vi hnh thc x l tn hiu ngt nh vy,
thi gian vng qut s cng ln khi cng c nhiu tn hiu ngt xut hin trong
vng qut. Do nng cao tnh thi gian thc cho chng trnh iu khin,
tuyt i khng nn vit chng trnh x l ngt qu di hoc qu lm dng vic
s dng ch ngt trong chng trnh iu khin.
Ti thi im thc hin lnh vo/ ra, thng thng lnh khng lm vic
trc tip vi cng vo/ ra m ch thng qua b m o ca cng trong vng nh
tham s. Vic truyn thng gia b m o vi cc ngoi vi trong cc giai on
1 v 3 do h iu hnh CPU qun l. mt s module CPU, khi gp lnh vo/
ra, ngay lp tc, h thng s cho dng mi cng vic khc, ngay c chng trnh
x l ngt, thc hin lnh trc tip vi cng vo/ ra.
187

2.

Nhng khi OB c bit

Trong khi nhng khi OB1 c thc hin u n tng vng qut
trong giai on thc hin chng trnh th cc khi OB khc ch c thc hin
khi xut hin tn hiu bo ngt tng ng, ni cch khc chng trnh vit cho
cc khi OB ny chnh l chng trnh x l tn hiu ngt. Chng bao gm;
*

Khi OB10 (Time of day Interrtupt)

Chng trnh trong khi OB10 s c thc hin khi gi tr ca ng h


thi gian thc nm trong mt khong thi gian c quy nh. OB10 c th
c gi mt ln hay nhiu ln cch u nhau tng pht, tng gi, tng
ngy,Vic quy nh khong thi gian hay s ln gi OB10 c thc hin
nh chng trnh h thng SFC28 hoc trong bng tham s ca Module CPU
nh phn mm Step7.
*

Khi OB20 (Time delay Interrtupt)

Chng trnh trong khi OB20 s c thc hin sau mt khong thi
gian t trc k t khi gi chng trnh h thng SFC32 t thi gian tr.
*

Khi OB35 (Cycle interrupt)

Chng trnh trong khi OB35 s c thc hin cch u nhau mt


khong thi gai c nh . Mc nh khong thi gian ny s l 100ms, song ta c
th thay i n thng qua bng tham s ca Module CPU nh phn mm Step7.
*

Khi OB40 (Hardware interrupt)

Chng trnh trong khi OB40 s c thc hin khi xut hin mt tn
hiu bo ngt t ngoi vi a vo Module CPU thng qua cc cng vo /ra s
Onboard c bit, thng qua cc Module SM,CP,FM.
*

Khi OB80 (Cycle time Fault)

Chng trnh trong khi OB80 s c thc hin khi thi gian vng qut
(Scan time) vt qu khong thi gian cc i quy nh hoc khi c mt tn
hiu ngt gi mt khi OB no m khi OB ny cha kt thc ln gi
trc. Mc nh, Scan time cc i l 150 ms, nhng c th thay i n thng
bng tham s ca Module CPU nh phn mm Step7.
*

Khi OB81 (Power supply Fault)

Module CPU s gi chng trnh trong khi OB 81 khi pht hin thy li
v ngun nui.
*

Khi OB82 (Diagnostic Interupt)

Chng trnh trong khi OB82 s c gi khi CPU pht hin c s c t


cc module vo ra m rng. Cc Module m rng ny phi l cc Module c
kh nng t kim tra mnh (Diagnostic Cabilities).
*

Khi OB85 (Not Load Fault)

CPU s gi khi ny khi pht hin chng trnh ng dng c s dng ch


ngt nhng chng trnh x l tn hiu ngt li khng c trong khi OB
tng ng.
188

Khi OB87 (Communication Fault)

Khi Ob 87 s c gi khi CPU pht hin thy li trong truyn thng v


d nh khng c tn hiu tr li t i tc.
*

Khi OB 100 (Start up information)

Khi OB 100 s c thc hin 1 ln khi CPU chuyn trng thi t


STOP sang RUN.
*

Khi OB101 ( Call Start up information)

Ch c vi S7-400) Khi OB 101 s c thc hin 1 ln khi cng tc


ngun ca CPU chuyn trng thi RUN t sang STOP.
*

Khi OB 121(Synchronous error)

Khi OB121 s c thc hin khi CPU pht hin thy li Logic trong
chng trnh nh sai kiu d liu hoc li truy nhp khi DB , FC, FB khng
c trong b nh ca CPU.
*

Khi OB122 (Synchronous error)

Khi OB121 s c thc hin khi CPU pht hin thy li truy nhp
Module trong chng trnh, v d chng trnh c lnh truy nhp Module vo ra
m rng nhng li khng tm thy Module ny.
IV.

Trao i d liu gia CPU v cc module m rng

Trong trm PLC lun c s trao i d liu gia CPU vi cc module m


rng thng qua bus ni b. Ngay ti u vng qut, cc d liu ti cng vo ca
cc module s (DI) c CPU chuyn ti b m vo s (process image
input table I). Cui mi vng qut ni dung ca b m ra s (process image
output table Q) li c CPU chuyn ti cng ra ca cc module ra s (DO).
Vic thay i ni dung hai b m ny c thc hin bi chng trnh ng
dng (user progam). iu ny cho thy nu trong chng trnh ng dng c
nhiu lnh c gi tr cng vo s th d gi tr logic thc c ca cng vo ny
c th b thay i trong qu trnh thc hin vng qut, chng trnh s vn
lun c c cng mt gi tr t I v gi tr chnh l gi tr ca cng vo c
ti thi im u vng qut. Cng nh vy nu chng trnh ng dng nhiu ln
thay i gi tr cho mt cng ra s th do n ch thay i ni dung bit nh tng
ng trong Q nn ch c gi tr ln thay i cui cng mi thc s c a ti
cng ra vt l ca module DO.
Khc hn vi vic c/ ghi cng s, vic truy nhp cng vo/ ra tng t
li c CPU thc hin trc tip vi module m rng (AI/AO). Nh vy mi
lnh c gi tr t a ch thuc vng PI (Peripheral input) s thu c mt gi
tr ng bng gi tr thc c cng ti thi im thc hin lnh. Tng t khi
thc hin gi mt gi tr (S nguyn 16 bits) ti a ch ca vng PQ (
peripheral Output). Gi tr s gi ngay ti cng ra ca tng t ca moule
S d c s khc nhau nh vy l do c th v s t chc b nh v
phn chia a ch ca S7-300. Ch c cc Module vo ra s mi c b m cn
cc Module vo ra tng t th khng, chng ch c cung cp a ch truy
nhp (a ch PI v PQ). Tuy nhin min a ch PI v PQ li c cung cp
nhiu hn l s cc cng vo ra tng t c th c ca mt trm. Chng hn,
189

thc cht cc cng vo ra tng t c th c t a ch PIB 256 n a ch PIB


267 nhng min a ch ca PI v PQ li l t )-65535. iu ny to ra kh nng
kt ni cc cng vo ra s vi nhng a ch di ra trong PI/PQ gip cho
chng trnh ng dng c th truy nhp trc tip cc module DI/DO m rng
c c gi tr tc thi ti cng m khng cn thng qua b m I,Q.
V d ta c th thay lnh c ng thi 8 cng vo s thng qua b m I
L
IB0
Bng lnh c trc tip t Module DI:
L
PIB0
Hoc c th ghi ra 16 cng s thng qua b m Q:
T
QW4
C th thay bng lnh ghi trc tip ti module DO:
T
PQW4

190

BI 2: K THUT LP TRNH PLC S7 - 300


I. Cu trc chng trnh PLC S7 - 300
Phn b nh ca CPU dnh cho chng trnh ng dng c tn gi l logic
block. Nh vy logic block l tn chung gi tt c cc khi chng trnh bao
gm nhng khi chng trnh t chc OB (Organization Blocks), khi chng
trnh FC (Functions), khi hm FB (Function Blocks). Trong cc loi khi
chng trnh th ch c duy nht khi OB1 c thc hin trc tip theo vng
qut. N c h iu hnh gi theo chu k lp vi khong thi gian khng cch
u nhau m ph thuc vo di ca chng trnh. Cc loi khi chng trnh
khc khng tham gia trc tip vo vng qut. Chng trnh cho S7- 300 c
lu trong b nh ca PLC vng dnh ring cho chng trnh v c th c
lp vi hai dng cu trc khc nhau:
1.

Lp trnh tuyn tnh:


Peripheral input
PI (64K)
0

Module
DI

Peripheral out put


PQ (64K)
Process
Image input
table (I)

127

Process
Image
output
table (O)

0
:

Module
DO

127

c/ghi
gin tip
256
Module
AI

:
767

c trc
trip

Chng
trnh ng
dng (use
program)

256
:
Ghi trc
trip

Module
AO

767

K thut lp trnh tuyn tnh l phng php lp trnh m ton b chng


trnh iu khin nm trong mt khi b nh. Loi hnh cu trc tuyn tnh ny
ph hp vi nhng bi ton t ng nh, khng phc tp. Khi c chn phi
l khi OBI, l khi m PLC lun qut v thc hin cc lnh trong n thng
xuyn, t lnh u tin n lnh cui cng v quay li lnh u tin . Do ton b
chng trnh ch nm trong khi OB1 nn khi OB1 s gn nh l thng trc
trong vng nh Work memory, tr trng hp khi h thng phi x l cc tn
hiu bo ngt. Ngoi khi OB1 trong min Work memory cn min nh a
phng ( Local block) cp pht cho OB1 v nhng khi DB c OB1 s dng
( Hnh 3 8) m t quy trnh thc hin chng trnh iu khin tuyn tnh. Khi
thc hin khi OB1, h iu hnh cp mt local block c kch thc mc nh l
191

20 bytes trong work memory OB1 c th ly c cc d liu t h iu


hnh.

H iu
hnh

Chuyn OB1 t load


memory vo work
memory v cp pht
local block cho n

Thc hin
OB1
trong
Work
memory

Xa OB1 v gii
phng local block
trong Work memory

System
memory

Share
DB

Instance
DB

Hnh 3 - 8: Thc hin mt chng trnh tuyn tnh


2.

Lp trnh cu trc:

Chng trnh c chia thnh nhng phn nh vi tng nhim v ring


v cc phn ny nm trong nhng khi chng trnh khc nhau (Hnh 3 - 9).
Loi hnh cu trc ny ph hp vi nhng bi ton iu khin nhiu nhim v
v phc tp. PLC S7 300 c bn loi khi c bn:
* Loi khi OB (Organization block)
Khi t chc v qun l chng trnh iu khin. C nhiu loi khi OB
vi nhng chc nng khc nhau, chng c phn bit vi nhau bng mt s
nguyn i sau nhm k t OB, v d nh OB1, OB35, OB40, OB80,
* Loi khi FC (Program block)
Khi chng trnh vi nhng chc nng ring ging nh mt chng
trnh con hoc mt hm (chng trnh con c bin hnh thc). Mt chng trnh
ng dng c th c nhiu khi FC v cc khi FC ny c phn bit vi nhau
bng mt s nguyn sau nhm k t FC. Chng hn nh loi FC1, FC2
* Loi khi FB (Function block)
L loi khi FC c bit c kh nng trao i mt lng d liu ln vi
cc khi chng trnh khc. Cc d liu ny phi c t chc thnh mt khi
d liu ring c tn gi l Data block. Mt chng trnh ng dng c th c
nhiu khi FB v cc khi FB ny c phn bit vi nhau bng mt s nguyn
sau nhm k t FB. Chng hn nh loi FB1, FB2
* Loi khi DB (Data block)
L loi khi cha d liu cn thit thc hin chng trnh. Cc tham s
ca khi do ngi dng t t. Mt chng trnh ng dng c th c nhiu khi
DB v cc khi DB ny c phn bit vi nhau bng mt s nguyn sau nhm
192

k t DB. Chng hn nh loi DB1, DB2 Chng trnh trong cc khi c


lin kt bng lnh gi khi, chuyn khi. Xem cc phn chng trnh trong cc
khi nh l cc chng trnh con th S7-300 cho php gi chng trnh con lng
nhau, tc l t chng trnh con ny gi mt chng trnh con khc v t
chng trnh con c gi li gi ti chng trnh con th 3 tip S cc lnh
gi lng nhau ph thuc vo tng chng loi ca CPU m ta s dng. V d nh
i CPU 314 th s lnh gi lng nhau nhiu nht c th cho php l 8. Nu s
ln gi khi lng nhau m vt qu con s gii hn cho php th PLC s t ng
chuyn sang ch STOP v t c bo li.
Trong lp trnh c cu trc khi OB1 lun lun c qut v thc hin
cc lnh t lnh u tin ti lnh cui cng nh hnh by Hnh 3 - 9.

H iu
hnh

FC1

FB2

OB1

FB5

FC3

FC7
:

FB9

S cc lnh gi lng nhau nhiu nht cho php ph


thuc vo tng loi CPU
Hnh 3 - 9: Lp trnh cu trc
II.

Ngn ng lp trnh PLC


i vi PLC S7-300 c th s dng 6 ngn ng lp trnh.

1.

Ngn ng lp trnh LAD: ( Ladder)

L ngn ng hnh thang, k hiu LAD (Ladder logic). Vi loi ngn


ng ny rt thch hp vi ngi quen thit k mch iu khin logic. Chng
trnh c vit di dng lin kt gia cc cng tc:
V d:

Hnh 3 - 10: Lp trnh kiu ngn ng LAD


193

2.

Ngn ng lp trnh FBD : (Function block Diagram)

L ngn ng hnh khi , k hiu FBD. Loi ngn ng ny thch hp cho


nhng ngi quen s dng v thit k mch iu khin s.
Chng trnh c vit di dng lin kt ca cc hm logic k thut s:
V d:

Hnh 3 - 11: Lp trnh kiu ngn ng FBD.


3.

Ngn ng lp trnh STL (Statement List)

L ngn ng Lit k lnh, k hiu STL. y l ngn ng lp trnh thng


thng ca my tnh. Mt chng trnh c ghp bi nhiu lnh theo mt thut
ton nht nh, mi lnh chim mt hng v u c cu trc chung l: "tn lnh"
+ "ton hng".
V d:

Hnh 3 - 12: Lp trnh kiu ngn ng STL.


4.

Ngn ng lp trnh SCL (Structured Control Language):

Kiu vit chng trnh ny s dng ngn ng PASCAL. Rt ph hp cho


nhng ngi vit cc chng trnh bng ngn ng my tnh.

194

5.

Ngn ng lp trnh : S7-Graph.

y l ngn ng lp trnh cp cao dng ha. Cu trc chng trnh r


rng, chng trnh ngn gn. Thch hp cho ngi s dng trong ngnh c kh
vn quen vi gin Grafcet
V d:

Hnh 3 - 13: S khi lp trnh kiu S7-Graph.


6.

Ngn ng lp trnh : S7-High Graph.

L ngn ng pht trin t ngn ng lp trnh GRAPH. y l mt loi


ngn ng vit chng trnh rt ph hp cho cc bi ton lm vic c tnh tun
t. Ti mi thi im ch c mt bc c thc hin. Vi kiu lp trnh ny
ngi lp trnh phi s dng phng php lp trnh c cu trc.
195

Hnh 3 - 14: S khi lp trnh kiu S7-High Graph.


III.

Cu trc lnh v trng thi kt qu


Nh ni cu trc ca mt lnh STL c dng tn lnh + ton hng
Trong ton hng c th l d liu hay mt a ch
L

PIW 256

Tn lnh
1.

// c ni dung cng vo tng t c a ch PIW256

Ton hng

Ton hng l d liu


* D liu logic d liu c 2 trng thi TRUE (1) v FALSE (0) c di 1
bt.
V d:
CALL FC1
In_Bit_1: = TRUE // Gi tr logic 1 gn cho bin hnh thc In_Bit_1
In_Bit_2: = FALSE // Gi tr logic 0 gn cho bin hnh thc In_Bit_2
Ret_val: = MWO // Gi tr tr v
* D liu s nh phn.
V d:
L

2#110011 // Np s nh phn 110011 vo thanh ghi ACCU1.

196

* D liu s hecxadecimal:
S hecxadecimal x c di 1 byte (B#16#x),1 t (W#16#x) hoc1t kp
(DW#16#x).
V d.
L B#16#1E
// np s 1E vo byte thp ca thanh ghi ACCU1
L W#16#3A2 // np s 3A2 vo byte thp ca thanh ghi ACCU1
L DW#16#D3A2E // np s D3A2E vo thanh ghi ACCU1
* D liu s nguyn
- S nguyn x vi di 2 bytes cho bin kiu ITN.
V d
L 930
L - 1025
- S nguyn x vi di 4 bytes dng L#x cho bin kiu DINT.
V d
L L#930
L L#-2047
* D liu s thc: S thc x cho bin kiu REAL.
V d
L
L

1.234567e+13
930.0

* D liu thi gian cho bin kiu S5T dng gi-pht_giy_miligiy.


V d
L

S5T#2h_1m_0s_5ms

* D liu thi gian cho bin TOD dng gi: pht: giy.
V d
L

TOD#5:45:00

* D liu DATE: Biu din gi tr thi gian tnh theo nm/thng/ngy.


V d
L

DATE#1999-12-8.

* D liu m C: biu din gi tr s m t trc cho b m.


V d:
L

C#20.

* D liu P: D liu biu din a ch ca mt bt nh.


V d
L

P#Q0.0

* D liu k t .
V d
L
L

` ABCD
` E
197

2.

Ton hng l a ch
a ch nh trong S7- 300 gm hai phn: phn ch v phn s. V d
PIW304

hoc (PS)
M300.Ngun 4

Ra s
(DO)

phn s

CPU
S7-300
phn ch

phn s

* Phn ch: ch v tr v kch thc ca nh. Chng c th l:


- M: Ch nh trong min cc bin c c kch thc l 1 bit.
- MB: Ch nh trong min cc bin c c kch thc l 1 byte (8bit).
- MW: Ch nh trong min cc bin c c kch thc l 2 bytes (16bit).
- MD: Ch nh trong min cc bin c c kch thc l 4 bytes (32bit).
- I: Ch nh c kch thc l 1 bit trong min b m cng vo s.
- IB: Ch nh c kch thc l 1 byte trong min b m cng vo s.
- IW: Ch nh c kch thc l 1 t trong min b m cng vo s.
- ID: Ch nh c kch thc l 2 t trong min b m cng vo s.
- Q: Ch nh c kch thc l 1 bit trong min b m cng ra s.
- QB: Ch nh c kch thc l 1 byte trong min b m cng ra s.
- QW: Ch nh c kch thc l 1 t trong min b m cng ra s.
- QD: Ch nh c kch thc l 2 t trong min b m cng ra s.
- T: Ch nh trong min nh ca b thi gian (Timer). Mc d cng
tn nhng n c th l a ch ca bit u ra b timer hay a ch ca thanh ghi
m tc
thanh ghi CV.
V d
A
T1 // T1 l a ch u ra (bit) ca b m.
L
T1 // T1 l a ch ca thanh ghi 16 bits CV.
- C: Ch nh trong min nh ca b m (Counter). Mc d cng tn
song n c th l a ch ca bit u ra b m hay a ch ca thanh ghi m
tc thi CV. Tu vo lnh m a ch ny c hiu l a ch ca bit u ra hay
ca thanh ghi CV. V d
A
C1 // C1 l a ch u ra (bit) ca b m.
L
C1 // C1 l a ch ca thanh ghi 16 bits CV.
- PIB: Ch nh c kch thc 1 byte thuc vng peripheral input.
Thng l a ch cng vo ca cc module tng t (I/O external input).
- PIW: Ch nh c kch thc 1t (2 bytes) thuc vng peripheral input.
Thng l a ch cng vo ca cc module tng t (I/O external input).
- PID: Ch nh c kch thc 2 t (4 bytes) thuc vng peripheral
input. Thng l a ch cng vo ca cc module tng t (I/O external input).
- PQB: Ch nh c kch thc 1 byte thuc vng peripheral output.
Thng l a ch cng ra ca cc module tng t (I/O external output).
- PQW: Ch nh c kch thc 1 t (2 bytes) thuc vng peripheral
output. Thng l a ch cng ra ca cc module tng t (I/O external output).
198

- PQD: Ch nh c kch thc 2 t (4 bytes) thuc vng peripheral


output. Thng l a ch cng ra ca cc module tng t (I/O external output).
- DBX: Ch nh c kch thc 1 bit trong khi d liu DB c m
bng lnh OPN DB (Open data block).
- DBB: Ch nh c kch thc 1 byte trong khi d liu DB c m
bng lnh OPN DB (Open data block).
- DBW: Ch nh c kch thc 1 t trong khi d liu DB c m
bng lnh OPN DB (Open data block)
- DBD: Ch nh c kch thc 2 t trong khi d liu DB c m
bng lnh OPN DB (Open data block).
- DBx.DBX: Ch trc tip nh c kch thc 1 bit trong khi d liu
DBx, vi x l ch s ca khi DB. V d DB5. DBX1. 6.
- DBx.DBB: Ch trc tip nh c kch thc 1 byte trong khi d liu
DBx, trong x l ch s ca khi DB. V d DB5. DBB 1.
- DBx.DBW : Ch trc tip nh c kch thc 1 t trong khi d liu
DBx, vi x l ch s ca khi DB. V d DB5. DBW 1.
- DBx.DBD : Ch trc tip nh c kch thc 2 t trong khi d liu
DBx, trong x l ch s ca khi DB. V d DB5. DBD 1.
- DIX: Ch nh c kch thc 1 bit trong khi d liu DB c m
bng lnh OPN DI (Open instance data block).
- DIB: Ch nh c kch thc 1 byte trong khi d liu DB c m
bng lnh OPN DI (Open instance data block).
- DIW : Ch nh c kch thc 1 t trong khi d liu DB c m
bng lnh OPN DI (Open instance data block).
- DID: Ch nh c kch thc 2 t trong khi d liu DB c m
bng lnh OPN DI (Open instance data block).
- L: Ch nh c kch thc 1 bit trong min d liu a phng (local
block) ca cc khi chng trnh OB, FC, FB.
- LB: Ch nh c kch thc 1 byte trong min d liu a phng
(local
block) ca cc khi chng trnh OB, FC, FB.
- LW: Ch nh c kch thc 1 t trong min d liu a phng (local
block) ca cc khi chng trnh OB, FC, FB.
- LD: Ch nh c kch thc 2 t trong min d liu a phng (local
block) ca cc khi chng trnh OB, FC, FB.
* Phn s
Ch a ch ca byte hoc bit trong min nh xc nh. Nu nh
c xc nh thng qua phn ch l c kch thc 1bit th phn s s gm a
ch ca byte v s th t ca bit trong byte c tch vi nhau bng du
chm.
V d:
I
1.3 // ch bit th 3 trong byte ca min nh b m cng vo s
PII.
M
1.5 // ch bit th 5 trong byte 1 ca min cc bin c M.
Q 4. 5 // ch bit th 5 trong byte th 4 ca min b m cng ra
s PIQ.
199

Trong trng hp nh c xc nh l byte, t hoc t kp th phn


s s l a ch byte u tin trong mng byte ca nh . V d:
DIB 15//ch nh c kch thc 1 byte (byte 15) trong khi DB c
m bng lnh OPN DI.
DBW 18
// ch nh c kch thc 1 t gm 2 bytes 18 v 19 trong
khi DB c m bng lnh OPN DB.
DB2.DBW 15 // ch nh c kch thc 2 bytes 15 v 16 trong khi d
liu DB2.
MD 105 // ch nh c kch thc 2 t gm 4 bytes 105, 106, 107 v
108 trong min nh cc bin c M.
IV.

Thanh ghi trng thi

Khi thc hin lnh, CPU s ghi nhn li trng thi ca php tnh trung
gian cng nh ca kt qu vo mt thanh ghi c bit 16 bits, c gi l thanh
ghi trng thi (status word). mc d thanh ghi trng thi ny c di 16 bits
nhng ch s dng 9 bits vi cu trc nh sau:
BR CC1 CC0 OV OS OR STA RLO FC
1.

FC ( first check):

Khi phi thc hin mt dy cc lnh lin tip nhau gm cc php tnh L,
v nghch o, bit FC c gi tr bng 1, ni cch khc, FC=0 khi dy lnh logic
tip im va c kt thc.
V d:
A
I0.3
// FC = 1
AN
I0.3
// FC = 1
=
Q4.0
// FC = 0
2.

RLO (result of logic operation)

Kt qu tc thi ca php ton logic va c thc hin


V d lnh:
A
I0.3
- Nu trc khi thc hin bit FC = 0 th c tc dng chuyn ni dung ca
cng vo s I0. 3 vo bit trng thi RLO.
- Nu trc khi thc hin bit FC = 1 th c tc dng thc hin php tnh
And gia RLO v gi tr logic cng vo I0. 3. kt qu ca php tnh c ghi li
vo bit trng thi RLO.
3.

STA (status bit)


Bit trng thi ny lun c gi tr logic ca tip im c ch nh trong

lnh.
A
I0. 3
AN
I0. 3
V d c hai lnh u gn cho bit STA cng mt gi tr l ni dung ca
cng vo s I0. 3.

200

4.

OR

Ghi li gi tr ca php tnh logic AND cui cng c thc hin ph


gip cho vic thc hin php ton OR sau . iu ny l cn thit v trong mt
biu thc hm hai tr, php tnh AND bao gi cng phi c thc hin trc
cc php tnh OR.
5.

OS ( stored overflow bit)


Ghi li gi tr bit b trn ra ngoi mng nh.

6.

OV ( overflow bit)
Bit bo kt qu php tnh b trn ra ngoi mng nh.

7.

CC0 v CC1 ( condiction code)

Hai bit bo trng thi ca kt qu php tnh vi s nguyn, s thc, php


dch chuyn hoc php tnh logic trong ACCU..
Ch : Mt chng trnh vit c th gm nhiu network. Mi network
cha mt on chng trnh phc v mt cng on c th, u mi network,
thanh ghi trng thi nhn gi tr 0. Ch sau lnh u tin ca network, cc bit
trng thi mi thay i theo kt qu ca php tnh.
V.

Th tc cn bn vit mt chng trnh iu khin

Thng khi vit mt chng trnh iu khin theo mt yu cu cng ngh


no ta phi thc hin theo nhng yu cu sau:
- Xc nh thit b lp trnh, cc a ch vo ra.
- Xc nh r yu cu cng ngh v tm ra lut tc ng mch t kt
hp vi PLC gn cho chng trnh cc a ch c th trn thit b lp trnh
.
- Vit chng trnh iu khin.
- Dowload v th nghim chng trnh vit c.
1.

Xc nh thit b lp trnh
* Dng loi thit b lp trnh g?

Mc ny ta quan tm n thit b lp trnh l loi g c ph hp v kinh t


v kh nng khai thc s dng hay khng? Thng thng thit b c mua v
theo yu cu cng ngh. Da trn yu cu cng ngh mi xc nh c s
lng cc u vo ra cn phi dng ti trn thit b lp trnh. Nu nh chng
trnh iu khin nh di 4 u ra ta c th dng logo ca siemens hay zen ca
omron..hoc nh chng trnh iu khin khc di yu cu khi lng u vo
ra ln hn c th dng ti cc loi nh CPM1A hay CQM1A ca omron chng
trnh iu khin phc tp c th chn n S5, S7-200, S7-300 ca siemens.
Ni chung cc b iu khin kh trnh vi cc c im ni tri ring bit
u cho php ta vit c mt chng trnh iu khin nh mun song phi
la chn chng loi cho ph hp v mt cng ngh kinh t v vn u t v ph
hp ngay c v trnh chuyn mn ca i ng k thut cng ty.
* Xc nh cc a ch vo ra
Mi mt thit b lp trnh khc nhau c cc a ch vo ra khc nhau, ta
phi xc nh chnh xc. Nu a ch sai i th chng trnh iu khin khng tc
dng v coi nh n b sai.
201

V d nh logo c a ch u vo l I1 I6 dng logic v u ra l Q1


Q4 dng tip im, CPM1A a ch u vo li l x, ra l y.
S7 300 c a ch vo l I v ra l Q.
a ch vo ra cho S7-300 c xc nh bng cc v tr cm ca cc
module c trnh by trang 55. Do S7-300 c s lng u vo ra tng i
ln ph thuc vo s dng nhiu hay t v vi c im v tr cm cc module
do ngi s dng thc hin nn phi ch ti a ch .
V d slot th 4 a ch u vo nhn l I 0.0 I3.7 song nu chuyn
sang cm slot th 5 th a ch nhn l I4.0-I7.7.
2.

Xc nh yu cu cng ngh , kt hp vi PLC chn la a ch

Ra s
(DO)

Vo
(DI)

CPU
S7-300

NGUN
(PS)

Yu cu cng ngh lun cho trc ngi lp trnh phi phn tch yu cu
cng ngh tm ra th t tc ng mch ci g tc ng ri mi n ci khc
tip theo tc ng.
T ta gn cho mi i tng u vo hay u ra mang a ch ca PLC
ta s dng.
V d:
S dng PLC S7-300 vit chng trnh iu khin sao cho khi nt M
c n th ng c 1 chy, 5 giy sau ng c 2 chy v 5 giy tip theo
ng c 3 chy, khi n nt D th dng c 3 ng c.
(k tip sau CPU ca s7-300 l module vo s v slot k tip l module ra
s)

Phn tch:
Yu cu cng ngh bi ra khng c g l phc tp c v vic ca ta ch cn
gn a ch sau i vit chng trnh l xong. Ci ta cn quan tm y l xc
nh cc a ch u vo, ra l nh th no? Gii php nh sau:
+ Cn c vo cc v tr ca cc module vo ra ( slot no) ta xc nh
c cc a ch theo hng dn xc nh a ch trnh by phn trc:
Module DI (vo s) cm slot th 4 nn a ch vo l t I0.0 - I3.7
module DO (ra s) cm slot th 5 nn a ch ra l Q4.0 - Q7.7.
+ Gn a ch:
- a ch u vo: Ta gn cho cc nt n cc a ch:
nt M l
I0.0
nt D l
I0.1
Thc hin kt ni: Ti cc u vo s 0 v 1 trn module DI ta phi ni
ti nt n, u kia ca nt n a v ngun ( 24VDC)
- a ch u ra: Ta gn cho cc u ra cc a ch:
Q4.0 cho ng c 1 (s 0)
Q4.1 cho ng c 2 (s 1)
Q4.2 cho ng c 3 (s 2)
Thc hin kt ni: Ti cc u vo s 0, 1 v 2 trn module ra s DO ta
phi ni ra thit b u ra v thc hin giao tip ra ng c 3 pha, nh rng cc
202

u ra ny vi mi PLC khc nhau c in p khc nhau ta cn c vo in p


ny la chn phng php kt ni cho ph hp. Vi S7-300 u ra c in p
l 24v dc, giao tip ra ng c 3 pha c th dng rle 24v sau ly tip im
rle ng cho contactor v contactor ni ra ng c 3 pha nh ta hc phn
mch my.
Ch :
Vi PLC S7-300 cc a ch vo ra c th c xc nh khc nhau do
ngi thit lp phn cng xc lp. Ngay khi khai bo phn cng vit mt
trnh iu khin ng dng bt k no ta cng c th thay i a ch bng cch:
T File New t tn chn Insert Station S7-300 v tip tc
t y khai bo cho cc thit b hin c ca PLC gm: Rack khi ngun
power supply ( PS) n khi CPU cc module vo ra. Khi khai bo cc
module vo ra ny s tm ngay c a ch ngm nh cho cc module vo ra.
Tuy nhin vi cc PLC S7-300 thng a ch vn xc nh nh trng thi lut
cm module. Hn hu PLC S7-300 CPU 312C, 314C a ch s vo ra onboard
xc nh ban u l 124.

3.

Vit chng trnh v chy th

Mt s v d ng dng
S dng phn mm PLC SIMATIC S7-300 , Vit chng trnh iu khin
theo gin thi gian cho nh cc hnh v di:
Bi tp 1:

I4.1
I4.0
Q8.0

a) Gin thi gian


b) Chng trnh iu khin

203

Bi tp 2:

I4.0
Q8.0
I4.1
I4.2
Q8.1
I4.3

Hnh 5

a) Gin thi gian

b) Chng trnh iu khin

Bi tp 3 :

I4.0
Q8.0
Q8.1
I4.1
Q8.2
I4.2

a) Gin thi gian

b) Chng trnh iu khin


204

Bi tp 4:

I4.0
Q8.0
Q8.1
I4.1
Q8.2
I4.2
I4.3
Q8.3

a) Gin thi gian

b) Chng trnh iu khin

205

Bi tp 5 :

I4.0
Q8.0
Q8.1
I4.1
Q8.2
I4.2
Q8.3
I4.3

a) Gin thi gian

b) Chng trnh iu khin

206

Bi tp 6 :
I4.0
Q8.0
I4.1
Q8.1
I4.2
Q8.2
I4.3

a) Gin thi gian

b) Chng trnh iu khin

207

BI 3: HNG DN CI T V S DNG PLC S7 300


I. Nhng yu cu i vi my tnh PC.
Phn mm SIMATIC STEP7- 300 c th khi to trn my tnh c nhn
IBM- PC, nhng my ny phi c ti thiu mt CPU h Intel 80286 tr ln.
My vi tnh PC, mun ci t c phn mm SIMATIC STEP7- 300,
phi tho mn nhng yu cu sau:
640 Kbyte RAM (t nht phi c 500 Kbyte b nh cn trng)
Mn hnh 24 dng, 80 ct ch vn bn.
Cn khong 2 Mbyte trng trong a cng.
C h iu hnh MS- DOS Ver.5.0 hoc cao hn.
B chuyn i RS232-RS485 phc v ghp ni truyn thng trc tip
gia my tnh vi PLC (truyn thng online).
Truyn thng gia phn mm SIMATIC STEP7- 300 vi CPU S7-300
qua cng truyn thng ca PLC. S dng cp c b chuyn i RS232RS485(USB-RS485), c gi l cp PC/PPI ni my tnh vi PLC to thnh
mch truyn thng trc tip. Cm mt u ca cp PC/PPI vi cng truyn
thng RS485 c 9 chn ca PLC, cn u kia vi cng truyn thng ni tip RS232 vi 25 chn (USB) ca my tnh th phi ghp ni qua b chuyn i 25
chn/9 chn c th ni vi cp truyn thng PC/PPI.
Trc khi khi to phm mm SIMATIC STEP7- 300 vo my, kim tra
b nh ca PC. C th s dng lnh CHKDSH ca h iu hnh DOS kim
tra chc chn l trong b nh vn cn 500 Kbyte sn sng trong RAM v 2
Mbyte sn sng trong a cng.
II.

Ci t phn mm SIMATIC S7 -300

C rt nhiu phin bn phn mm SIMATIC S7 300 hin c ti Vit


Nam. Phin bn c s dng rng ri nht l phin bn V4.2, phin bn
V5.0, phin bn V5.1, phin bn 5.2, phin bn 5.4... Trong khi cc phin bn
V4.2, V5.0 ph hp vi PC c cu hnh thp nhng li i hi bn quyn. Cn
phin bn V5.2 i hi PC c cu hnh cao nhng chng ta c th s dng ch
khng ci bn quyn, do chng ta s dng phin bn V5.2
Phn ln cc a ci ca phn mm SIMATIC S7 300 u c kh nng
t ng thc hin chng trnh ci t bng cch gi chng trnh Setup.exe
trn a. N ging nh ci t vi cc phn mm ng dng khc nh Windowns,
Office...

208

Cho a CD vo CD , phn mm t ng chy v ci t. Mn hnh s


xut hin ca s v ta chn ngn ng phn mm l ting anh Setup language :
English nhn tip Next:

Mn hnh s xut hin ca s v ta chn Next:

209

Mn hnh s xut hin ca s ang tm trng thi chng trnh trn a


CD:

Sau khi tm xong mn hnh s xut hin ca s c cc phn cn ci t.


Chn cc phn cn ci t bng cch nh du vo cc vung bn cnh, sau
chn Next:( Ci t ht khong 40 pht)

210

Mn hnh s xut hin ca s v ta chn Next:

Phn mm bt u ci t phn th nht. Mn hnh s xut hin ca s v


ta chn Next:

211

Mn hnh s xut hin ca s, chn I accept the term in the license


agreement v ta chn Next:

Mn hnh s xut hin ca s, in m s trn a vo Identification


Number v ta chn Next:

212

Mn hnh s xut hin ca s, chn Typical v ta chn Next:

Mn hnh s xut hin ca s, chn Englishv ta chn Next:

213

Mn hnh s xut hin ca s, chn No, install authorization later v ta


chn Next:

Mn hnh s xut hin ca s v ta chn Install:

214

Mn hnh s xut hin ca s v ta chn Next v ch cho chng trnh


c ci t xong:

Mn hnh s xut hin ca s v ta chn Finish:

215

Kt thc ci t phn th nht v tip tc chuyn sang ci t phn hai STEP 7


V5.2 SP1

Tip tc ci t phn ny ging vi phn khc. Chn Next cho n khi


mn hnh xut hin ca s yu cu bn quyn th ta chn Skip

Cc phn cn li cng ci t tng t.

216

Sau khi kt thc ci t khi ng li my tnh nhn phn mm.


Khi chng ta m phn mm ra th thy phn mm i bn quyn
Authorization v cha khi phc li bn quyn cho n.
Cho a Crack vo CD v m file Notron Ghost 2002 Personal Edition
V7.

Mn hnh s xut hin ca s v ta chn file Ghostexp

217

Phn mm chy v load cc file Ghost mn hnh s xut hin ca s

Khi phn mm khng load file no file loaded c th chn Open m


cc file trong a Crack.

218

Mn hnh s xut hin ca s v chn file S7 _ WinXP

Mn hnh s xut hin ca s v loaded cc file trong a.

Sau khi loaded xong cc file th chn phi chut vo file AX NF ZZ v


chn Restore

219

Chn Restore to vo C v chn Restore .

III. Hng dn s dng phn mm Simatic S7 - 300


1.

To mi mt Project
- Trn mn hnh Windows vo biu tung Simatic manager
Hoc vo Start SIMATIC Sinatic manager
Mn hnh chng trnh xut hin

Chn File vo New hoc nhp vo biu tng


hp phm Ctrl + N, s xut hin mn hnh
+ Ta t tn cho chng trnh
(Tt nht t tn ging nh tn ca
mch in)

hoc n ng thi t

ng dn chng trnh c lu
cng no.
Mun chn cng khc
chn Browse
+ chn OK

220

Mn hnh mi xut hin ta chn Insert --> Program S7 program

Mn hnh mi xut hin

Tip tc chn S7 program nh hnh di.

Nhy p vo S7Program (1)


sau chn tip Blocks v chn tip
khi OB1
Mn hnh mi xut hin yu cu ta chn ngn ng vit chng trnh.
Tu theo ngn ng vit m chn y ta chn ngn ng Ladder ( LAD) sau
chn OK s c mn hnh son tho vit bng ngn ng hnh thang (LAD)
quen thuc nh gp trong phn mch my cng nghip.

221

Lp trnh bng ngn ng LAD

PLC thng c 3 ngn ng lp trnh chnh. Sinh vin c th ty la


chn ngn ng ngay trong phn khai bo to mi mt ProJect hoc c th la
chn trong View. Trong ti liu ny ti ch cp n ngn ng gin hnh
thang (LAD ) s d ngn ng ny rt gn vi sinh vin trong trng ta:
*) Cn bn lp trnh bng ngn ng hnh thang (LAD)
Sau qu trnh to mi Project phn trn ta nhn c mn hnh lp trnh
nh hnh di.
Lp trnh bng ngn ng LAD (Hnh thang) ta ch cn nhp chn
vo ng mch cn gn thit b (sau khi c chn ng mch c mu khc
i (Xanh .. hay do ci t) nh trn Network 1 v trn.

Cc linh kin trong mch c ly bng cch nhp kp vo cc biu


tng trn mn hnh.
Cc cng c chnh c m t c bn di y:
Normally open contact (F2): Tip im, nt bm thng m (I)
Normally closed contact (F3): Tip im, nt bm thng ng ( I)
Output Coil (F7) cun dy u ra
Q)
Program Elements (cc phn t lp trnh khc nh TIMER,
COUNTER, ..) (CTRL + G)
Khi cn ly cc thit b khc khng c trn mn hnh th ta
nhp vo biu tng ny cc hnh nh tip sau s dn ta chn
c thit b mong mun.
222

New network (Ctrl + R) to mi mt Network.


Nn nh Mt Network thng cho mt u ra Q (khng
nn c qu nhiu u ra chng trnh kh kim sot)
Download: chng trnh vit c t my tnh sang
PLC
(Chy th chng trnh va vit, ..) ta phi nhp vo
biu tng ny hoc chn PLC Download
Monitor Khi chng trnh c truyn xung PLC
mun quan st trng thi ca mch in ta nhp vo biu tng
ny hay chn vo DEBUG MONITOR
Ngoi cc biu tng trn trong SIMATIC S7-300 cng c y cc
cng c thao tc nh bt k phn mm no khc cc lnh sao chp, ct dn,
save, printTrn MENU cng c y cc ch dn gip cho qu trnh son
tho chng trnh ca bn.
Copy chng trnh son tho.
Copy thc hin ging nh mi chng trnh khc, cc bn ch cn lu l
chng trnh ng dng m bn vit c nm v tr no trong my tnh ca
bn.
Cc PROJECT ca S7-300 cha chng trnh SIEMENS t SIEMENS
STEP7 S7PROJ Tn cc PROJECT.
(Khi Project no ang chy th khng th Copy )
2.

M chng trnh (Project) lp.

m chng trnh vit bt buc phi chy chng trnh SIMATIC


manager chn FILE Open tn chng trnh mun m nhy kp vo
S7program(1) Block OB1 khi chng trnh mi c m.
(Ch l cc Project khng t n bung ra c khi bn vo My
Computer hay Explore).
3.

Chy th chng trnh:

Khi chng trnh c m mi c th thc hin chy th. Ta thc hin


nh sau:
Chuyn cng tc MONITOR v v tr Stop
Chn DOWNLOAD nh trnh by phn trn
Chuyn cng tc v v tr RUN khi mun chy th chng trnh.
quan st trng thi lm vic ca chng trnh nhy vo DEBUG
MONITOR v c th vo mc view xem tng qut hn.
Thc hin giao tip ra ngoi vi nu cn thit.

223

BI 4: TP LNH C BN CA PLC S7 - 300


I.

Lnh logic tip im.

1.

Lnh AND
Ton hng l kiu d liu bool hay a ch bit I, Q, M, T, C, D, L...
FBD

LAD

STL

Hnh 3-15: Cch khai bo hm AND


Tn hiu ra Q4.0 s bng 1 khi ng thi tn hiu I0.0=1 v I0.1=1.
D liu vo v ra :
Vo: I0.0, I0.1: Bool
Ra : Q4.0
: Bool
2.

Hm OR
Ton hng l kiu d liu Bool hay a ch bit I, Q, M, T, C, D, L....
FBD

LAD

STL

Hnh 3-16:Khai bo hm OR
Tn hiu ra s bng 1 khi t nht c mt tn hiu vo bng 1.
D liu vo v ra:
Vo : I0.0, I0.1: Bool
Ra : Q4.0:
Bool
3.

Hm NOT
FBD

LAD

STL

Hnh 3-17: Khai bo hm thc hin chc nng ph nh.


Tn hiu ra s l nghch o ca tn hiu vo.
D liu vo v ra:
Vo : I0.0 :
Bool
Ra : Q4.0 :
Bool
224

4.

Hm XOR
Ton hng l kiu d liu bool hay a ch bit I, Q, M, T, C, D, L...
FBD

LAD

STL

Hnh 3-18: Khi thc hin chc nng XOR.


Tn hiu ra Q4.0= 1 khi I0.0 khc I0.2
D liu vo v ra:
Vo:
I0.0, I0.1 :
Bool
Ra :
Q4.0
:
Bool
5.

Lnh xo Reset
Ton hng l a ch bit I, Q, M, T, C, D, L....
FBD

LAD

STL

Hnh 3-19: Khi thc hin chc nng Reset


Tn hiu ra Q4.0 = 0 (Q4.0 s c xo ) khi I0.0 =1 .
D liu vo v ra:
Vo: I0.0 :
Bool
Ra : Q4.0 :
Bool
6.

Lnh set
Ton hng l a ch bit I, Q, M, T, C, D, L....
FBD

LAD

STL

Hnh 3-20: Khi thc hin chc nng SET.

225

Tn hiu ra Q4.0 = 1 (Q4.0 s c thit lp ) khi I0.0 =1.


D liu vo v ra:
Vo I0.0 :
BOOL
Ra Q4.0 :
BOOL
7.

B nh RS
Ton hng l a ch bit I, Q, M, D, L....
FBD

LAD

STL

Hnh 3-21: Khi thc hin chc nng RS.


Khi I0.0 = 1 v I0.1 =0 Merker M0.0 b Reset v u ra Q4.0 l "0". Nu
I0.0 = 0 v I0.1 = 1 th Set cho M0.0 v u ra Q4.0 l "1".
Khi c hai u vo Set va Reset cng ng thi =1 th M0.0 v Q4.0 c
gi tr l "1".
D liu vo v ra:
Vo I0.0, I0.1 : BOOL
Ra Q4.0
: BOOL
8.

B nh SR
Ton hng l a ch bit I, Q, M, D, L......
FBD

LAD

STL

Hnh 3-22: Khi thc hin chc nng SR


Khi I0.0 = 1 v I0.1 =0 th Set cho Merker M0.0 v u ra Q4.0 l
"1". Nu I0.0 = 0 v I0.0 = 1 th M0.0 b Reset v u ra Q4.0 l "0".
Khi c hai u vo Set va Reset cng ng thi =1 th M0.0 v Q4.0 c
gi tr l "0".
D liu vo v ra:
Vo I0.0, I0.1 : BOOL
Ra
Q4.0
: BOOL
Ch : Trong k thut s trng thi ca trig RS s b cm khi R=1 v S=1. Nn
y c hai loi b nh RS v SR l loi Trig u tin R hay u tin S

226

II.

Lnh so snh.

1.

Nhm hm so snh s nguyn 16 bit:


FBD

LAD

STL

Hnh 3-23: Khi thc hin chc nng so snh bng nhau
C cc dng so snh hai s nguyn 16 bits nh sau :
Hm so snh bng nhau gia hai s nguyn 16 bits: ==
Hm so snh khc nhau gia hai s nguyn 16 bits: <>
Hm so snh ln hn gia hai s nguyn 16 bits: >
Hm so snh nh hn gia hai s nguyn 16 bits: <
Hm so snh ln hn hoc bng nhau gia hai s nguyn 16 bits: >=
Hm so snh nh hn hoc bng nhau gia hai s nguyn 16 bits: <=
Trong v d trn u ra Q4.0 s l "1" khi MW0 = MW1.
2.

Nhm hm so snh hai s nguyn 32 bits:


FBD

LAD

STL

Hnh 3-24: Khi thc hin chc nng so snh


Trong v d trn u ra Q4.0 s l "1" khi MD0 = MD4.
Hm so snh bng nhau gia hai s nguyn 32 bits: ==
Hm so snh khc nhau gia hai s nguyn 32 bits: <>
Hm so snh ln hn gia hai s nguyn 32 bits: >
Hm so snh nh hn gia hai s nguyn 32 bits: <
Hm so snh ln hn hoc bng nhau gia hai s nguyn 32 bits: >=
Hm so snh nh hn hoc bng nhau gia hai s nguyn 32 bits: <=

227

3.

Nhm hm so snh cc s thc 32 bits


FBD

LAD

STL

Hnh 3-25: Khi thc hin chc nng so snh hai s thc.
Trong v d trn u ra Q4.0 s l "1" khi MD0 < MD1 .
Cc dng so snh hai s thc 32 bits nh sau :
Hm so snh bng nhau gia hai s thc 32 bits: ==
Hm so snh khc nhau gia hai s thc 32 bits: <>
Hm so snh ln hn gia hai s thc 32 bits: >
Hm so snh nh hn gia hai s thc 32 bits: <
Hm so snh ln hn hoc bng nhau gia hai s thc 32 bits: >=
Hm so snh nh hn hoc bng nhau gia hai s thc 32bits: <=
III.

Lnh ton hc.

1.

Nhm hm lm vic vi s nguyn 16 bits:


* Cng1 hai s nguyn 16 bits
FBD

LAD

STL

Hnh 3-26: Khi thc hin chc nng cng hai s nguyn 16 bits.
D liu vo v ra:
EN: BOOL
IN1: INT
IN2: INT
OUT: INT
ENO: BOOL
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin cng hai s
nguyn 16 bits MW0 vi MW2. Kt qu c ct vo MW10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.

228

* Tr hai s nguyn 16 bits:


FBD

LAD

STL

Hnh 3-27: Khi thc hin chc nng tr hai s nguyn 16 bits
D liu vo v ra:
EN : BOOL
IN1: INT
IN2: INT
OUT: INT
ENO: BOOL
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin tr hai s
nguyn 16 bits MW0 vi MW2. Kt qu c ct vo MW10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
* Nhn hai s nguyn 16 bits:
FBD

LAD

STL

Hnh 3-28: Khi thc hin chc nng nhn hai s 16 bits.
D liu vo v ra:
EN: BOOL
IN1: INT
IN2: INT
OUT: IN
ENO: BOOL
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin nhn hai s
nguyn 16 bits MW0 vi MW2. Kt qu c ct vo MW10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.

229

* Chia hai s nguyn 16 bits:


FBD

LAD

STL

Hnh 3-29: Khi thc hin chc nng chia hai s nguyn 16 bits
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin chia hai s
nguyn 16 bits MW0 vi MW2. Kt qu c ct vo MW10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
2.

Nhm hm lm vic vi s nguyn 32 bits:


* Cng hai s nguyn 32 bits:
D liu vo v ra:
EN: BOOL
IN2: DINT
FBD

IN1: DINT
OUT: DINT
ENO: BOOL
LAD

STL

Hnh 3-30: Khi thc hin chc nng cng hai s nguyn 32 bits
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin cng hai s
nguyn 32 bits MD0 vi MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.

230

* Tr hai s nguyn 32 bits:


Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin tr hai s
nguyn 32 bits MD0 vi MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
FBD
LAD
STL

Hnh 3-31: Khi thc hin chc nng tr hai s nguyn 32 bits
D liu vo v ra:
EN: BOOL
IN1: DINT
IN2: DIN
OUT: DINT
ENO: BOOL
* Nhn hai s nguyn 32 bits:
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin nhn hai s
nguyn 32 bits MD0 vi MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
FBD

LAD

STL

Hnh 3-32: Khi thc hin chc nng nhn hai s nguyn 32 bit
D liu vo v ra:
EN: BOOL
IN1: DINT
IN2: DINT
OUT: DINT
ENO: BOOL
d. Chia hai s nguyn 32 bits :
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin chia hai s
nguyn 32 bits MD0 vi MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
D liu vo v ra:
EN: BOOL
IN1: DINT
IN2: DINT
OUT: DINT
ENO: BOOL
231

FBD

LAD

STL

Hnh 3-33: Khi thc hin chc nng chia hai s nguyn 32 bits
3.

Nhm hm lm vic vi s thc:


* Cng hai s thc:

Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin cng hai s


thc MD0 + MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
FBD
LAD
STL

Hnh 3-34: Khi thc hin chc nng cng hai s thc
D liu vo v ra:
EN: BOOL
IN1: REAL
IN2: REAL
OUT: REAL
ENO: BOOL
* Hm tr hai s thc:
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin tr hai s
thc MD0 - MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
FBD
LAD
STL

Hnh 3-35: Khi thc hin chc nng tr hai s thc.


232

D liu vo v ra:
EN: BOOL
IN2: REAL
ENO: BOOL

IN1: REAL
OUT: REAL

* Nhn hai s thc:


Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin nhn hai s
thc MD0 . MD4. Kt qu c ct vo MD10.
FBD
LAD
STL

Hnh 3-36: Khi thc hin chc nng nhn hai s thc.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
D liu vo v ra:
EN: BOOL
IN1: REAL
IN2: REAL
OUT: REAL
ENO: BOOL
* Chia hai s thc:
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin chia hai s
thc MD0 : MD4. Kt qu c ct vo MD10.
Trong trng hp tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng
thc hin chc nng.
FBD
LAD
STL

Hnh 3-37: Khi thc hin chc nng nhn hai s thc
D liu vo v ra:
EN: BOOL
IN1: REAL
IN2: REAL
OUT: REAL
ENO: BOOL
233

* Hm ly gi tr tuyt i : ABS
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin chc nng
ly ga tr tuyt i ca MD8 ri ct vo MD12
Khi tn hiu vao I0.0 = 0 u ra Q4.0 = 0 v hm s khng thc hin chc
nng.
FBD
LAD
STL

Hnh 3-38: Khi thc hin chc nng ly gi tr thuyt i.


D liu vo v ra:
EN: BOOL
IN: REAL
OUT: REAL
ENO: BOOL
V d:
MD8= -6,234 x 10-3 thi sau khi thc hin chc nng ABS
gi tr MD12 = 6,234 x 10-3.
* Hm SIN, COS, TAN, ASIN, ACOS, ATAN
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm s thc hin chc nng
tnh SIN, COS, TAN, ASIN, ACOS, ATAN ca MD0 ri ct vo MD10.
Khi tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm s khng thc hin chc
nng.
FBD
LAD
STL

Hnh 3-39: Khi thc hin chc nng tnh hm Sin.


D liu vo v ra:
EN: BOOL
IN: REAL
OUT: REAL
ENO: BOOL

234

IV.

Nhm hm i kiu d liu.

Trong ngn ng lp trnh ca PLC S7-300 c mt s kiu d liu khc


nhau nh:
- S nguyn 16 bits (Interger)
- S nguyn 32 bits (DI)
- S nguyn dng BCD.
- S thc REAL
- V mt s dng d liu khc .
Khi lm vic vi nhiu dng d liu khc nhau cho ta vn cn phi
chuyn i chng. V d khi c tn hiu t cng vo tng t ta nhn c s
liu dng nguyn 16 bits mang gi tr tn hiu tng t ch khng phi bn thn
gi tr , bi vy x l tip th cn thit phi chuyn i s nguyn thnh
ng gi tr thc, du phy ng ca tn hiu tng t cng. Ta c mt s hm
chuyn i cc dng d liu nh sau:
1.

Hm chuyn s BCD thnh s s nguyn 16 bits:


FBD

LAD

STL

Hnh 3-40: Chuyn i s BCD sang dng s nguyn 16 bits.


D liu vo v ra:
EN: BOOL
IN: WORD
OUT: INT
ENO: BOOL
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm thc hin chc nng
chuyn s BCD (MW10) sang s nguyn ri ct vo MW12.
Khi tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm khng thc hin chc
nng chuyn i.
2.

Hm chuyn i s nguyn 16 bits sang dng BCD.


FBD

LAD

STL

Hnh 3-41: Chuyn i s nguyn sang s BCD.

235

D liu vo v ra:
EN: BOOL
IN: INT
OUT: BCD
ENO: BOOL
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm thc hin chc nng
chuyn s nguyn 16 bits (MW10) sang s BCD ri ct vo MW12.
Khi tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm khng thc hin chc
nng chuyn i.
3.

Hm chuyn i s nguyn 16 bits sang s nguyn 32 bits:


FBD

LAD

STL

Hnh 3-42: Chuyn i s nguyn 16 bits sang s nguyn 32 bits.


D liu vo v ra:
EN: BOOL
IN: INT
OUT: DINT
ENO: BOOL
Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm thc hin chc nng
chuyn s nguyn 16 bits (MW10) sang s nguyn 32 bits ri ct vo MW12.
Khi tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm khng thc hin chc
nng chuyn i.
4.

Chuyn i s BCD sang s nguyn 32 bits:

Khi tn hiu vo I0.0 = 1 u ra Q4.0 = 1 v hm thc hin chc nng


chuyn s BCD (MW10) sang s nguyn 32 bits ri ct vo MW12.
Khi tn hiu vo I0.0 = 0 u ra Q4.0 = 0 v hm khng thc hin chc
nng chuyn i.
FBD

LAD

STL

Hnh 3-43: Chuyn s BCD sang s nguyn 32 bits

236

-Kiu d liu vo/ra:


EN: BOOL
OUT: DINT
5.

IN: DWORD
ENO: BOOL.

Hm o gi tr cc bits .
* Vi s nguyn c di 16 bits:

- Nguyn l hot ng:


Hm s thc hin chc nng chuyn i gi tr cc bits trong MW8 ri ct
vo MW10: Khi tn hiu I0.0 =1, gi tr Q4.0 = 1.
Khi tn hiu I0.0 = 0, gi tr Q4.0 = 0
FBD

LAD

STL

Hnh 3-44: Hm thc hin chc nng o gi tr cc bits


- Kiu d liu vo/ra:
EN: BOOL
IN: INT
OUT: INT
ENO: BOOL
- V d:
Trc khi thc hin:
MW8 = 01000001 10000001
Sau khi thc hin:
MW10 = 10111110 01111110
* Vi s nguyn c di 32 bits.
FBD

LAD

STL

Hnh 3-45: Hm thc hin chc nng o gi tr cc bits.


- Nguyn l hot ng:
Hm s thc hin chc nng chuyn i gi tr cc bits trong MD8 ri ct
vo MD12: Khi tn hiu I0.0 =1, gi tr Q4.0 = 1 .
Khi tn hiu I0.0 = 0, gi tr Q4.0 = 0
-Kiu d liu vo/ra:
EN: BOOL
IN: DINT
OUT: DINT
ENO: BOOL

237

- V d:
Trc khi thc hin: MD8 = F0FF FFF0
Sau khi thc hin : MD12 = 0F00 000F
6.

Cc hm i du :

Hm s thc hin chc nng i du d liu vo. Cc hm i du nh


i du s thc di 16bits ( I ), 32 bits ( DI ) hay s nguyn ( R ).
FBD
LAD
STL

Hnh 3-46: Hm thc hin chc nng i du cc bits.


Dng d liu vo:
NEG_I

NEG_DI

NEG_R

EN

BOOL

BOOL

BOOL

IN

INT

DI

REAL

OUT

INT

DI

REAL

ENO

BOOL

BOOL

BOOL

V d: Trc khi thc hin MW8 = +10, sau khi thc hin MW10 = -10.
7.

Cc hm thc hin chc nng lm trn (i kiu d liu):

* Hm ROUND:
(chuyn s thc thnh s nguyn gn nht) thc hin lm trn nh sau:
nu phn l < 0,5 th lm trn xung. Nu phn l > 0,5 th lm trn ln.
FBD

LAD

STL

Hnh 3-47: Hm thc hin chc nng lm trn .


V d: 1,2 -> 1 ; 1,6 -> 2.
-1,2 -> -1 ; -1,6 -> -2.
238

* Hm TRUNC:
(ly phn nguyn ct b phn l) thc hin lm trn xung gi tr trn
nh
FBD

LAD

STL

V d: d liu vo t 1,1 n 1,9 -> 1.


* Hm CEIL
Thc hin lm trn ln
FBD

LAD

STL

V d: d liu vo t 1,1 n 1,9 -> 2.


* Hm FLOOR
Thc hin lm trn xung
FBD

LAD

STL

V d: +1,7 -> 1 ; - 1,7 -> -2

239

V.

B thi gian

1.

Nguyn l lm vic chung ca b Timer.

B thi gian Timer l b to thi gian tr ? mong mun gia tn hiu


vo v tn hiu u ra.
S7-300 c 5 loi Timer khc nhau. Tt c 5 loi Timer ny u bt u to
thi gian tr tn hiu k t khi c t bin ln hoc xung tn hiu u vo,
c gi l thi im Timer c kch.
Thi gian tr c khai bo vi Timer bng mt gi tr 16 bits (hnh 3-48)
u(t)
PV
Thi gian tr t

Timer

y(t)
T-bit

CV

Hnh 3-48 S khi Timer


Bao gm 2 thnh phn:
- S7-300 c 4 loi phn gii khc nhau l 10ms, 100ms, 1s,10s.
- Mt s nguyn dng trong khong t 0-999 c gi l ga tr t
trc PV ( Preset value).
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0

Khng s
dng
phn gii
0
0
1
1

0
1
0
1

Gi tr PV di dng BCD
0 PV 999

10ms
100ms
1s
10s
Hnh 3-49 : S 16 bit ca b Timer

Nh vy thi gian tr mong mun c tnh l: t = phn gii x PV.


Ngay ti thi im c kch Timer, gi tr PV c chuyn vo thanh
ghi 16 bt ca Timer T-Word (Gi l thanh ghi CV (current value- gi tr tc
thi). Timer s ghi nh khong thi gian tri k t khi c kch bng cch
gim dn mt cch tng ng ni dung thanh ghi CV. Nu ni dung CV tr v 0
th Timer t c thi gian tr mong mun v trng thi u ra y(t) s c
thay i.
240

S7-300 cho php 256 timer c nh s t T0 T 255. K hiu Tx cng


l a ch hnh thc ca thanh ghi CV (T-word) v ca u ra (T-bit) ca Timer
.
Mt Timer ang trong ch lm vic (sau khi c kch) c th a v
trng thi ch khi ng nh tn hiu Reset. Tn hiu Reset c gi l tn hiu
xo v khi c tn hiu Reset th Timer s khng lm vic v T-word, T-bit v 0.
Khai bo mt timer cn phi c:
+ Khai bo tn timer (T0 T 255 )
+ Khai bo tn hiu cho php (ch ng kch )
+ Khai bo tn hiu u vo: tn hiu khi to Timer.
+ Khai bo thi gian tr: C 2 cch khai bo nh sau:
S5T# 01H30M20S100MS // khi bo thi gian l 1 gi 30 pht 20 giy v
100 mili giy.
Khai bo dng mt s nguyn 16 bt.
W#1616#2127
// thi gian tr l 127 giy (1 giy x 127)
2.

B thi gian SP:

-S khi:
FBD

LAD

STL

Hnh 3-50: B thi gian SP.


- Nguyn l lm vic:
Ti thi im sn ln ca tn hiu vo SET thi gian s c tnh ng
thi gi tr Logic u ra l "1". Khi thi gian t kt thc gi tr u ra cng
tr v 0.

Tn hiu vo S
Tn hiu vo R
Thi gian t
u ra
u ra o
Hnh 3-51: Gin thi gian ca b to tr kiu SP.
241

- Khi c tn hiu RESET (R) thi gian tnh lp tc tr v 0 v tn hiu u


ra cng gi tr l "0".
- Trng hp khng s dng cc tn hiu RESET ( R), BI v BCD ta s
dng khi Timer SI sau:
Tn hiu u vo I0.0 chnh l
tn hiu kch.
S5T#2s l thi gian t 2s
Tn hiu ra ca b thi gian tc
ng ti u ra Q4.0

Hnh 3-52: V d khai bo mt b thi gian SP

*) V d:

Theo s hnh v trn: Nu trng thi tn hiu t ng vo S (I0.0)


chuyn t 0 ln 1 th Timer s khi ng tnh thi gian v n s chy ht thi
gian t l 2 giy ( S5T#2S) khi ng vo I0.0 gi mc logic 1. Nu I0.0
chuyn t 1 v 0 khi timer cha chy ht thi gian t (2S) th Timer s b dng
li ngay. Trong khong thi gian t, nu I0.1 chuyn t 0 v 1 khi timer
ang chy th thi gian b t li. Timer chy th ng ra Q 4.0 l logic 1, n c
gi tr 0 khi ht thi gian hay khi b t li (reset).
242

3.

B thi gian SE.


FBD

LAD

STL

Hnh 3-53: Khi hm thi gian SE

- Nguyn l lm vic:
Ti thi im sn ln ca tn hiu vo SET cui cng b thi gian c
thit lp v thi gian s c tnh ng thi gi tr Logic u ra l "1". Kt
thc thi gian t tn hiu u ra s tr v 0. Khi c tn hiu RESET (R) thi
gian tnh lp tc tr v 0 v tn hiu u ra cng gi tr l "0".

Tn hiu vo S
Tn hiu vo R
Thi gian t
u ra
u ra o

Hnh 3-54: Gin thi gian SE

243

S hnh v trn: Nu trng thi logic tn hiu t ng vo S (I0.0)


chuyn t 0 ln 1 th Timer s khi ng tnh thi gian v n s chy ht thi
gian t l 2 giy ( S5T#2S) ngay c khi ng vo I0.0 chuyn v mc logic 0.
Nu I0.0 chuyn t 1 v 0 khi timer cha chy ht thi gian t (2S) th
Timer s tnh li thi gian t u. Trong khong thi gian t, nu I0.1 chuyn
t 0 v 1 khi timer ang chy th thi gian b t li. Timer chy th ng ra Q
4.0 c mc logic 1, n c gi tr 0 khi ht thi gian hay khi b t li (reset).
4.

B thi gian SD. (S_ODT) (on delay timer)


FBD

LAD

STL

Hnh 3-55: S khi hm SD.


-Nguyn l lm vic:
Ti thi im sn ln ca tn hiu vo SET b thi gian c thit lp
v thi gian s c tnh. Kt thc thi gian t tn hiu u ra s c gi tr l
"1". Khi tn hiu u vo kch S l "0" u ra cng lp tc tr v "0" ngha l tn
hiu u ra s khng c duy tr khi tn hiu kch c gi tr l "0".

Tn hiu vo S
Tn hiu vo R
Thi gian t
u ra
u ra o
Hnh 3 56 : Gin thi gian b Timer SD
Khi c tn hiu RESET (R) thi gian tnh lp tc tr v "0" v tn hiu u
ra cng gi tr l "0".

244

- Trng hp khng s dng cc tn hiu u vo SET(S), RESET ( R),


BI v BCD ta s dng khi Timer SE sau:
Tn hiu u vo I0.0 chnh l tn
hiu kch.
S5T#2s l thi gian t 2s
Tn hiu ra ca b thi gian tc
ng ti u ra Q4.0.

Hnh 3-56: V d s dng khi hm SD.


*V d :

Theo s hnh v trn: Nu trng thi logic tn hiu t ng vo S (I0.0)


chuyn t 0 ln 1 th Timer s khi ng tnh thi gian v n s chy ht thi
gian t l 2 giy ( S5T#2S) (vi iu kin ng vo I0.0 gi mc logic 1) v khi
u ra Q4.0 s ln 1. Nu I0.0 chuyn t 1 v 0 khi timer cha chy ht
thi gian t (2S) th Timer s dng, Q4.0 vn l mc 0 Trong khong thi
gian t, nu I0.1 chuyn t 0 v 1 khi timer ang chy th thi gian b t li
v n li chy nu ng vo S ln mc logic 1.
5.

B thi gian SS:


FBD

LAD

STL

Hnh 3-57: Khai bo b thi gian SS .


245

-Nguyn l lm vic:
Ti thi im sn ln ca tn hiu vo SET b thi gian c thit lp v
thi gian s c tnh. Kt thc thi gian t tn hiu u ra s c gi tr 1 gi
tr ny vn duy tr ngay c khi tn hiu u vo kch S c gi tr l 0. Khi c tn
hiu RESET (R) thi gian tnh lp tc tr v 0 v tn hiu u ra cng gi tr l
"0".

Tn hiu vo S
Tn hiu vo R
Thi gian t
Hnh 3-58 : Gin thi gian hm SS.
u ra
u-Trng
ra o hp khng s dng cc tn hiu u vo SET(S), RESET (R), BI
v BCD ta s dng khi Timer SS sau:
Tn hiu u vo I0.0 chnh l tn
hiu kch.
S5T#2s l thi gian t 2s
Tn hiu ra ca b thi gian tc
ng ti u ra Q4.0

Hnh 3-58: V d s dng khi hm SS

246

Theo s hnh v trn: Nu trng thi logic tn hiu t ng vo S (I0.0)


chuyn t 0 ln 1 th Timer s khi ng tnh thi gian v n s chy ht thi
gian t l 2 giy (ngay c khi ng vo I0.0 chuyn v mc logic 0) v khi
u ra Q4.0 s ln 1. Nu I0.0 chuyn t 0 ln 1 khi timer cha chy ht thi
gian t (2S) th Timer bt u tnh li thi gian. Q4.0 vn l mc 0. Trong
khong thi gian t, nu I0.1 chuyn t 0 ln 1 khi timer ang chy th thi
gian b t li v n li chy nu ng vo S ln mc logic 1.
6.

B thi gian SA: (S_OFFDT)


FBD

LAD

STL

Hnh 3 -59: S khi.


- Nguyn l lm vic:
Ti thi im sn ln ca tn hiu vo SET b thi gian c thit lp.
Tn hiu u ra c gi tr l 1. Nhng thi gian s c tnh thi im sn
xung cui cng ca tn hiu u vo SET(S). Kt thc thi gian t tn hiu u
ra s tr v 0.
Khi c tn hiu RESET (R) thi gian tnh lp tc tr v 0 v tn hiu u
ra cng gi tr l "0".

247

Tn hiu vo S
Tn hiu vo R
Thi gian t
u ra
u ra o
Hnh 3-60: Gin thi gian.
-Trng hp khng s dng cc tn hiu u vo SET(S), RESET ( R), BI
v BCD ta s dng khi Timer SF sau:
Tn hiu I0.0 l tn hiu kch
Thi gian t S5T#2s l 2s
Hm thi gian s tc ng ti u ra Q4.0

Hnh 3-61: S dng hm SF.


VI. B m (COUNTER).
1.

Nguyn l lm vic:

Counter thc hin chc nng m ti cc sn ln ca cc xung u vo.


S7-300 c ti a l 256 b m ph thuc vo tng loi CPU, k hiu bi Cx.
Trong x l s nguyn trong khong t 0 n 255. Trong S7-300 c 3 loi b
m thng s dng nht l: B m tin li (CUD), b m tin (CU) v b
m li (CD).

248

Mt b m tng qut c th c m t nh sau:


CU : BOOL l tn hiu m tin
CD : BOOL l tn hiu m li
S : BOOL l tn hiu t
PV : WORD l gi tr t trc
R : BOOL l tn hiu xo
CV : WORD L gi tr m h m 16
CV_BCD: WORD l gi tr m h m BCD
Q : BOOL L tn hiu ra .
Hnh 3-62: s khi b m Counter
Qu trnh lm vic ca b m c m t nh sau:
S sn xung m c, c ghi vo thanh ghi 2 Byte ca b m, gi
l thanh ghi C-Word. Ni dung ca thanh ghi C-Word c gi l gi tr m
tc thi ca b m v k hiu bng CV v CV_BCD. B m bo trng thi
ca C-Word ra ngoi C-bit qua chn Q ca n. Nu CV <> 0 , C-bit c gi tr
"1". Ngc li khi CV = 0, C- bit nhn gi tr 0. CV lun l gi tr khng m. B
m s khng m li khi CV = 0.
i vi Counter, gi tr t trc PV ch c chuyn vo C-Word ti
thi im xut hin sn ln ca tn hiu t ti chn S.
B m s c xo tc thi bng tn hiu xo R (Reset). Khi b m
c xa c C-Word v C- bit u nhn gi tr 0.
2.

Khai bo s dng:

Vic khai bo s dng mt Counter bao gm cc bc sau:


Khai bo tn hiu Enable nu mun s dng tn hiu ch ng kch m
(S): dng d liu BOOL
Khai bo tn hiu u vo m tin CU: dng d liu BOOL
Khai bo tn hiu u vo m li CD: dng d liu BOOL
Khai bo gi tr t trc PV: dng d liu WORD
Khai bo tn hiu xo: dng d liu BOOL
Khai bo tn hiu ra CV nu mun ly gi tr m tc thi h 16. dng
d liu WORD
Khai bo tn hiu ra CV-BCD nu mun ly gi tr m tc thi h
BCD dng d liu WORD
Khai bo u ra Q nu mun ly tn hiu tc ng ca b m. Dng d
liu BOOL
Trong cn ch cc tn hiu sau bt buc phi khai bo: Tn ca b
m cn s dng, tn hiu kch m CU hoc CD.

249

3.

B m tin li ( ln - xung).
-S khi :
FBD

LAD

STL

Hnh 3 - 63: S khi b m tin li.


-Nguyn l hot ng:
Khi tn hiu I0.2 chuyn t 0 ln 1 b m c t gi tr l 55. Gi
tr u ra Q4.0 =1 .
B m s thc hin m tin ti cc sn ln ca tn hiu ti chn CU
khi tn hiu I0.0 chuyn gi tr t "0" ln "1"
B m s m li ti cc sn ln ca tn hiu ti chn I0.1 khi tn hiu
chuyn t "0" ln "1"
Gi tr ca b m s tr v 0 khi c tn hiu ti sn ln ca chn R (
I0.3)
4.

B m tin (m ln).
FBD

LAD

STL

Hnh 3 - 64 : S khi b m tin


-Nguyn l hot ng:
Khi tn hiu I0.2 chuyn t "0" ln "1" b m c t gi tr l 55. Gi
tr u ra Q4.0 =1 .
B m s thc hin m tin ti cc sn ln ca tn hiu ti chn CU
khi tn hiu I0.0 chuyn gi tr t "0" ln "1"
250

Gi tr ca b m s tr v 0 khi c tn hiu ti sn ln ca chn R


(I0.3)
B m s ch m n gi tr <= 999.
5.

B m li ( m xung.
FBD

LAD

STL

Hnh 3-65: S khi b m li.


- Nguyn l hot ng:
Khi tn hiu I0.2 chuyn t "0" ln "1" b m c t gi tr l 55. Gi
tr u ra Q4.0 =1 .
B m s thc hin m li ti cc sn ln ca tn hiu ti chn CD khi
tn hiu I0.0 chuyn gi tr t "0" ln"1"
Gi tr ca b m s tr v 0 khi c tn hiu ti sn ln ca chn R
(I0.3).
B m s ch m n gi tr >= 0.
VII.

Khi chuyn d liu.


FBD

LAD

STL

Hnh 3 66: S khi MOV


- Nguyn l hot ng:
Khi c tn hiu kch I0.0 khi Copy c thit lp, tn hiu u ra ENO l
Q4.0 =1. ng thi s liu u vo IN l MW0 c Copy sang u ra OUT
l MW2.
Khi tn hiu kch I0.0 = 0 tn hiu u ra Q4.0 = 0.
Trong trng hp mun thay i s liu trong b nh (tc l thay i gi
tr trong MW2) ta c th khng cn s dng tn hiu kch I0.0.

251

VIII. Cc b ghi dch v quay s liu trn thanh ghi.


1.

Dch phi s nguyn 16 bits:


FBD

LAD

STL

Hnh 3 - 67: S khi dch phi.

- Nguyn l hot ng.


Khi tn hiu kch I0.0 = 1 Khi ny s thc hin chc nng dch chuyn
sang phi s liu trong thanh ghi. ng thi tn hiu ra ti ENO l Q4.0 c gi
tr l 1.
S liu a vo ti IN l MW0
S bit s dch chuyn l MW2 ( ti chn N).
Kt qu sau khi dch c ct vo MW4.
Trn s cho ta thy kt qu ca b dch phi 4 bit.
2.

Dich phi s nguyn 32 bits:


-S khi:
FBD

LAD

STL

Hnh 3 - 68: Khi dch phi.


252

Khi tn hiu kch I0.0 = 1. Khi ny s thc hin chc nng dch chuyn
sang phi s liu trong thanh ghi. ng thi tn hiu ra ti ENO l Q4.0 c gi
tr l 1.
S liu a vo ti IN l MD0
S bit s dch chuyn l MW2 (ti chn N). Kt qu sau khi dch c ct
vo MW4.
Trn s cho ta thy kt qu ca b dch phi 4 bit.
3.

Dch tri 16 bit:


-S khi:
FBD

LAD

STL

Hnh 3 - 69: Khi dch tri.


- Nguyn l hot ng:
Khi c tn hiu kch I0.0 = 1 tn hiu ra Q4.0 c thit lp v c gi tr 1.
D liu u vo MW0 c dch sang tri vi s bit c t ti chn
N (MW2).
Kt qu sau khi dch c ghi vo MW4.

Ch : Trong trng hp cn dch tri mt s 32 bits ta ch cn khai bo


d liu u vo IN di dng MD. V d: MD0 v kt qu u ra cng s
c lu gi MD V d: MD4

253

4.

Quay tri s 32 bits:


-S khi:
FBD

LAD

STL

Hnh 3-70: S khi quay tri.


- Nguyn l hot ng:
Khi c tn hiu kch I0.0 = 1 tn hiu ra Q4.0 c thit lp v c gi tr 1.
D liu u vo MD0 c quay sang tri vi s bit c t ti chn N
(MW4).
Kt qu sau khi dch c ghi vo MD10.

5.

Quay phi s 32 bits:


-S khi:
FBD

LAD

STL

Hnh 3-71: S khi b quay phi.


- Nguyn l hot ng:
Khi c tn hiu kch I0.0 = 1 tn hiu ra Q4.0 c thit lp v c gi tr 1.
D liu u vo MD0 c quay phi vi s bit c t ti chn N
(MW4).
254

Kt qu sau khi dch c ghi vo MD10.

IX.

Cc hm logic thc hin trn thanh ghi.

1.

Hm AND hai s c di l 16 bits.


FBD

-S khi:
LAD

STL

Hnh 3-72: s dng khi AND 16 bits


-Nguyn l hot ng:
Hm s thc hin chc nng nhn hai s nh phn ti u vo IN1 v u
vo IN2 kt qu c ct OUT ( MW2) khi c tn hiu kch ti chn EN (I0.0
=1).
Tn hiu u ra ENO (Q4.0 = 1) khi hm thc hin chc nng.
-V d:
IN1 =
0101010101010101
S th nht
IN2 =
0100000000001111
S th hai
OUT =
0100000000000101
Kt qu
2.

Hm OR hai s c di l 16 bits:
-S khi :
FBD

LAD

STL

Hnh 3-73: S dng khi OR 16 bits.


255

-Nguyn l hot ng:


Hm s thc hin chc nng OR hai s nh phn ti u vo IN1 v u
vo IN2 kt qu c ct OUT (MW2) khi c tn hiu kch ti chn EN (I0.0 =
1).
Tn hiu u ra ENO (Q4.0 = 1) khi hm thc hin chc nng.
-V d:
IN1 =
0101010101010101 S th nht
IN2 =
0000000000001111 S th Hai
OUT =
0101010101011111 Kt qu
3.

Hm XOR hai s c di 16 bits:


-S khi:
FBD

LAD

STL

Hnh 3-74: s khi XOR 16 bits.


-Nguyn l hot ng:
Hm s thc hin chc nng XOR hai s nh phn ti u vo IN1 v u
vo IN2 kt qu c ct OUT khi c tn hiu kch ti chn EN.
Tn hiu u ra ENO khi hm thc hin chc nng.
-V d:
IN1 =
0101010101010101 S th nht
IN2 =
0000000000001111 S th Hai
OUT =
0101010101011010 Kt qu
4.

Hm AND hai t kp:


FBD

EN(I0.0):
IN1:
IN2:
OUT:
ENO:

-S khi:
LAD

STL

Hnh 3 -75 S dng khi AND hai t kp .


BOOL - tn hiu kch
DWORD - Vo 1
DWORD - vo2
DWORD - Ra
BOOL - Tn hiu ra ca khi.
256

-Nguyn l hot ng:


Hm s thc hin chc nng AND hai s nh phn ti u vo IN1 v u
vo IN2 kt qu c ct OUT khi c tn hiu kch ti chn EN.
Tn hiu u ra ENO khi hm thc hin chc nng.
-V d:
IN1 =
0101010101010101
0101010101010101
IN2 =
0000000000000000
0000111111111111
OUT =
0000000000000000
0000010101010101
5.

Hm OR hai t kp:
-S khi:
FBD

LAD

STL

Hnh 3-76: S dng khi OR hai t kp.


EN(I0.0):
BOOL - tn hiu kch
IN1:
DWORD - Vo 1
IN2:
DWORD - vo2
OUT:
DWORD - Ra
ENO:
BOOL - Tn hiu ra ca khi.
-Nguyn l hot ng:
Hm s thc hin chc nng OR hai s c di 2 t ti u vo IN1 v
u vo IN2 kt qu c ct OUT khi c tn hiu kch ti chn EN.
Tn hiu u ra ENO khi hm thc hin chc nng.
-V d:
IN1 =
0101010101010101
0101010101010101
IN2 =
0000000000000000
0000111111111111
OUT =
0101010101010101
0101111111111111
6.

Hm XOR hai t kp :
- S nguyn l:
FBD

LAD

STL

Hnh 3-77: S dng khi XOR hai t kp.

257

EN(I0.0):
BOOL - tn hiu kch
IN1:
DWORD - Vo 1
IN2:
DWORD - vo 2
OUT:
DWORD - Ra
ENO:
BOOL - Tn hiu ra ca khi.
-Nguyn l hot ng:
Hm s thc hin chc nng XOR hai s c di 2 t ti u vo IN1 v
u vo IN2 kt qu c ct OUT khi c tn hiu kch ti chn EN.
Tn hiu u ra ENO khi hm thc hin chc nng.
-V d:
IN1 =
0101010101010101
0101010101010101
IN2 =
0000000000000000
0000111111111111
OUT =
0101010101010101
0101101010101010

258

BI 5: CC BI TP NG DNG PLC S7-300


I.
1.

Chng trnh iu khin ng c 3 pha


iu khin ng c i ni sao tam gic
(Star delta connection)

* Phn tch m hnh v a ra nguyn tc iu khin chung


H thng iu khin ng c khi ng thc hin i ni sao - tam gic. y
l nguyn tc iu khin quen thuc v gn gi vi ngnh mch my cng
nghip. Khi vit chng trnh iu khin cho m hnh ny cn quan tm ti mt
s vn chnh sau:
- C th thc hin i ni bng tay hay i ni t ng
- C th thc hin i ni trng thi c in hay khng in
- C th khng ch thi gian i ni khc nhau
M hnh ny cho php chng ta thc hin linh hot v y cc bi ton
v iu khin ng c thc hin i ni sao tam gic.
Di y trnh by yu cu cng ngh vit cho m hnh 05 c th hin
thng qua cc bi tp:
* Xy dng yu cu cng ngh
Yu cu cng ngh 1:
Thit k v vit chng trnh iu khin ng c khi ng bng i ni
sao tam gic trng thi c in theo yu cu cng ngh sau:
- n S1, ng c khi ng sao, sau 3s t ng i ni chy tam gic
(i ni trng thi c in).
- n S0, ng c dng.
ng c c bo v qu ti bng r le nhit (Tc ng gi nh S5-I4.5)
259

Yu cu cng ngh 2:
Thit k v vit chng trnh iu khin ng c khi ng bng i ni
sao tam gic trng thi khng in theo yu cu cng ngh sau:
- n S1, ng c khi ng sao, sau 3s t ng i ni chy
tam gic(i ni trng thi khng in).
- n S0, ng c dng.
ng c c bo v qu ti bng r le nhit (Tc ng gi nh S5-I4.5)
* La chn a ch kt ni cho yu cu cng ngh 1
u vo
S0: Stop
S1: Start
S5: R le nhit

a ch
I4.0
I4.1
I4.5

u ra
K1 (Cp ngun)
K3 (ni tam gic)
K4( chm sao)
H1 ( bo mch ang chy)

a ch
Q8.0
Q8.2
Q8.3
Q9.0

* Chng trnh vit cho yu cu cng ngh 1:

260

2.

iu khin o chiu quay ng c 3 pha c hn ch chuyn ng

* Phn tch m hnh v a ra nguyn tc iu khin chung


iu khin khi ng o chiu quay ng c l nguyn tc iu khin
quen thuc v gn gi p dng rng ri trong cng nghip. Khi vit chng trnh
iu khin h thng ny cn quan tm ti mt s vn chnh sau:
- C th thc hin o chiu bng tay hay i ni t ng
- C th thc hin o chiu t ng theo nguyn tc thi gian
- C th thc hin o chiu nh h thng cng tc hnh trnh S3, S4
M hnh ny cho php chng ta thc hin linh hot v y cc bi ton
v iu khin o chiu quay ng c .
Di y trnh by yu cu cng ngh vit theo m hnh 07 c th hin
thng qua cc bi tp:

261

* Xy dng yu cu cng ngh


Yu cu cng ngh s 1:
Thit k v vit chng trnh iu khin khi ng v o chiu quay
ng c theo yu cu cng ngh sau:
- n S1, khi ng ng c quay thun (i ln). Khi gp hnh trnh trn
(S3) th ng c t ng o chiu quay v khi gp hnh trnh di S4 th li
o chiu, c nh vy. Hoc khi ta n S2 th ng c chy ngc trc v cng
lp li qu trnh nh trn.
- n S0, ng c dng hot ng
ng c c th o chiu khi n S1 hoc S2
ng c c bo v qu ti bng r le nhit (Tc ng gi nh S5-I4.5).
Yu cu cng ngh s 2:
Thit k v vit chng trnh iu khin khi ng v o chiu quay
ng c theo yu cu cng ngh sau:
- n S1, khi ng ng c quay thun (i ln) Khi gp hnh trnh trn
(S3) th t ng o chiu quay v khi gp hnh trnh di S4 th o chiu, c
nh vy. Hoc khi ta n S2 th ng c chy ngc trc v cng lp li qu
trnh nh trn.
- n S0, ng c dng hot ng
ng c c th o chiu khi n S1 hoc S2
ng c c bo v qu ti bng r le nhit (Tc ng gi nh
S5-I4.5).
C th thc hin kim tra bng tay (th nhp) nh S6
* La chn a ch kt ni cho bi s 1
u vo
S0: Stop
S1: quay thun
S2 quay ngc
S5: R le nhit

a ch
I4.0
I4.1
I4.2
I4.5

u ra
K1 quay thun
K3 quay ngc
H6 bo cui hnh trnh up
H8 bo cui hnh trnh down

a ch
Q8.0
Q8.3
Q8.4
Q8.5

* Chng trnh vit cho yu cu cng ngh s 1:

262

263

3.
Khi ng ng c KB xoay chiu 3 pha roto dy qun qua 3 cp
in tr ph mch roto
(wound-rotor motor)

* Phn tch m hnh v a ra nguyn tc iu khin chung


+ Xut pht t thc t khi ng c xoay chiu 3 pha khi ng
dng in lc khi ng rt ln thng t 5 n 7 ln dng in nh mc
Ik=(5 n 7) Im lm cho tn hao in p ln trn ng truyn lm cho
cht lng in khng c m bo v gy tc ng nhm cho cc thit b bo
v hn ch dng khi ng cho ng cho ng c ta s dng mt trong
cc phng php khi ng l a in tr vo mch roto nh m hnh di
y.
+ M hnh m t h thng khi ng ng c 3 pha r to dy qun
thng qua vic ng ct cun ht K1. Pha r to c gn vi 3 cp in tr.
Vic ng ct cc in tr c thc hin thng qua cc cun ht t K2 n
K4. Chng trnh iu khin vit din ra nh sau:
+ Thc hin khi ng K1 ng a 3 cp in tr ph R1,R2,R3
vo khi ng dng
+ Qu trnh ni tt cc in tr f din ra ln lt theo th t t R1
n R2 n R3 bi cc K4 n K3 n K2.
+ C th thay i thi gian khng ch loi cc in tr.
+ ng c c ct khi li in nu c s c v qu ti hay ngn
mch thng qua r le nhit Q1 (nt n S5 tc ng gi thay cho Q1)
Di y trnh by yu cu cng ngh vit cho m hnh 10, c
th hin thng qua cc Yu cu cng ngh
* Xy dng yu cu cng ngh
Thit k v vit chng trnh iu khin khi ng ng c in xoay
chiu 3 pha r to dy qun thng qua 3 cp in tr ph. Yu cu c th:

264

+ n S1 ng c hot ng tt c cc in tr mch rto tham gia trong


mch sau 3 giy loi cp in tr R1, 3 giy sau loi tip in tr R2, 3 giy sau
loi tip in tr R3.
+ n S0 th dng ton b h thng.
c. La chn a ch kt ni
u vo
S0
S1
S5

a ch
I4.0
I4.1
I4.5

u ra
K1
K2
K3
K4
H1-n bo chy
H2-k xong

a ch
Q8.0
Q8.1
Q8.2
Q8.3
Q8.0
Q8.3

* Chng trnh mu cho yu cu cng ngh s 1

265

II.

Chng trnh iu khin h thng n giao thng.

1.

H thng n Giao thng cho ng thi cng (4 n) .


(traffic light for road works)

* Phn tch m hnh v a ra nguyn tc iu khin chung


M hnh s 20: M t h thng giao thng phc v cho on ng ang
thi cng. H thng giao thng ny gm 4 n xanh . Khi thit k h thng
ny c th bm theo cc nguyn tc iu khin sau:
+ Thc hin iu khin theo ch t ng
+ Thc hin iu khin ch u tin bng tay phc v cc trng
hp cn u tin ng.
+ Thc hin ch cho php v m cho n vng nhy.
+ C th thay i thi gian sng ca cc n. N c dng trn thc t
do di ngn ca on ng thi cng v tc ni cng trng l 5
Km/gi.
Da vo cc nguyn tc trn c th xy dng c cc lut iu khin
khc nhau. Di y trnh by mu 2 yu cu cho iu khin h thng ny.

266

* Xy dng yu cu cng ngh


Yu cu cng ngh s 1:
Thit k v vit chng trnh iu khin h thng iu n giao thng 4
n (Dng cho ng hp ni ang thi cng sa cha) yu cu iu khin nh
sau:
+ n nt M (S1) h thng bt u lm vic cho cc n hot ng xanh 1
(N1) v 2 ( RD2) hay xanh 2 ( GN 20 v 1 ( RD1). Qu trnh c lp nh
vy
+ n nt D (S0 ) dng h thng.
Yu cu cng ngh s 2:
Thit k v vit chng trnh iu khin h thng n giao thng 4 n
(Dng cho ng hp ni ang thi cng sa cha) yu cu iu khin nh sau:
+ C th thc hin chn ch bng tay hay t ng.
+ Ch bng tay: n S2 (I4.2) chy bng tay, khi n S3 (I4.3) u tin
hng 1 n S4 (I4.4) u tin hng 2, n S5 (I4.5) cho cc n nhy.
+ Ch t ng: n S1 h thng bt u lm vic cho cc n hot ng
xanh 1 (N1) v 2 ( RD2) hay xanh 2( GN 20 v 1 ( RD1). Qu trnh c lp
nh vy
+ n nt D (S0 )dng h thng.
* La chn a ch kt ni cho yu cu cng ngh s 1:
U RA
Xanh 1 ( GN1)
1 ( RD1)
Xanh 2 ( GN2)
2 ( RD2)
H1 : n bo khi nhn S1

A CH
Q8.1
Q8.0
Q8.3
Q8.2

U VO
S0 : Dng mch
S1 : M
B1 : Barie m lung 1
B2 : Barie m lung 2

A CH
I4.0
I4.1
I4.6
I4.7

267

* Chng trnh vit cho yu cu cng ngh s 1

268

269

2.

H thng giao thng 5 n

* Phn tch m hnh v a ra nguyn tc iu khin chung


y l h thng giao thng cho ni c ng dnh cho ngi i b ngang
qua trc giao thng. H thng gm 3 n xanh (GN) vng (YE) , (RD) cho
phng tin giao thng v 2 n xanh cho ngi i b. xy dng cc yu
cu cng ngh cho module ny phi lu ti cc vn chnh sau:
n xanh cho ngi i b c sng khi trc chnh c n vng hay
n xanh cho ngi i b phi tt trc khi n xanh trc chnh sng
(Thi gian chnh lch ny c 2 n phi sng v ko di bng thi gian mt
ngi i b i ht on ng ngang)
C th thit lp cc ch u tin ng khi c yu cu, hoc lp ch
cho php c 2 ng khi v m khuya chng hn
Sinh vin t xy dng bi c th tham kho chng trnh di y:
* Xy dng yu cu cng ngh
Yu cu cng ngh s 1:
Thit k v vit chng trnh iu khin h thng n giao thng 5 n
theo yu cu di:
n S1 h thng chy tha mn:
+ Hng dnh cho phng tin giao thng n sng trong A
giy, n xanh sng trong B giy, n vng sng trong C giy
+ Hng dnh cho ngi i b:
Phi c thi gian tr ngi i b i ht phn ng ngang th phng
tin mi c chy
n S0 h thng dng
Yu cu cng ngh s 2:
Thit k v vit chng trnh iu khin h thng n giao thng 5 n c
phn ng dnh cho ngi i b. Yu cu c th:
- n S0: h thng dng
270

- n S1: u tin cho ngi i b


- n S2: u tin cho lung giao thng
- n S3: chy t ng nh yu cu cng ngh s 1
- n S6: n vng nhp nhy
* La chn a ch kt ni cho Yu cu cng ngh s 1
U VO
S0
S1

A CH
I4.0
I4.1

U RA
GN - 0
RD - 1
YE - 2
RD 3 ( I b)
GN 4 ( I b)

A CH
Q8.5
Q8.7
Q8.6
Q8.1
Q8.0

a ch vit chng trnh v cho kt ni nh sau:


U VO
A CH
S0
I4.0
S1
I4.1
S2
I4.2
S3
I4.3
S6
I4.6
Xanh 1 lung i b
(RD-3)
Q8.4
1 lung i b
(GN-4)
Q8.3
Xanh 2 lung giao thng ( RD - 0)
Q8.2
Vng 2 lung giao thng (YE-1)
Q8.1
2 lung giao thng (GN 2)
Q8.0

271

Chng trnh mu cho yu cu cng ngh s 1

272

273

III.

iu khin h thng bnh trn.

1.

iu khin h thng np v x

* Phn tch m hnh v a ra nguyn tc iu khin chung


M hnh s16: H thng t ng np v x cht lng. H thng gm 3
bnh ring bit, mi bnh c cc cm bin ring. Do vy khi xy dng yu cu
cng ngh cho module ny cn ch cc vn khng ch chnh theo cc
nguyn tc cn bn sau:
Np v x t ng theo tn hiu bo cc sensor. (Thng cc cm bin
kn khi y cht lng n m ra)
Thi gian x v np c nh sn bi Module
Cc bnh c th khng ch ring bit hoc kt hp theo lut no ty .
Khi bnh ang x th khng th dng m phi x ht mi dng c.
Da trn c s nh vy sinh vin c th t xy dng iu khin.
tham kho mt v d iu khin theo Yu cu cng ngh di y.
* Xy dng yu cu cng ngh
Yu cu cng ngh s 1:
Thit k vit chng trnh iu khin h thng np v x tha mn yu cu
sau:
- n S1 ( I4.7) cho php h thng lm vic
- Mun bnh 1 chy n S2 ( I5.0)
- Mun bnh 2 chy n S3 ( I5.1)
- Mun bnh 3 chy n S4 ( I5.2)
- Khi bnh no y th t ngt van vo v t ng ng van x .
- Khi bnh x ht th cm bin bo ct x ng thi thc hin m van bm
vo bnh . Qu trnh t ng lp li.
Mun dng n S0 ( I4.6)
Yu cu cng ngh s 2:
Thit k vit chng trnh iu khin cho h thng np v x cht lng
(H thng gm 3 bnh ) tha mn:
274

- n M: Bt u Y1 m x vo bnh A
+ Sau 5s th Y2 m x vo bnh B
+ Sau 5s th Y3 m x vo bnh C
+ Nu bnh no y th t ng dng bm vo bnh (nh 3 cm bin
B1, B2, B3)
- n D: Dng h thng.
Ch : Mun x bnh no th n m x bnh
Khi no trong bnh ht th dng x bnh nh 3 cm bin B4, B5, B6
* La chn a ch kt ni cho yu cu cng ngh s 1
U VO
S0
S1
S2
S3
S4
B1
B2
B3
B4
B5
B6

A CH
I4.6
I4.7
I5.0
I5.1
I5.2
I4.3
I4.4
I4.5
I4.0
I4.1
I4.2

U RA
Y1
Y2
Y3
Y4
Y5
Y6

A CH
Q8.0
Q8.1
Q8.2
Q8.3
Q8.4
Q8.5

H1
H2
H3
H4

Q8.6
Q8.7
Q9.0
Q9.1

* Chng trnh vit cho yu cu cng ngh s 1:

275

276

277

2.

iu khin h thng trn

* Phn tch m hnh v a ra nguyn tc iu khin chung


H thng trn than (coal grinder). H thng gm 3 phu ph gia ring
bit, cc phu vo phu ln thng qua vic ng m cc van (Valve ).Do vy
khi xy dng yu cu cng ngh cho module ny cn ch cc vn khng
ch chnh theo cc nguyn tc cn bn sau:
Cc phu c th khng ch ring bit bng tay hoc kt hp theo lut t
ng no ty .
C th khng ch cc valve than c trn theo t l no thng qua
thi gian ng m ca cc valve.
Da trn c s nh vy sinh vin c th t xy dng iu khin.
tham kho mt v d iu khin theo yu cu cng ngh di y.
* Xy dng yu cu cng ngh
Yu cu cng ngh s 1:
Thit k vit chng trnh iu khin h thng trn tha mn yu cu sau:
*) Ch bng tay: n I5.0 (mn thm chn ch do vy khng kt
ni vi nt n S no )
- n S0 (I4.0) cho Valve 1
- n S1 (I4.1) tt valve 1
- n S2(I4.2) cho Valve 2 m
- n S3 (I4.3) tt valve 2
- n S4(I4.4) cho Valve 3 m
- n S5 (I4.5) tt valve 3
*) Ch t ng; n I4.7 (mn thm chn ch t ng)
Khi cc valve ln lt thay nhau lm vic (5 giy cho mi ln
m) qu trnh c lp nh vy.
Mun dng h thng th n S6 (I4.6)
278

Yu cu cng ngh s 2:
Thit k vit chng trnh iu khin h thng trn tha mn yu cu sau:
*) Ch bng tay: n I5.0 (mn thm chn ch do vy khng kt
ni vi nt n S no )
- n S0 (I4.0) cho Valve 1 m
- n S1 (I4.1) tt valve 1
- n S2 (I4.2) cho Valve 2 m
- n S3 (I4.3) tt valve 2
- n S4(I4.4) cho Valve 3 m
- n S5 (I4.5) tt valve 3
*) Ch t ng: n I4.7 (mn thm chn ch t ng)
Khi valve 1 m 5 giy, Valve 2 m 10 giy, Valve 3 m 3 giy,
c nh vy cc valve ln lt thay nhau lm vic
Mun dng h thng th n S6 ( I4.6)
a ch c xc nh nh yu cu cng ngh s 1 trn.
* La chn a ch kt ni cho bi
u vo
S0
S1
S2
S3
S4
S5
S6

a ch
I4.0
I4.1
I4.2
I4.3
I4.4
I4.5
I4.6

u ra
Y1
Y2
Y3

a ch
Q8.0
Q8.1
Q8.2

279

d. Chng trnh vit cho yu cu cng ngh s1.

280

281

I V. Chng trnh iu khin h thng bng ti.


1.

iu khin h thng tun t 3 bng ti.

* Phn tch m hnh v a ra nguyn tc iu khin chung.


H thng ny c cu trc ca mt h thng vn chuyn sn phm c
ng dng trong cc dy truyn vn ti nh truyn than, sn phm ca nh
mychng trnh iu khin xy dng c th da theo cc nguyn tc sau:
- Khng ch tun t cc bng vi hai ch bng tay v t ng
+ Bng tay: Lm vic theo th t Band1 n Band2 n Band3.
Dng th dng c.
+ T ng: Lm vic theo th t Band1 n Band2 n Band3
Dng ln lt theo th t : Band1 dn Band2 n Band3
- Khi ang lm m mun dng bng no th cng phi theo th t t cui
v u (t Band 3 v Band1 trnh hin tng cc bng u vn chy m bng
sau ngng lm vt liu trn bng ti b ng. Da trn c s nh vy sinh vin
t xy dng iu khin cc bng. Di y trnh by 2 yu cu cng ngh
khc nhau cho m hnh 11 (th hin di dng bi tp).
* Xy dng yu cu cng ngh.
Yu cu cng ngh 1:
Thit k v vit chng trnh iu khin cho h thng 3 bng ti chy theo
yu cu cng ngh di:
+ n S4 ( Enable) cho php cc bng ti hot ng
+ n I5.4 cho php lm vic ch bng tay
+ n S3 bng ti 3 hot ng
+ n S2 bng ti 2 hot ng
282

+ n S1 bng ti 1 hot ng
+ n S8 dng bng ti 3
+ n S7 dng bng ti 2
+ n S6 dng bng ti 1
+ n I5.4 lm vic ch t ng Band 1 n Band2 n Band3
+ n I5.3 dng t ng: Band 1 n Band2 n Band3
+ S5 bo qu ti, cc bng ti ngng hot ng
+ n S0 th dng ton b h thng.
Yu cu cng ngh 2
Yu cu cng ngh: iu khin 3 bng ti tun t.
+ n S3 bng ti 3 chy, sau 2s bng ti 2 chy, sau 2s na bng ti 1chy
+ n S0 bng ti 1 dng, sau 2s bng ti 2 dng, sau 2s na bng ti 3 dng
S4 cho php h thng lm vic, S5 bo qu ti, cc bng ti ngng hot
ng
c. La chn a ch kt ni cho yu cu cng ngh 1
U VO
S0
S1
S2
S3
S4
S5
S6
S7
S8
Bng tay
M t ng
Dng t ng

A CH

U RA

A CH

I4.0
I4.1
I4.2
I4.3
I4.4
I4.6
I4.7
I5.0
I5.1
I5.4
I5.2
I5.3

K1-0 Bng ti 1
K2-1 Bng ti 2
K3-2 Bng ti 3

Q8.0
Q8.1
Q8.2

H1 (n bo)
H2 (n bo)
H3 (n bo)
H4 (n bo)

Q8.0
Q8.0
Q8.1
Q8.2

* Chng trnh iu khin cho yu cu cng ngh 1.

283

284

2.

Chng trnh iu khin h thng 5 bng ti

* Phn tch m hnh v a ra nguyn tc iu khin chung


H thng 5 bng ti trong c 4 bng ti nh vo mt bng ti chnh.
H thng ny c cu trc ca mt c cu trn ng dng trong cc dy truyn
nh thc n gia sc, xi mng chng trnh iu khin xy dng c th da
theo cc nguyn tc sau:
- Khng ch tun t cc bng theo th t m v dng
- Khng ch thi gian chy ca cc bng theo thi gian tc l khng ch
c khi lng vt liu cc loi.
- Khng ch cc bng chy theo thut ton iu khin t l no v d
nu bng M1 chy bng ny thi gian th cc bng khc t ng chn thi
gian sao cho ng t l. Da trn c s nh vy sinh vin c th t xy dng
iu khin.
Di y trnh by yu cu cng ngh vit cho m hnh s 22, c th
hin thng qua cc Yu cu cng ngh

285

* Xy dng yu cu cng ngh


Yu cu cng ngh s 1:
Thit k v vit chng trnh cho h thng iu khin 5 bng ti chy
theo yu cu cng ngh di:
Qu trnh m my:
+ n S5 bng 5 (M5) chy nu bng 5 khng chy th tt c cc
bng khc cng khng hot ng
+ n S1 bng 1 ( M1) chy ( Conveyor 1 on)
+ n S2 bng 2 ( M2) chy ( Conveyor 2 on)
+ n S3 bng 3 (M3) chy ( Conveyor 3 on)
+ n S4 bng 4 ( M4) chy ( Conveyor 4 on)
Qu trnh dng:
+ n S6 bng 1 ( M1) Dng
+ n S7 bg 2 ( M2) Dng
+ n S8 bng 3 (M3) Dng
+ n S9 bng 4 ( M4) Dng
+ n S10 bng 4 ( M5) Dng. Nu khng n S10 th sau khi cc
bng khc dng ht th sau 5 giy bng 5 t dng.
Yu cu cng ngh s 2:
Thit k v vit chng trnh cho h thng iu khin 5 bng ti chy theo
yu cu cng ngh di y:
Qu trnh m my:
n M ( S1) bng ti 5 ( conveyor 5) chy sau cc bng t bng 1 n
bng s 4 tun t khi ng thi gian tr gia 2 bng lin tip l 2 giy.
Qu trnh m my:
n nt dng D ( S0) tng tun t cc bng t bng 4 n bng 1 thi gian
tr gia 2 bng lin tip l 2 giy. Khi 4 bng dng ht th sau 5 giy bng 5
dng

286

a ch cc bng c xc nh nh yu cu cng ngh s 1


* La chn a ch kt ni
U VO
S0
S1
S2
S3
S4
S5
S6
S7
S8
S9
S10

A CH
I 4.0
I 4.1
I4.2
I4.3
I 4.4
I 4.5
I4.6
I4.7
I5.0
I5.1
I5.2

U RA
M1 Conveyor 1
M2 Conveyor 2
M3 Conveyor 3
M4 Conveyor 4
M5 Conveyor 5
H1 (n bo bng 1)
H2 (n bo)
H3 (n bo)
H4 (n bo)

A CH
Q8.0
Q8.1
Q8.2
Q8.3
Q8.4
Q9.0
Q9.1
Q9.2
Q9.3

* Chng trnh mu cho yu cu cng ngh s 1

287

288

3.

iu khin h thng bng ti np vt liu

* Phn tch m hnh v a ra nguyn tc iu khin chung


C cu np vt liu trn c th hot ng theo nguyn tc sau: Vt liu t
cc bng 1 (Band 1) v bng 2 (Band 2) c th c chuyn xung cc bng 3
(band 3) v bng 4 (band 4) thng qua vic ng ngt cc van np S6, S7, S8.
Vi nguyn tc trn chng trnh iu khin c th c khng ch theo yu
cu cng ngh c th nh sau:
+ Chy cc bng ti theo ch bng tay tng bng mt.
+ iu khin chuyn i cc van dn ti chuyn i cc bng lm vic
+ Chy v ng m cc van theo lut no tha mn t l vt liu v
mi bng 3 hay 4.
+ Khng ch cc van ng ngt theo mt lut no thay i t l
trn.
Da vo cc nguyn tc chung trn c th xy dng c nhiu bi ton iu
khin.
* Xy dng yu cu cng ngh
Yu cu cng ngh s 1:
Thit k v vit chng trnh iu khin h thng bng ti trn vt liu theo yu
cu cng ngh di:
n S4 bng 4 chy, H4 sng
n S3 bng 3 chy, H3 sng
n S2 bng 2 chy, H2 sng (ch khi bng 3 v 4 chy cc bng 1 v 2
mi c chy)
n S1 bng 1 chy, H1 sng
n S5 bng 1, bng 2 dng
289

- Khi S7, S8 m cn S6 ng th bng 1,2,4 lm vic, bng 3 dng


- Khi S7, S6 m cn S8 ng th bng 1,2,3 lm vic, bng 4 dng
- Khi S7 ng th tt c cc bng lm vic.
n S0 tt c cc bng u dng.
- Khi chuyn i c cu cc van S6, S7, S8 th ci bo hiu ku.
Yu cu cng ngh s 2:
Thit k v vit chng trnh iu khin h thng bng ti trn thc n theo
yu cu cng ngh di:
Mch c th chy tay hay t ng nh mt chuyn mch.
Ch chy tay ging bi 1
Ch t ng c cc yu cu sau
+ n S3 hoc S4 th bng 3,4 u chy, 5s sau th bng 1,2 chy
+ Trong qu trnh cc bng ang chy n S5 th bng 1,2 dng. Mun
khi ng li n S1 hoc S2 th bng 1,2 u chy
Khi n S0 th bng 1 v 2 dng trc, 5s sau th bng 3,4 dng. Khi bng
3,4 dng th c ci v n bo, 2s sau th dng ht.
Trong qu trnh lm vic nu bt S6 th bng 1,3 khng lm vic, nu bt S8
th bng 2, 4 khng lm vic.
a ch kt ni c ly ging nh Yu cu cng ngh 1
* La chn a ch kt ni
U VO
A CH
U RA
A CH
S1
I4.1
M1
Q8.1
S2
I4.2
M2
Q8.2
S3
I4.3
M3
Q8.3
S4
I4.4
M4
Q8.4
S5
I4.5
H1
Q8.1
S6
I4.6
H2
Q8.2
S7
I4.7
H3
Q8.3
S8
I5.0
H4
Q8.4
S0
I4.0
Q8.0
* Chng trnh mu cho yu cu cng ngh s 1

GHI CH
Bng 1
Bng 2
Bng 3
Bng 4

Ci

290

291

4.

H thng t ng ng hp

292

* Phn tch m hnh v a ra nguyn tc iu khin chung


M hnh s 15 m t mt h thng t ng ng sn phm theo s
lng nh trc vo hp. H gm mt bng ti dn hp v c cu van ngt m
ng sn phm vo hp theo s lng l 4, 6 hay 8. Nghin cu v h thng
ny cn nm bt theo mt s nguyn tc cn bn sau:
+ C th chn trc s sn phm cn ng vo hp l 4, 6 hay 8
sn phm
+ C th t ng kt hp ng cc hp vi cc sn phm trn xen
k nhau v d 10 hp 4 SP th n 10 hp 6 sn phm v 5 hp
+ Cm bin B2 bo c hp hay hp mi c chuyn n
+ C cu B1 m s lng sn phm vo hp khi m phng lu
tc ng gi nh cm bin ny.
+ C th a ra mt lut ng hp no ty min sao s lng
sn phm ch l 4,6,8.
Di y trnh by yu cu cng ngh vit cho m hnh 15 c th hin
thng qua cc Yu cu cng ngh
* Xy dng yu cu cng ngh
Yu cu cng ngh s 1:
Thit k v vit chng trnh iu khin h thng bng ti ng thuc vo
l theo yu cu cng ngh sau:
- n S1 cho php h thng lm vic
- n S2, S3, S4 chn ch ng vo l 4; 6 hay 8 vin thuc, ng
thi chy bng ti M a l n v tr nhn thuc.
- n v tr B2, bng ti M dng li, ng thi van x thuc Y hot ng,
cho thuc vo l.
- Khi cm bin B1 m s thuc t trc, van Y dng li, bng ti
M tip tc chy a l mi n v tr nhn thuc, qu trnh lp li nh trn.
- n S0, h thng ngng hot ng.
Yu cu cng ngh s 2:
Thit k v vit chng trnh iu khin h thng bng ti ng thuc
vo l theo yu cu cng ngh sau:
- n S1 chy bng ti M a l n v tr nhn thuc. n v tr B2,
bng ti M dng li, ng thi van x thuc Y hot ng, cho thuc vo l
- n S2 chn ch ng vo l 4 vin thuc. Khi 5 hp 4 vin th t
ng chuyn sang ch hp 6 vin khi 5 hp 6 vin t ng chuyn sang
ch hp 8 vin ng 5 hp th lp li qu trnh trn
- Khi cm bin B1 m s thuc t trc, van Y dng li, bng ti
M tip tc chy a l mi n v tr nhn thuc, qu trnh lp li nh trn.
- n S0, h thng ngng hot ng.
a ch cho Yu cu cng ngh s 2 c xc nh ging bi s 1

293

* La chn a ch kt ni cho yu cu cng ngh s 1


u vo
S0 Dng mch

a ch
I4.0

u ra
Y: van x thuc

S1 Chy bng ti

I4.1

H1: bo mch chy

S2: t ch ng 4vin

I4.2

H2: bo t m 4 SP

S3: t ch ng 6 vin

I4.3

H3: bo t m 6 SP

S4: t ch ng 8 vin

I4.4

H4: bo t 6 SP

B1: cm bin m thuc

I4.5

M: bng ti

B2: cm bin dng bng ti

I4.6

a ch
Q
8.0
Q
8.1
Q
8.2
Q
8.3
Q
8.4
Q
8.5

* Chng trnh vit cho yu cu cng ngh s 1:

294

295

296

H thng iu khin nng h 4 tng (goods lift)

5.

* Phn tch m hnh v a ra nguyn tc iu khin chung


M hnh s 42 c thit k m phng cho c cu nng h gm 4 tng.
mi tng c cc cm bin bo tng. Khi bung thang ang tng no th LED s
bo v tr . Khi thit k yu cu cng ngh cho h thng ny c th cn c
vo mt s nguyn tc sau:
+ Khng ch bung thang ln xung khi c gi theo lut tun t
no v d chy qua mi tng th dng l mt thi gian no .
+ Khng ch h thng vn hnh nh mt thang my n gin c th
gi ln hay xung theo mun.
+ Chi cc n H1 H4 hin th bo cc tng tc l n tng no th
tng bo sng.
Da trn c s nh vy c th xy dng c cc yu cu cng ngh khc
nhau. Thng vi h thng ny khi thc hin thng tin hnh chia lm nhiu
cc yu cu cng ngh nh nh: vit cho gi tng, n tng, cho hin th tng,
..Di y a ra mt cng ngh in hnh ca khng ch h thng ny.
* Xy dng yu cu cng ngh
Yu cu cng ngh s 1: Thit k mch iu khin ng c nng h 4
tng
C th gi n bt k tng no theo mun. Khi mt tng c gi th
tng khc khng c tc dng gi.
n D: ng c dng th dng ti v tr
Bung thang n tng no th n bo tng sng
Yu cu cng ngh s 2: Thit k vit chng trnh iu khin c cu
nng h 4 tng
C th gi n bt k tng no theo mun (ln v xung)
297

n D: ng c dng th dng ti v tr
Nu sau 10 giy m khng c tng no gi th bung thang t ng i
xung tng 1. n tng no th n bo tng sng
* La chn a ch kt ni
U VO
S0: Stop
S1: tng 4
S2: tng 3
S3: tng2
S4: tng 1
S5 : i xung tng 1
Cm bin
B6
B7
B8
B11
B9
B10

A CH
I4.0
I4.1
I4.2
I4.3
I4.4
I4.5

U RA
H
Nng
H1 bo tng 4
H2 bo tng 3
H3 bo tng 2
H4 bo tng 1

A CH
Q8.5
Q8.0
Q8.1
Q8.2
Q8.3
Q8.4

I4.6
I4.7
I5.0
I5.3
I5.1
I5.2

* Chng trnh vit cho yu cu cng ngh s 1 :

298

299

300

CHNG 4: K THUT IU KHIN LP TRNH C NH


BI 1: KHI QUT CHUNG V LOGO
I.

Khi nim

L mt loi thit b lp trnh (PLC) c nh dng iu khin cc i


tng iu khin c s lng u vo, u ta t. N ch dng ngn ng lp trnh
FBD (Function Block Diagram)
II.

Phn loi

1.

Cch nhn bit LOGO:

Trc khi s dng 1 LOGO, ta phi bit mt s thng tin c bn v sn


phm nh cp in p s dng, ng ra l relay hay transistorCc thng tin c
bn c th tm thy ngay gc bn di bn tri ca sn phm.
V d: LOGO!230RC
LOGO: Tn sn phm
230: in p cp vo khong 115 n 240 VAC/DC
R: Ng ra l relay
C: Sn phm c tch hp cc hm thi gian thc
Mt s k hiu thng dng nhn bit cc dc tnh ca sn phm:
12: Ngun cung cp 12 VDC
24: Ngun cung cp 24 VDC
230: Ngun cp t 115...240 VDC/AC
R: Ng ra l Relay (Nu dng thng tin khng cha k t ny ngha
l ng ra l Transistor)
C: Sn phm c tch hp cc hm thi gian thc
O: Sn phm khng c mn hnh hin th
DM: Modul digital
AM: Modul Analog
CM: Modul truyn thng
Lu : Cc loi LOGO ch khc nhau v in p lm vic Um,
dng lm vic Im, lm vic vi ngun 1 chiu hay xoay chiu. Cu trc bn
trong u ging nhau.
2.

LOGO!24RC
- Thng s k thut:
in p cp: 85..265 VAC
I115 VAC: 10..40 mA
I240 VAC: 10..25 mA
I1..I8 = mc "1": in p >79 VAC, dng in >0,08mA
= mc "0": in p <40 VAC, dng in <0,03mA
Ng vo: 8 digital
Ng ra: 4 relays (10A)
- Cu trc c bn:
1 b 6 phm bm (4 phm di chuyn, 2 phm chc nng: OK, ESC)
1 khe cm dng Download chng trnh t PC
PLC
1 mn hnh hin th c 4 dng, mi dng 12 k t
2 u vo cp ngun
301

8 u vo: 6 u vo s (I1 - I6), 2 u vo tng t (I7, I8)


4 u ra c giao tip theo kiu tzt - rle
1 khe cm m rng (ni u vo v u ra)
1 bo mch in t thc hin iu khin qun l
3.

Cc phin bn LOGO 24:

C
mm
hnh

Khng
mm
hnh

Hnh 4-1: Phin bn LOGO


III.

Kh nng m rng ca LOGO

1.

i vi phin bn LOGO!12/24 RC/RCo v LOGO!24/24o

Hnh 4 - 2: Kh nng m rng: 4 modul digital v 3 modul analog


2.

i vi phin bn LOGO!24 RC/RCo v LOGO!230 RC/RCo

Hnh 4 - 3: Kh nng m rng: 4 modul digital v 4 modul analog


302

IV.

Cch u dy cho LOGO.

1.

LOGO! 230...

Hnh 4 - 4: Cch u dy cho tn hiu u vo


Vic i dy cho cc u vo c chia thnh 2 nhm, mi nhm 4 ng
vo. Cc u vo trong cng mt nhm ch c th cp cng mt pha in p.
Cc u vo trong 2 nhm c th cp cng pha hoc khc pha in p

Ghi ch:
1: Ni t bo v
2: V bc gip ca dy cp tn hiu
3: Thanh ray

Hnh 4 - 5 S kt ni LOGO
2.

LOGO! AM2...

Kt ni cm bin 2 dy vi modul LOGO!AM 2... lm theo cc bc sau:


- Kt ni ng ra ca sens vo cng U(0..10V) hoc ng I(0..20mA) ca
modul AM2
- Kt ni u dng ca sensor vo 24V(L+)
- Kt ni dy gro und ca sensor (M) vo u M1 hoc M2 ca modul
AM2

303

3.

LOGO! AM 2 PT100:

Hnh 4 - 6: K thut 2 dy v 3 dy
Khi u ni nhit in tr PT100 vo modul AM2PT 100, ta c th s
dng k thut 2 dy hoc 3 dy.
i vi k thut u 2 dy, ta ni tt 2 u M1 v IC1 (hoc M2 v IC2).
Khi dng k thut ny th ta s tit kim c 1 dy ni nhng sai s do in tr
ca dy gy ra s khng c b tr. Trung bnh in tr 1 dy dn s tng
ng vi sai s 2.5oC.
i vi k thut u 3 dy, ta cn thm 1 dy ni t cm bin PT100 v
ng IC1 ca modul AM2PT100. Vi cch u dy ny th sai s do in tr dy
dn gy ra s b trit tiu.
Ch :
trnh tnh trng gi tr c v b dao ng, ta nn thc hin theo cc
quy tc sau:
Ch s dng dy dn c bc gip
Chiu di dy khng vt qu 10m
Kp gi dy trn mt mt phng
Ni v bc gip ca dy dn vo ng PE ca modul
Trong trng hp modul khng c ni t bo v, ta c th ni
v bc gip vo u m ca ngun cung cp
4.

Kt ni ng ra:
- i vi ng ra dng relay:
Ta c th kt ni nhiu dng ti khc nhau vo ng ra.
V d: n, motor, contactor, relay...
Ti thun tr: ti ao 10A. Ti cm: ti a 3A.

304

S kt ni nh sau:

Hnh 4 - 7: Kt ni ng ra dng Relay vi ti


- i vi ng ra dng transistor:
Ti kt ni vo ng ra ca LOGO phi tha mn iu kin dng
in khng qu 0.3A
S kt ni nh sau:

Hnh 4 - 8: Kt ni ng ra dng Transitor vi ti

305

5.

Kt ni vi modul analog output LOGO!AM 2 AQ:


Ghi ch:
Ni t bo v
Thanh ray
V1, V2: 0 10 VDC
R: nh nht 5 K

Hnh 4 - 9: Kt ni ng ra anlog vi ti

306

BI 2: CC HM C BN TRONG LOGO
Cc hm lp trnh c bn trong LOGO c chia thnh 2 danh sch: Hm
CO v hm GF:
I.

Hm Co (Commons):

1.

nh ngha:
L danh sch cc im lin kt: bit M, ng vo, ng ra, cc hng s

2.

Ng vo/ra:

Hnh 4 - 10: Cc ng vo v ng ra ca LOGO


* Ng vo s I:
c xc nh bi k t bt u l I (I1, I2,...I24) tng ng vi ng vo
kt ni trn LOGO.
N c th l cc cng tc, nt n thng ng, thng m
- K hiu:

- M t hot ng ca ng vo s I:
+ u vo mc 0 khi I h
+ u vo mc 1 khi I kn.
* Ng ra s Q :
c xc nh bi k t bt u l Q (Q1, Q2,...Q16) tng ng vi kt
ni ng ra trn LOGO.
C th l cc Tranzistor, Role hay SCR,
- K hiu:

- M t hot ng ca ng ra s Q:
307

u ra Q s nhn mc 1 khi u vo ln mc 1 v xung mc 0 khi


u vo xung mc 0 thc hin ng cp ngun cho u ra thng qua giao
tip.
* Ng vo analog AI:
i vi cc version LOGO!24o, LOGO! 24o, LOGO! 12/24RC v
LOGO! 12/24Rco, cc ng vo I7, I8 c th c lp trnh s dng nh hai
knh vo analog AI1, AI2....AI8
Cc u vo tng t c th l cc cm bin a v hay tn hiu ca i
tng.
- K hiu:

- M t hot ng ca ng vo analog AI:


u vo ny l u vo c in p hoc dng in thay i c
* Ng ra analog AQ:
c bt u bi k t AQ, LOGO ch cho php ti a 2 ng vo analog
v AQ1 v AQ2.
- K hiu:

- M t hot ng ca ng analog s AQ:


u ra ny s hin th cho ta bit gi tr ca u vo ca i tng
iu khin hay cm bin.....
* Bin trung gian s M :
Bin trung gian c tn l M phc v chc nng trung gian kt ni gia cc
u vovi cc u ra ca cc cng logic, timer, counter, FF-RS.....
- K hiu:

- M t hot ng ca bin trung gian s M:


u ra ca n s nhn mc 1 truyn i thc hin iu khin cho cc
timer, counter, FF-RS s khi u vo ca n ln mc 1 v ngc li.
* Bin trung gian tng t AM:
- K hiu:

- M t hot ng ca bin trung gian analog AM:


308

Bin trung gian tng t thc hin truyn tn hiu tng t cho u ra
tng t, timer tng t,
* Cc phm bm thay chc nng nt n C:
K hiu:

- M t hot ng ca cc phm chc nng C:


C chc nng nh mt nt n s nhn mc 1 khi kn v mc 0
khi h.
* Ng khng ni X vi X t X1 .. X16:
K hiu:

- M t hot ng ca ng ni X:
Thc hin khp kn mch u ra.
* Thanh ghi dch S1 .. S8:
K hiu:

LOGO! cung cp 8 thanh ghi dch bit t S1 n S8. y l cc thanh ghi


ch c. Ni dung ca thanh ghi dch bit ch c th c nh ngha li bng hm
c bit (SF) shift register.
* Mc hng s:
- K hiu:

Mc tn hiu c thit k 2 mc: hi v lo vi:

Hi = 1: mc cao
Lo = 0: mc thp.

309

II.

Hm GF( General functions):

1.

nh ngha:
Danh sch cc hm logic c bn: AND, OR, NOT, ...

Hnh 4 11: Cc hm trong LOGO


2.

Hm AND:
* Hm AND:
- S mch:
- K hiu Logo:
- M t: Ng ra bng 1 khi tt c cc ng vo bng 1.
310

* Hm AND ly cnh xung ln:


- K hiu Logo:

M t:
+ Ng ra bng 1 trong 1 chu k qut ti thi im u tin m c 4 ng
vo cng bng 1.
+ Ng vo khng s dng ta c th s dng k hiu x (x=1)
- Bng logic:

- Biu thc: Q = I1.I2.I3.I4


Gin thi gian:

3.

Hm NAND:
a. Hm NAND
- S mch:

- K hiu Logo:

- M t: Ng ra bng 0 khi tt c cc ng vo bng 1.


311

* Hm NAND ly cnh xung xung:


- K hiu Logo:

- M t: Ng ra ly cnh xung ln bng 1 trong 1 chu k my ti thi


im u tin m mt trong cc ng bo bng 0.
- Bng logic:

- Gin thi gian:

4.

Hm OR:
- S mch:
- K hiu Logo:

- M t: Ng ra bng 1 nu c t nht mt ng vo bng 1. Ng vo khng


s dng ta c th dng k hiu x (x=0). Ng ra bng 0 khi tt c cc ng vo
bng 0
312

- Bng logic:

- Biu thc: Q = I1 + I2 + I3 + I4
5.

Hm NOR:
- S mch:

- K hiu Logo:

- M t: Ng ra cng NOR bng 1 nu tt c ng vo cng bng 0. Ng


vo khng s dng ta c th dng k hiu x (x=0). Ng ra bng 1 khi tt c cc
ng vo bng 0
Bng logic:

313

6.

Hm XOR:
S mch:

K hiu Logo:

M t:

Ng ra bng 1 khi cc ng vo c mc logic khc nhau.


Ng vo khng s dng ta c th dng k hiu x (x=0)

Bng logic:

7.

Hm NOT:
S mch:
- K hiu Logo:

- M t: Ng ra o cc ng vo
Bng logic:

314

BI 3: CC HM C BIT (SF: SPECIAL FUNCTION)


I.

Cc hm c bit trong LOGO.

315

REM: Dng chn c tnh


RETENTIVE: nh ON
NON RETETIVE: nh OFF
Nu c tnh Retentive c chn th khi c ngun li, trng thi tn hiu
trc khi mt ngun c t tr li vo ng ra.

316

II.

Cc hm c bit b nh thi gian trong LOGO

1.

On-Delay
K hiu LOGO

Kt ni
Input Trg
Parameter T
Output Q

M t
Ng vo khi ng thi gian delay
on
Khong thi gian delay on
Ng ra s ln 1 sau thi gian T k
t khi ng Trg ln 1

- Gin thi gian:

- M t:
Thi gian Ta c khi ng khi ng vo Trg chuyn t 0 ln 1 (Ta: thi
gian hin hnh ca LOGO).
Nu trng thi ng vo Trg duy tr mc 1 trong sut khong thi gian T
th ng ra Q c ln mc 1 cho n khi ng vo chuyn t 1 xung 0.
Nu trong khong thi gian T m ng vo chuyn t 1 xung 0 th ng ra
cng chuyn xung 0 v thi gian (timer) b t li (reset).
Nu tnh nng Retentive khng c t (set) th khi mt ngun ng ra Q
v thi gian Ta b t li (reset).
2.

Off - Delay:
K hiu LOGO

Kt ni
Input Trg
Input R
Parameter T
Output Q

M t
Cnh m ng vo khi ng thi
gian delay off T
Cnh ln ng vo ny s t li
(reset) thi gian delay v ng ra
Khong thi gian delay off
Ng ra c t (set) khi Trg ln 1
v c gi cho n ht thi gian T

- Gin thi gian:

317

- M t:
Ng ra Q c t (set) ngay lp tc khi Trg thay i t 0 ln 1.
Thi gian hin hnh Ta s c khi ng li khi Trg chuyn t 1
xung 0, ng ra Q vn cn c t (set). Ng ra Q s c t li (reset) v 0
khi Ta t ti thi gian T (Ta = T).
Thi gian Ta b t li (reset) khi c mt cnh ln chn Trg.
Khi ng vo R chuyn t 0 ln 1 th thi gian Ta v ng ra s b t li
(reset)
Nu tnh nng Retentive khng c chn th khi mt ngun ng ra Q v
thi gian Ta b reset.
3.

On off delay:

K hiu LOGO

Kt ni
Input Trg

M t
Cnh dng (0 ln 1) ca ng vo Trg s
khi ng thi gian delay-on TH
Cnh dng (0 ln 1) ca ng vo Trg s
khi ng thi gian delay-on TL

Parameter T TH: Thi gian delay-on


TL: Thi gian delay-off
Output Q Ng ra c t (set) khi thi gian TH
sau khi ng vo Trg ln v gi mc 1.
Ng ra c t li (reset) khi thi
gian TL sau khi ng vo Trg xung v
gi mc 0
- Gin thi gian:

- M t:
Thi gian TH c khi ng khi ng vo Trg chuyn t 0 ln
1. Nu ng Trg c gi cho n ht thi gian TH th ng ra Q s c t
(set) ln 1.
Thi gian TH s b t li (reset) khi ng vo Trg chuyn xung
mc 0 khi cha ht thi gian TH.
S chuyn mc t 1 xung 0 s khi ng TL. Nu ng Trg c
gi cho n ht thi gian TL th ng ra Q s c t li (reset) v 0.
318

Thi gian TL s b reset khi ng vo Trg chuyn ln mc 1 khi cha


ht thi gian TL.
Nu tnh nng retentive khng c chn th khi mt ngun ng ra Q v
thi gian TH, TL b reset.
4.

On-Delay c nh:
K hiu LOGO

Kt ni
Input Trg

M t
Cnh dng (0 ln 1) ca ng vo Trg s
khi ng thi gian delay-on T

Input R

Tn hiu 1 ng vo ny s reser thi gian


delay v ng ra

Parameter T
T: Thi gian delay-on
Output Q Ng ra c t (set) khi ht thi gian T
- Gin thi gian:

- M t:
Thi gian Ta c khi ng khi ng vo Trg chuyn t 0 ln 1.
Ng ra Q c set khi Ta = T. T lc ny s thay i gi tr Trg khng nh
hng n gi tr ca ng ra.
Ng ra v thi gian Ta b reset khi c tn hiu 1 chn R.
Nu tnh nng Retentive khng c chn th khi mt ngun ng ra
Q v thi gian Ta b reset.
5. Relay c xung tr hon:
K hiu LOGO

Kt ni
Input Trg

M t
Cnh dng (0 ln 1) ca ng vo Trg s
khi ng thi gian delay-on T

Parameter T
T: Thi gian delay
Output Q Ng ra c t (set) ngay khi Trg ln 1
Ng ra c reset khi thi gian T v
ng Trg vn cn mc 1.
- Gin thi gian:

319

- M t:
Ng vo Trg chuyn t 0 ln 1 s set ng ra Q v khi ng thi gian Ta.
Ng ra Q b reset khi Ta = T hoc ng vo Trg chuyn xung 0 m
cha ht thi gian T.
Nu tnh nng retentive khng c chn th khi mt ngun ng ra
Q v thi gian Ta b reset.

6. Relay thi giang ly cnh xung ln:


K hiu LOGO

Kt ni
Input Trg

M t
Cnh ln ng vo Trg khi ng chu
trnh (khi ng Tr)

Input R

Tn hiu 1 ng vo ny s reser thi gian


Ta v ng ra (out)

Parameter

TH: Thi gian ng ra l mc 1


TL: Thi gian ng ra mc 0
N: S xung vi chu k TH/TL
Ng ra c t li (reset) trong thi
gian TL v t (set) trong thi gian TH

Output Q

- Gin thi gian:

- M t:
S chuyn mc t 0 ln 1 ca ng vo Trg s khi ng thi gian
TL. Ht thi gian TL ng ra c set v khi ng thi gian TH. Ht thi gian TH
ng ra b reset v chu k TL/TH c khi ng li nu s xung t N>1.
Nu cha ht chu trnh m ng Trg c kch tr li th thi gian
Ta b reset v chu trnh c khi ng li.
Nu tnh nng Retentive khng c chn th khi mt ngun ng ra
Q v thi gian Ta b reset.

320

7.

B pht xung khng ng b:


K hiu LOGO

Kt ni

M t

Input En

Cho php chc nng ca hm

Input INV

Tn hiu 1 ng vo ny s chuyn i
trng thi xung pht ng ra

Parameter
Output Q

TH, TL: chu k pht xung


Ng ra c set/reset vi chu k TH/TL
(INV=0)
Ng ra c reset/set vi chu k TH/TL
(INV=1)

- Gin thi gian:


-

- M t:
Khi ng En = 1 th ng ra Q s pht xung vi chu k TH/TL.
Ng INV c th c s dng chuyn i trng thi ca xung c pht ra.
Tnh nng Retentive khng c chn th khi mt ngun ng ra Q v thi gian
Ta b reset.
III. Cc hm c bit b nh bin i xung trong LOGO
1.

B pht xung ngu nhin.

K hiu LOGO

Kt ni
Input En

Parameter T

Output Q

M t
Cnh dng (0 ln 1) ca ng vo En s
khi ng thi gian delay-on ca b pht
xung ngu nhin.
Cnh m (1 xung 0) ca ng vo En s
khi ng thi gian delay-off ca b
pht xung ngu nhin
Thi gian delay-on c set ngu nhin
gia 0s v TH.
Thi gian delay-off c set ngu nhin
gia 0s v TL.
Ng ra c set ngu nhin gia 0s v
TH v c reset ngu nhin gia 0s v
TL.
321

- Gin thi gian:

- M t:
Khi ng vo En chuyn t mc 0 ln 1 thi gian delay-on c set
ngu nhin gia 0s v TH. Ht thi gian delay-on ng ra s c set
Khi ng vo En chuyn t mc 1 xung 0 thi gian delay-off c set
ngu nhin gia 0s v TL. Ht thi gian delay-off ng ra s c reset.
Thi gian c reset nu tn hiu ng En chuyn ln 1 tr li khi cha
ht thi gian delay-off.
Thi gian c reset khi mt ngun.
2.

Cng tc dng cho n cu thang.

K hiu LOGO

Kt ni

M t

Input Trg

Cnh xung (1 xung 0) ca ng vo trg


s khi ng thi gian delay off cho cng
tc n cu thang.

Parameter

T: thi gian delay off


T!: thi gian kch cnh bo
T!L: xc nh di ca tn hiu cnh
bo

Output Q

Ng ra c reset khi ht thi gian delay


off T. trc khi ht thi gian T s c 1 tn
hiu cnh bo ng ra chuyn t 1 xung
0.

- Gin thi gian:

322

- M t:
Ng ra c set ngay khi ng Trg ln 1. Khi ng vo Trg chuyn xung 0
th thi gian delay off T c khi ng. Ht thi gian delay off, ng ra s c
reset.
Ta c th to mt tn hiu cnh bo trc khi ht thi gian delay off bng
cch nh gi tr cho thng s T! v T!L. Khi , trc khi ht thi gian delay
off, ng ra s xung 0 trong khong thi gian ( T-T! ; T-T!+T!L).
Cha ht thi gian T m ng Trg c kch tr li th thi gian delay off
s c khi ng li.
Nu tnh nng retentive khng c chn th khi mt ngun, ng ra Q v
thi gian Ta b reset.
3.

Cng tc a chc nng.

K hiu LOGO

Kt ni
Input Trg
Input R
Parameter

Output Q

M t
Tn hiu kch ng ny s khi ng
Tn hiu 1 ng vo ny s reset thi gian Ta
v ng out
T: thi gian delay off
TL: qui nh khong thi gian m ng vo
Trg phi c gi mc cao ng ra
lun mc 1.
T!: thi gian kch cnh bo
T!L: xc nh di ca tn hiu cnh bo
Tn hiu ng Trg s kch ng Q. tu thuc
vo chiu di ca tn hiu ng Trg m ng
ra c th c bt lin tc hay ch ko di
trong mt thi gian.

- Gin thi gian:

- M t:
Ng ra c set ngay khi ng Trg ln 1.
Nu ng Q=0, ng vo Trg =1 trong khong thi gian > TL, ng Q s
c bt ln lin tc. Ngc li, nu ng vo Trg=1 trong khong thi gian<TL
th ng Q c bt v thi gian delay off T s c khi ng. Ng Q s xung
0 khi ht thi gian delay off.
323

Ta c th to mt tn hiu cnh bo trc khi ht thi gian delay off bng


cch nh gi tr cho thng s T! v T!L. Khi , trc khi ht thi gian delay
off, ng ra s xung 0 trong khong thi gian ( T-T! ; T-T!+T!L).
Cha ht thi gian T m ng Trg c kch tr li th thi gian delay off
s c khi ng li.
Nu tnh nng retentive khng c chn th khi mt ngun, ng ra Q v
thi gian Ta b reset.
4.

B nh ngy gi trong tun.

K hiu LOGO

Kt ni

M t

Knh No1, No2, No3

Mi mt knh cho php ta t


thi gian On v Off ca cc
ngy trong tun.
Ng ra c set ln khi thi
gian trong ngy trng vi thi
gian t trong cc knh.

Output Q

- V d:
Thng s cc knh c t nh sau:

Khi , p ng ng ra nh sau:

- M t:
Mi hm nh ngy gi trong tun c 3 knh (No1, No2, No3). Trong mi
knh, ta c th nh thi gian On v Off ca cc ngy trong tun. Khi , vo
nhng khong thi gian nh trc, ng ra Q s c set ln.
Trong trng hp ngy gi nh dng cc knh trng nhau th trng thi
ng ra s c quyt nh theo knh c mc u tin cao ( No3>No2>No1).

324

5.

B nh ngy trong nm.

K hiu LOGO

Kt ni
Thng s No

M t
nh gian thi im On v Off
vo 2 ngy khc nhau trong nm.
Ng ra c set ln khi ngy
hin ti ri vo vng ngy nh
trc.

Output Q

- Gin thi gian:

- M t:
Thi im On v Off c t vo ngy no trong nm. Khi ngy hin
ti trong nm ri vo khong thi gian ny th ng ra s c set ln 1
6.

B m ln xung (Tin Li).

K hiu LOGO

Kt ni
Input R
Input Cnt

Input Dir

Parameter

Output Q

M t
Tn hiu mc 1 ng R s reset gi tr
m v
Cnh ln ca chn ny s thc hin chc
nng m.
S dng:
Ng vo I5/I6 c dng cho m tc
cao (ch i vi version LOGO! 12/24
RC/RCo v LOGO! 24/24o), ti a
2Khz. Cc ng vo cn li c dng
cho m tn s thp (trong vng 4Hz)
Chn chiu m:
0: m ln
1: m xung
On: ngng On ca ng ra Q (gi tr t
0...999999)
Off: ngng Off ca ng ra Q (gi tr
t 0...999999)
Ng ra c set hay reset ph thuc
vo gi tr m v cc ngng t

325

- Gin thi gian:

- M t:
Gi tr m s c tng hoc gim mt n v ng vi mi cnh ln ca
ng vo Cnt v ng vo Dir. Gi tr m c reset v 0 khi ng vo R ln 1.
ng ra c set hoc reset theo quy lut sau y:
Trng hp ngng On >= ngng Off
Q = 1, nu Cnt >= On
Q = 0, nu Cnt < Off.
Trng hp ngng On < ngng Off ng ra Q =1 khi : On < Cnt < Off
7.

B m gi.
K hiu LOGO

Kt ni
Input R

Input EN
Input Ral

P arameter

Output Q

M t
Mt cnh ln ca ng vo R (0 ln 1)
s reset ng ra Q v t gi tr MI vo
b m gi MN
Cho php b m gi hot ng
Mt cnh ln ca ng vo ny s reset
tt c. l:
Ng ra Q=0
B m gi OT=0
MN=MI
MI: gi tr t cho b m gi. Gi tr
t 00009999 gi.
OT: tng thi gian tri qua k t
ln sau cng Ral chuyn t 1 xung 0.
MN: s gi tri qua.
Ng ra c set khi MN=0
Ng ra c reset khi:
Q0:R+En, R=1 hoc Ral=1
hoc En=0
Q0:R, R=1 hoc Ral=1

326

Gin thi gian:

M t:
B m gi s hot ng khi En=1. Khi , thi gian c tnh v ng ra
c set ln khi MN=0.
Mt tn hiu reset chn R s reset ng ra Q v gn li gi tr t MI vo
MN (MN=MI), b m gi OT khng b nh hng.
Mt tn hiu chn Ral s reset ng ra Q v gn li gi tr t MI vo MN
(MN=MI), v reset c b m gi OT.
Ph thuc vo vic nh dng ng ra Q m n c th c reset vi mt
tn hiu mc 1 chn R hoc Ral (Q0:R+En), hay c reset vi tn hiu
mc 1 chn R hoc Ral hoc En mc thp (Q0:R+En)
Gii hn ca gi tr OT:
Gi tr ca b m gi OT khng b nh hng bi tn hiu reset chn
R. gi tr ny s c gi li khi En=0 v tip tc m khi En=1.
Gi tr ti a ca OT l 99999h. B m s ngng li khi t gi tr ny.
Khi lp trnh, ta c th khi to mt gi tr khc 0 cho OT. MN s t ng
c tnh lc START, ph thuc vo gi tr ca MI v OT.
8.

B pht xung ph thuc tn s.

K hiu LOGO

Kt ni
Input Fre

Parameter

Output Q

M t
Chc nng m c thc hin vi
cnh ln ng vo Fre.
S dng:
- I5/I6 c s dng m tc
cao (ch c LOGO!12/24
RC/RCo v LOGO!24/24o): max. 2 kHz.
- Cc ng vo khc c dng: cho
m tn s thp (4Hz)
On: ngng On. Gi tr: 00009999
Off: ngng Off. Gi tr: 00009999
G_T: thi gian m cng m s xung
ng vo. Gi tr: 00:05 s...99:99 s
Ng ra Q s set hoc reset ph thuc gi
tr ngng.
327

Gin thi gian:

M t:
S xung trong khong thi gian m cng G_T ( fa ) c o chn Fre.
Ng ra c set hoc reset theo quy lut sau y:
Trng
hp
ngng
On
>=
ngng
Off:
Q = 1, nu fa > On
Q = 0, nu fa <= Off.
Trng hp ngng On < ngng Off: Q = 1 nu On <= fa < Off.
9.

B pht xung ph thuc tn hiu Analog ng vo.


K hiu LOGO

Kt ni
Input Ax

M t
Ng vo analog.
S dng:
AI1AI8 AM1AM6
S block ca hm vi u ra analog
AQ1, AQ2
Parameter A: Gain. Tm gi tr: -10.00+10.00
B: Zero offset.
Tm gi tr: -10.000+10.000
On:
ngng
On.
Gi
tr:20000+20000
Off:
ngng
Off.
Gi
tr:
20000+20000
P: s thp phn ( 0,1,2,3)
Output Q Ng ra Q s set hoc reset ph thuc
gi tr ngng.
Gin thi gian:

328

M t:
Hm s theo di gi tr ng vo analog Ax v tnh ra gi tr thc ca Ax
nh sau:
(Ax*gain A) + offset B = gi tr thc ca Ax.
Ng ra c set hoc reset theo quy lut sau y:
Trng hp ngng On >= ngng Off:
Q = 1, nu Ax > On
Q = 0, nu Ax <= Off.
Trng hp ngng On < ngng Off: Q = 1 nu On <= Ax < Off.
10.

B pht xung ph thuc s khc bit Analog.

Ch thch: AI1...AI8: 0...10 V tng ng vi gi tr 01000


Gin thi gian:

329

- M t:
Hm s theo di gi tr ng vo analog Ax v tnh ra gi tr thc ca Ax
nh sau:
(Ax*gain A) + offset B = gi tr thc ca Ax.
Ng ra c set hoc reset theo quy lut sau y:
Trng hp ngng On >= ngng Off:
Q = 1, nu Ax > On
Q = 0, nu Ax <= Off.
Trng hp ngng On < ngng Off: Q = 1 nu On <= Ax < Off.
Ch thch: Off = On + D
11.

B so snh tn hiu analog.

K hiu LOGO

Kt ni
Input Ax v Ay

Parameter

Output Q

M t
Ng vo analog
S dng: AI1AI8
AM1A M6
S block ca hm vi u ra analog
AQ1, AQ2
A: Gain. Tm gi tr: -10.00+10.00
B: Zero offset.
Tm gi tr: -10.000+10.000
On:
ngng
On.
Gi
tr:20000+20000
Off: ngng Off. Gi tr: 20000+20000
P: s thp phn ( 0,1,2,3)
Ng ra Q s set hoc reset
ph thuc gi tr ngng v s khc
nhau gia Ax v Ay

Ch thch: AI1...AI8: 0...10 V tng ng vi gi tr 01000


Gin thi gian:

330

M t:
Gi tr thc s ca Ax v Ay c tnh nh sau:
(Ax*gain A) + offset B = gi tr thc ca Ax.
(Ay*gain A) + offset B = gi tr thc ca Ay.
Gi tr D = Ax - Ay
Gi tr ng ra c tnh theo quy lut sau:
Trng hp ngng On >= ngng Off:
Q = 1, nu D > On
Q = 0, nu D <= Off.
Trng hp ngng On < ngng Off: Q = 1 nu On <= D < Off
12.

B gim st tn hiu analo.

K hiu LOGO

Kt ni
Input En

M t
Cnh ln ng ny s lu gi tr analog c t
Ax vo b nh v bt u gim st tm analog
Input Ax
Ng vo analog.
S dng: AI1AI8
AM1AM6
S block ca hm vi u ra analog
AQ1, AQ2
Parameter
A: Gain. Tm gi tr: -10.00+10.00
B: Zero offset.
Tm gi tr: -10.000+10.000 : s sai bit cho
ngng Aen on/off
Gi tr:-20000+20000
P: s thp phn ( 0,1,2,3)
Output Q Ng ra Q s set hoc reset ph thuc gi tr
analog lu tr v offset.

Ch thch: AI1...AI8: 0...10 V tng ng vi gi tr 01000


Gin thi gian:

331

M t:
Cnh ln ng En s lu gi tr analog c t Ax vo Aen. Gi tr thc
ca Ax v Aen c tnh theo quy lut sau:
(Ax*gain A) + offset B = gi tr thc ca Ax.
(Ax*gain A) + offset B = gi tr thc ca Ax lc En chuyn t 0 ln 1.
Ng ra Q c set khi En=1 v gi tr thc s ca Ax vt khi tm Ng ra Q
c reset khi En=0 hoc gi tr thc s ca Ax nm trong tm
13. B khuch i analog.
K hiu LOGO

Kt ni
Input Ax

Parameter

Output AQ

M t
Ng vo analog.
S dng:
AI1AI8
AM1AM6
S block ca hm vi u ra
analog
AQ1, AQ2
A: Gain.
Tm gi tr: -10.00+10.00
B: Zero offset
Tm gi tr: -10.000+10.000
P: s thp phn (0,1,2,3)
Ng ra analog
Tm gi tr: -32768...+32767

Ch thch: AI1...AI8: 0...10 V tng ng vi gi tr 01000


- M t:
Hm c chc nng c tn hiu Ax v tnh ton ng ra AQ theo biu thc
sau:
(Ax _ gain) + offset = AQ
Analog output:
Ta c th dng ng ra AQ ca hm gn cho mt ng analog output
thc s. Tuy nhin, cn ch l gi tr x l ch nm trong khong 01000
14.

B cht Relay.

K hiu LOGO

Kt ni
Input S
Input R
Output Q

M t
Tn hiu mc 1 ng ny s set ng ra
Tn hiu mc 1 ng ny s reset ng ra Q
Ng ra Q s set vi tn hiu S v c
reset vi tn hiu R.

332

Gin thi gian:

Trong trng hp c hai ng S v R u bng 1 th ng ra s c reset.


(reset c mc u tin cao).
15.

B relay xung.

K hiu LOGO

Kt ni
Input Trg
Input S
Input R
Parameter
Output Q

M t
Mi cnh ln ng ny s i trng thi ng ra.
Tn hiu mc 1 ng ny s set ng ra Q
Tn hiu mc 1 ng ny s reset ng ra Q
RS (R mc u tin cao ) hoc SR (S mc u tin
cao)
Ng ra

Gin thi gian:

M t:
Ng ra Q s i trng thi ng vi mi cnh ln ca ng Trg. Ng ra
khng chu nh hng ca ng Trg khi S hoc R bng 1. Ng ra c set vi tn
hiu S v reset vi tn hiu R.
Trng hp S v R cng bng 1 th ng ra c quyt nh tu thuc vo
trng thi u tin mc cao gia ng S v R.

333

16.

B to thng bo.

K hiu LOGO

Kt ni
Input En

M t
Cnh ln ng ny s bt u xut 1
chui k t thng bo.
Input P
P: mc u tin ca chui k t
Tm gi tr: 030
Parameter Text: nhp chui k t cn thng bo
Par: thng s hoc gi tr cn hin th
Time: hin th thi gian trong ngy
Date:
hin
th
ngy
hin
ti
EnTime: hin th thi im m En
chuyn t 0 ln 1
EnDate: hin th ngy m En chuyn t 0
ln 1.
Output Q Ng ra Q cn c set khi chui thng bo
cn hin th.

Gii hn:
Ch c th s dng ti a 10 hm to chui thng bo.
M t:
Vi mt cnh ln ca ng En, chui d liu c nh dng (Par, text,
time-ofday, date) s c xut ra mn hnh hin th.
Khi Quit=0ff: chui thng bo s mt khi En chuyn t 1 xung 0.
Khi Quit=0n: chui thng bo s khng mt khi En chuyn t 1 xung 0.
Khi nhiu chui thng bo c kch, chui thng bo no c mc u tin cao
s c hin th (thp nht l 0 v cao nht l 30). iu ny c ngha l mt
chui thng bo s c hin th khi n c mc u tin cao hn chui thng bo
hin thi.
Ta c th cho hin th cc chui thng bo khc bng cch tao tc n nt
ln hoc n xung

334

17.

B kho mm.

K hiu LOGO

Kt ni
Input En

Parameter

Output Q

M t
Ng ra c set ln 1 vi cnh ln chn En,
v Switch=1 c nh dng trong phn
Parameter
On: Chn chc nng cho hm l Ng ra Q
c bt ln 1 nu ng En=1 v thng s
Switch=On Momentary pushbutton hay
On/Off.
Start: c 2 gi tr:
On: Q c set trong chu k qut u tin sau
khi
chy
chng
trnh.
Off: Q c reset trong chu k qut u tin
sau
khi
chy
chng
trnh.
Gi tr ca thng s Start ch c tc dng nu
chc nng Retentive c b qua.
Switch: c 2 gi tr On hoc Off
Ng ra c set ln 1 vi cnh ln chn En,
v Switch=1 c nh dng trong phn
Parameter

Gin thi gian:


M t:

Khi hm c nh chc nng l On/ Off th ng ra Q c bt ln 1 nu


ng En=1 v thng s Switch=On. Ngc li, khi hm c nh chc nng
Momentary pushbutton th ng Q ch ln 1 trong chu k u k t lc
Switch=On (En=1).
Ng ra Q c reset v 0 trong cc trng hp sau:
- En chuyn t 1 xung 0.
- Chc nng ca hm l Momentary pushbutton v 1 chu k sau khi
Switch=On.
- Khi Switch=Off.
Ci t thng s cho b kho mm:
Chn hm Softkey.
335

Vo phn thng s Par, mn hnh sau s xut hin:


Trng thi khng c Retentive
Chn chc nng Momentary pushbutton
Q c set chu k qut u sau khi chy
chng trnh
Con tr v tr On, thay i gi tr ny bng cch nhn vo nt ln hoc
xung
Trng thi khng c Retentive
Chn chc nng On/ Off
Q c set chu k qut u sau khi
chy chng trnh

Thay i trng thi Start t On sang Off bng cch a con tr vo Start
v nhn nt ln hoc xung.

Trng
thi
khng
c
Retentive
Chn
chc
nng
On/
Off
Q c reset chu k qut u sau khi chy
chng trnh
Khi chy chng trnh, bt hoc tt Switch, ta vo ch chnh sa v
nhn nt ln hoc xung thay i gi tr ca Switch.

336

18.

Thanh ghi dch bit.


K hiu LOGO

Kt ni
Input In

M t
Gi tr bit s c chn vo thanh ghi
dch khi c cnh ln Trg
Input Trg
Mi cnh ln ng vo ny s dch
Thanh ghi dch S1S8 s c dch
theo quy lut sau: thanh ghi dch 1 bit
theo chiu c quy nh Dir Dir = 0:
S1 >> S8 Dir = 1: S8 >>S1
Parameter Bit no trong thanh ghi dch t S1S8
s c xut ra ng Q.
Output Q Ng ra

Gin thi gian:

- M t:
Mi cnh ln ca ng vo Trg, gi tr In s c c v chn vo thanh
ghi dch theo chiu c quy nh ng Dir.

337

19.

B chn knh analog.


K hiu LOGO

Kt ni
Input En

M t
Tn hiu mc 1 ng ny s cho
phpchc nng chn knh.
Parameter
M chn knh: V1V4: 4 knh analog
Input S1 v S1 = 0 v S2 = 0: chn knh
S2
S1 = 0 v S2 = 1: chn knh 2
S1 = 1 v S2 = 0: chn knh 3
S1 = 1 v S2 = 1: chn knh 4
Output AQ
Ng ra analog

Gin thi gian:

Ng ra analog:
Ta c th kt ni ng ra AQ ca hm chn knh vo mt ng ra analog
thc s. Tuy nhin, cn ch l gi tr ca ng ra analog thc s ch nm trong
khong 01000 (tng ng 010V). Ta c th dng b khuch i analog
chuyn sang tm gi tr hp l trc khi a ra ng ra analog thc s.

338

20.

Hm dc.

K hiu LOGO

Kt ni
Input En

Input Sel
Input St
Parameter

Output AQ#

M t
Cnh ln chn ny s bt u cho xut gi
tr analog ra ng AQ#.
Mt cnh xung ng ny s xut ngay lp
tc gi tr Offset (B) ra ng AQ# v xut gi
tr 0 ra AQ
Sel = 0: mc 1 c khi ng
Sel = 1: mc 2 c khi ng
V1V4: 4 knh analog
Tm gi tr: -32768...+32767
Level1 v Level2: cc mc analog
Gi tr t -10,000 ti +20,000
MaxL: gi tr ti a m khng c vt qu
trong bt k tnh hung no
StSp: Start/Stop offset
Rate: xut tng gi tr analog
A: Gain. Tm gi tr : 0 n 10.00
B: Offset. Tm gi tr : -10,000 n
+10,000
p: s thp phn. Gi tr : 0, 1, 2, 3
AQ# l gi tr analog s cp.
Tm gi tr: -32767...+32767

Gin thi gian:

M t:
Khi input En c set, gi tr StSp + B c xut ra AQ# trong 100ms.
Sau , tu thuc vo gi tr mc c t trong Sel m gi tr analog s tng
tuyn tnh n mc 1 hoc mc 2.
Nu St c set th hm s gim gi tr AQ# n StSp + B. Sau , gi
gi tr ny trong 100ms ri gim ngay lp tc n gi tr offset (B). Lc ny, ng
ra gi tr analog xut ra ng AQ# ch c th c tng tr li khi ng St v ng
En c reset 1 ln.
Nu ng Sel thay i gi tr th gi tr analog s chuyn sang mc mi.
Nu ng En chuyn t 1 xung 0 th ngay lp tc gi tr offset (B) s c
a ra ng AQ#.
Ng ra thc s AQ c tnh theo cng thc: AQ = (AQ# - Offset) /
Gain.
339

21.

Bo iu khin PI.

K hiu LOGO

Kt ni
Input A/M

Input R
Input PV
Parameter

Output AQ

M t
Ci t ch iu khin
1: ch t ng (automatic)
0: ch bng tay (manual)
Khi ng ny c set th ng ra Q s c
reset v ng vo A/M b b qua.
Gi tr analog hi tip
SP: gi tr t
Gi tr t -10,000 ti +20,000
KC: Gain. Tm gi tr:00.00 to 99.99
TI: Integral time. Tm gi tr: 00:01 ti
99:59 m
Dir: hng hot ng ca b iu khin. Gi
tr: + hoc
Mq: gi tr t AQ trong ch iu khin
bng tay. . Tm gi tr:0 ti 1000 Min: gi tr
nh nht ca PV. Tm gi tr: -10,000 ti
+20,000
Max: gi tr ln nht ca PV. Tm gi tr: 10,000 ti +20,000
A: Gain. Tm gi tr : -10.00 n 10.00 B:
Offset. Tm gi tr : -10,000 n
+10,000
p: s thp phn. Gi tr : 0, 1, 2, 3
Ng ra analog c th c lin kt vi ng ra
thc AQ1 hoc AQ2 Tm gi tr: 01000

Gin thi gian:

340

M t:
Khi gi tr ca ng A/M c t bng 0, gi tr Mq c gn cho ng ra
AQ.
Khi gi tr ca ng A/M c set bng 1, chng trnh t ng s c
khi ng. Gi tr thc s ca PV c tnh theo biu thc sau:
Gi tr thc PV = (PV _ gain) + offset
Nu PV=SP, hm s khng thay i gi tr AQ.
Khi Dir = +:
Nu PV > SP: hm s gim gi tr AQ
Nu PV < SP: hm s tng gi tr AQ
Khi Dir = -:
Nu PV < SP: hm s gim gi tr AQ
Nu PV > SP: hm s tng gi tr AQ
Khi c s khc bit gia PV v SP, hm s iu khin sao cho PV ph
hp vi SP. Tc thay i ca ng AQ ph thuc vo thng s KC v KI.
Nu PV vt qu gi tr Max th PV s c gn gi tr Max. Ngc li,
nu PV nh hn gi tr Min th PV s c gn gi tr Min
Khi ng R ln 1 th ng ra AQ s c reset v gi tr ng vo A/M s b
b qua.

341

BI 4: IU KHIN LP TRNH VI LOGO


I.

CC BC LP TRNH C BN TRONG LOGO:

Logo c 2 cch lp trnh:


Lp trnh nh phn mm chuyn bit cch thc ny ging nh tt c cc
loi PLC. Hn hn th Logo cn c kh nng m phng vi giao din n gin
gn gi vi ngi s dng v cho php thc hin bi ton iu khin lp trnh
phc tp.
Lp trnh trc tip thng qua cc phm chc nng ngay trn Logo. Phng
php ny ph hp vi cc bi tp khng qu phc tp. Tuy nhin trong 1 s
trng hp n li l cn thit v d nh thiu phn mm, my tnh, hay kh kt
ni my tnh hoc kh tho ri khi v tr lp t
1.

Cc thnh phn c bn cn xc nh
Co: Cc chc nng c bn
GF: Cc hm c bn
SF: Cc hm c bit
BN: Cc khi chc nng

2.

Hng dn thao tc lp trnh

y l ngn ng lp trnh t cui ln v l lp trnh Bool ln nhn 2 trng


thi 0 v 1.
y l ngn ng lp trnh c nh nn trong b nh ch lu c 1 chng
trnh. Mun lp trnh c chng trnh mi th bt buc phi xa chng trnh
c.
Bc 1:
Xc nh yu cu bi ton
Xc nh quy tc kt ni
Xc nh cc khi chc nng c s dng trong bi
Bc 2:
V s mch in
V s u ni dy ng thi gn a ch cho mch.
V lc lp trnh v s khi
Bc 3: S dng Logo lp trnh
- Vo ra mi trng lp trnh:
Xc nh cc ng u vo v ra
Bt cng tc cp ngun mn hnh s sng ln.
T mn hnh ban u chn PROGRAM -> OK -> EDIT -> OK -> EDIT
PROGRAM -> OK: Xut hin khu vc lp trnh (Ng ra Q1 s xut hin) -> OK
con tr s nhy v C0 (Bin u vo), n y:
Nu n OK s cho php dng cc phm tri phi (34) ta s chn tn hiu
u vo l I, Q, M, C, S, X, sau dng cc phm ln xung (56) s cho
php chn cc ch s I, Q, M, (nh l u vo I c th chn t I1I24) sau
khi chn xong n OK chp nhn gi tr va t.
Nu n ngay cc phm ln xung (56) s cho php chn u vo t cc
hm Logic GF, cc chc nng c bit SF ->OK tip dng cc phm ln
xung (56) chn cc cng logic trong GF hay cc TIMER, COUNTER
342

trong SF. Sau n OK. Khi mn hnh cho ta la chn cc thng s hay cc
tn hiu ng vo ca cc khi hm ny.
V d: Nu khi hm u vo ca Q1 l cng AND ca I1,I2 ta thao tc
nh sau:
T Q1 n OK c bin C0 ta chuyn sn GF nh cc phm ln
xung (56) - > OK sau dng tip cc phm ln xung (56) chn cng
AND (&) -> OK con tr s nhp nhy ti In 1 -> OK -> xut hin Co ti y cc
thao tc chn ging nh 2 thao tc trn sau n OK xc nhn gi tr c con
tr t ng nhy tip n cc ng vo lin tip pha di. Ti y ta lm tng
t nh ng vo In1.
Ch thch: Trong LOGO 24RC cng AND c 4 u vo ngha l cng no
khng dng n th c trng.
Sau khi lp trnh xong, mun th chng trnh: n ESC lin tip cho ti
khi xut hin mn hnh khi ng ban u. Ta chn START -> OK
Mun dng chng trnh: n ESC -> STOP -> YES -> OK mn hnh v
ban u.
- t thi gian thc:
Thi gian thc rt cn thit cho LOGO khi bn mun thc hin cc
chng trnh lin quan n thi gian thc trong ngy.
V d: Chng trnh c n 12 gi m th n nhp nhy, chung ku ->
ch sa. Tin trnh c thc hin nh sau:
t thi gian khi cha chy chng trnh: T mn hnh ban u
chn CLOCK -> OK -> SET CLOCK dng cc phm tri phi (34) chuyn
con tr n v tr cn sa i v dng cc phm ln xung (56) thay i cc
gi tr.
SU 00:00 t ngy SU (Sunday) 00:00 l gi pht trong ngy.
YYYY MM DD: Nm Thng Ngy
t thi gian khi chng trnh ang chy: t mn hnh ang chy n ESC
chn SET CLOCK sau thao tc tng t.
- Xa chng trnh:
Thao tc ny cn thit khi mun lp trnh chng trnh mi. Nu khng
xa th chng trnh c trc c th nh hng n chng trnh bn mun
lp. Thao tc thc hin nh sau:
T PROGRAM -> OK -> CLEAR PROGRAM -> OK -> YES -> OK
- t tn chng trnh:
Vic t tn chng trnh hon ton khng nh hng n chng trnh
mun lp. t tn cng khng nht thit phi trc hay sau khi lp trnh v n
c hay khng cng c.
Thao tc thc hin nh sau:
T mn hnh chnh chn: PROGRAM -> OK -> EDIT -> OK -> EDIT
NAME -> OK sau cho mn hnh dng cc phm ln xung v tri phi
(5634) t tn.
- t mt khu cho chng trnh:
Vic t mt khu cho ngha khi bn mun bo v xm nhp chng
trnh ca bn lu trong LOGO. Tuy nhin Logo khng cho php cc bn thc
hin bt k thao tc no nu bn qun mt khu. V vy bn phi cn nhc trc
khi t mt khu cho chng trnh.
343

* u ni dy:
Ngun cp u vo cng Com1 (i vi kha chuyn mch), cng Com2
(i vi nt bm).
u ra Q: 1 u ni vi ngun dng
1 u ni vi n Led ch th u ra
n Led ni t.
II.

BI TP NG DNG:

1.

Khi ng t n

Yu cu:
Khi n nt M (I1) th ng c lm vic v t duy tr
Khi n nt dng D (I1) th ng c dng lm vic
Khi ang lm vic nu b qu ti th tc ng vo rle nhit RN (I3)
dn n ng c dng lm vic.
Quy tc kt ni:
Tn hiu u vo
Cc cng logic
Tn hiu u ra
M
I1
NOT
B0.1
K
Q1
D
I2
OR
B0.2
RN
I3
AND
B0.3
S mch iu khin:

S Bool:

344

S khi:
+ Dng cng and v not:

+ Dng cng NAND:

+ Dng FF RS:

345

2.

Ti cy trong nh knh.

Yu cu:
LOGO! c th s dng cho vic iu khin ti cy trong nh knh. C 3
loi cy khc nhau. Loi 1 sng trong nc, cn phi duy tr mc nc trong 1
khong c nh. Loi 2 cn c ti nc trong khong 3 pht vo mi bui
sng v ti. Loi 3 ti vo mi ti cch nhau 2 ngy.
Gii php:
i vi loi 1: ta dng 2 ng I1 v I2 nhn bit mc cao v thp ca
mc nc.
i vi loi 2: ta dng hm nh ngy gi trong tun ci t thi
gian (cho tt c cc ngy) nh sau:
Bui sng: ON 6:00 OFF 6:03 Bui ti : ON 20:00 OFF 20:03
i vi loi 3: ta cng dng I3 cm nhn bui ti (dng cm bin nh
sng).
Cc bin dng trong LOGO nh sau:
I1: cm bin mc cao ca mc nc ( cng tc thng ng) I2: cm bin
mc thp ca mc nc ( cng tc thng h) I3: cm bin nh sng (cng tc
thng h)
I4: switch chn ch t ng.
Q1: iu khin van selenoid cho mc nc cho loi 1 Q2: iu khin van
selenoid cho vic ti nc loi 2 Q3: iu khin van selenoid cho vic ti
nc loi 3
Chng trnh:

346

3.

iu khin bng ti.

Yu cu:
3 bng ti c iu khin bi LOGO!. H thng lin kt vi bng
ti s cung cp hng cho bng ti mi 30s. Mi kin hng di chuyn trn bng
ti mt ht 1 pht.
H thng lin kt vi bng ti c th cung cp hng chm hn 30s. H
thng bng ti s t ng chy hoc dng ph thuc vo trn c hng hay
khng.
Gii php:
H thng hot ng thng qua nt ON (I2) v dng thng qua nt OFF
(I2). Ba bng ti c iu khin thng qua Q1, Q2, Q3. Ba proximity c
dng kim tra hng trn ba bng ti (I4, I5, I6). Mt proximity th t c
t u bng ti th nht kim tra hng vo.
Khi nt ON c nhn v c hng trn bng ti th bng ti hot ng.
Hng s di chuyn tun t t bng ti 1 sang bng ti 2 ri n bng ti 3. Nu
sau hn 1 pht m u vo bng ti 1 khng c hng th cc bng ti s dng
theo th t 123.
Nu sau 100 giy m u vo vn khng c hng th mt thi gian ch 15
pht c khi ng. Sau khong thi gian ny th mt n cnh bo (c iu
khin bi Q4) s c bt.
Chng trnh:

Cc bin dng trong chng trnh LOGO!:


I1: nt OFF (thng h)
I2: nt ON (thng h)
347

I3: cm bin hng u vo bng ti 1 (thng h) I4: cm bin hng trn


bng ti 1 (thng h) I5: cm bin hng trn bng ti 2 (thng h) I6: cm
bin hng trn bng ti 3 (thng h) Q1: iu khin bng ti 1.
Q2: iu khin bng ti 2.
Q3: iu khin bng ti 3.
Q4: iu khin n bo.
4.

iu khin n trong ca hng.


Yu cu:
Trong ca hng c 4 nhm n sau:
Nhm 1: sng lin tc trong thi gian ca hng m ca.
Nhm 2: ch sng vo nhng bui ti sau khi cm bin nh snh tc ng

(I1).
Nhm 3: sng nh trong lc cc nhm n khc tt v cng tt switch (I2) c
bt On.
Nhm 4: sng khi s chuyn ng c pht hin chn I4.
Ngoi ra, khi cng tt test switch c bt On (I3) th tt c cc nhm n
u sng trong vng 1 pht kim tra h thng n sau khi lp t.

Cc bin dng trong chng trnh :


I1: Cm bin nh sng (thng h)
I2: On Switch (thng h)
I3: Test switch (thng h)
348

I4: Cm bin chuyn ng (thng h).


Q1: n nhm 1
Q2: n nhm 2
Q3: n nhm 3
Q4: n nhm 4

5.

Chung bo gi trong trng hc.

Yu cu:
Chung bo gi hot ng ba ln trong ngy: u bui hc, gia bui hc
v cui bui hc. Mi ln hot ng khong 2 giy.
Chung ch hot ng t th hai n th su trong tun. Ng Q1 c
dng iu khin chung.
Chng trnh:

6.

Gim st xe trong bi xe.

Yu cu:
S lng khong trng trong bi xe c gii hn. Khi bi xe y th
n bo s chuyn t xanh sang khng cho cc xe khc vo.
Ngay khi c khong trng trong bi th n s c chuyn tr li xanh cho xe
khc vo.
Gii php:
S lng xe vo v ra khi bi s c m thng qua cm bin I1 v I2
t ti barrier. Khi c mt xe vo bi (c xc nh bi cm bin I1), tng s
xe s c cng thm 1. Khi c mt xe ra khi bi (c xc nh bi cm bin
I2), tng s xe s c tr bt 1.
349

Khi b m t n gi tr tng ng vi bi xe y th n s c
chuyn t xanh sang (c iu khin bi ng ra Q1).
Gi tr b m v ng ra Q1 s c reset khi khi nt nhn reset (I3) c
nhn.

7.

iu khin hot ng lun phin gia 3 ti.

Yu cu:
LOGO! iu khin 3 ti tng t. Ti mi thi im, 2 trong 3 ti phi
hot ng. m bo bn cho cc ti, chng phi c hot ng lun
phin v u nhau.
Th t hot ng gia cc ti nh sau: ti 1-2 ti 2-3 ti 3-1 ti
1-2
Mi ti u c mt ngt. Khi c li trn ti th ti s c ngt ra v 2 ti
cn li s hot ng.
Khi ht li th chu trnh hot ng lun phin gia cc ti s c kch
hot tr li.
Cc bin s dng trong chng trnh:
I1: bo ngt ti 1 (thng h).
I2: bo ngt ti 2 (thng h).
I3: bo ngt ti 3 (thng h).
I4: nt xc nhn ht li trn ti (thng h).
Q1: ti 1.
Q2: ti 2.
Q3: ti 3.
350

351

8.

iu khin tc b thng gi.

Yu cu:
LOGO! c s dng iu khin 4 mc tc ca b thng gi.
S chuyn mc tc c thc hin thng qua 2 nt tng (I1) v gim (I2).
Khi nhn nt tng ln u tin th b thng gi hot ng mc 1. Nhn
nt tng ln na th b thng gi chy mc tc th hai. Vic iu khin
b thng gi tng t cho nt gim. Khi b thng gi ang chy mc 1 m
nhn nt gim th b thng gi ngng hot ng.
Trong trng hp ngi s dng nhn nt tng hoc gim 2 ln tr ln th
s mc s tng hoc gim theo s ln nhn. kim tra trng hp ny th khi
c tn hiu tng hoc gim th ta cho delay 2 giy ch xem c tn hiu k tip
hay khng.
Cc bin s dng trong chng trnh:
I1: tng mc tc .
I2: gim mc tc .
Q1: mc tc 1.
Q2: mc tc 2.
Q3: mc tc 3.
Q4: mc tc 4.

352

9.

iu khin l nung Gas.

Yu cu:
C 4 l nung, mi l nung c 2 mc nhit c iu khin bi cc ng
t Q1 n Q8. Nu nhit nh hn 700C, mc u tin ca l nung 1 s c
bt. Nm pht sau, mc th hai ca l nung 1 s c bt. Nu sau 5 pht m
nhit vn cha t n th mc k tip c bt. Chu trnh c tip tc nh
vy cho n khi nhit t c 80 . Khi , cc ng ra s c tt. Khi
nhit xung di 700C th chu trnh li c bt u vi vic bt cc mc
sau mi 5 pht.
Cc bin s dng trong chng trnh:
Q1: mc 1, l nung 1.
Q2: mc 2, l nung 1.
Q3: mc 1, l nung 2.
Q4: mc 2, l nung 2.
Q5: mc 1, l nung 3.
Q6: mc 2, l nung 3.
Q7: mc 1, l nung 4.
Q8: mc 2, l nung 4.
I1: do b iu khin nhit tc ng. Bit ny On khi nhit trong khong
700C - 800C.

353

354

10. iu khin Gas dit vi trng.


Yu cu:
LOGO! c s dng iu khin Gas tiu dit vi trng trong bung p
trng. Trong mt bung p, gas phi c t trong 1 khong thi gian nh
trc, sau , bung p c lm sch bi 1 qut hi nc.
Gii php:
Chu trnh c bt u khi nhn I1. Vic t nng bng Gas c thc
hin thng qua ng Q1. Sau khi nhn I1, Gas c t ngay lp tc. Chu k t
Gas ph thuc vo kch thc bung p. Kh Gas phi c t trong 1 khong
thi gian no trong vng 10 giy m bo tiu dit ht vi trng. Sau 10
giy k tip, qut hi nc c bt lm thng thong bung p. Qut cng
hot ng trong khong 10 giy th tt. Qut c iu khin thng qua ng Q2.
Khi chu trnh hot ng th mt n bo c bt ngi s dng bit
chu trnh ang din ra. n bo c iu khin bi ng Q3.
Chu trnh c th dng bt k lc no nu ta nhn v gi nt I1 trong thi
gian 3s.
Qut c th c bt On hoc Off bt k lc no ph thuc vo vic nhn
nt I2.
Cc bin s dng trong LOGO!: I1: On/Off chu trnh.
I2: On/Off qut.
Q1: iu khin Gas.
Q2: iu khin qut.
Q3: n bo.

355

Chng trnh:

356

PH LC
Cc ch vit tt

AI

Analog input

LAD

Ladder Diagram(STEP7)

AQ
AS

Analog output
Automation System

MCR
MPI

Master Control Relay


Multipoint Interface,standard
interface of the SIMATIC S7

ASI

Actuator-sensor Interface

OB

Organization Block

AWG

American Wire Gauge

OP

Operator Panel

BIE
CFC

Binary Result
Continuous Control Chart

PG
PLC

Programming device
Programmable Logic Controller

CP

Communication Processor

PP

Push button Panel

CPU

Central Processor Unit

PPI

Point-to-point Interface

DB

Data Block

PS

Power Supply

DI

Digital Input

RAM

Random Access Memory

DP
DQ

Decentralized Periperals
Digital output

RLO
SCL

Result of Logic Operation


Structured Control Language

DS

Data set

SDB

System Data Block

EPROM Erasable Programmable Read SFB

System Function Block

Only Memory

SFC

System Function Call

FB
FBD

Function Block
Function Block Diagram

SM
SSl

Signal Module
System Status List

FC

Function Call

STL

Statement List

FEPROM Flash Erasable Programmable TD

FM
IM

Text Display

Read only memory(electrically

TP

erasable fixed value memory) UDT

User Data Type

Function Module
Interface Module

Variable table( STEP7)

VAT

Touch Panel

357

TI LIU THAM KHO

[1] Trung tm Vit c


Ti liu thc hnh PLC-S7 200
Trng H S phm K thut TPHCM.
[2] Trn Th San (bin dch)
Hng dn thit k mch v lp trnh PLC
NXB Nng nm 2005.
[3] Tng Vn Mi (bin dch)
iu khin logic lp trnh PLC
NXB Thng k 2006.
[4] Trung Tm Vit - c.
Ti liu ging dy PLC
Trng H S phm K thut TPHCM.
[5] Nguyn Don Phc, Phan Xun Minh.
T ng ha vi Simatic S7 200
NXB Khoa hc k thut nm 2004
[6] Nguyn Don Phc, Phan Xun Minh, V Vn H
T ng ha vi Simatic S7 300
NXB Khoa hc k thut nm 2004
[7] B iu khin lp trnh c OMRON SYSMAC CPM2A
Cao ng Cng Nghip H Ni.
[8] Tranh treo tng.
[9] Cc tp ch, ti liu k thut c lin quan.

358

You might also like