You are on page 1of 36
Canfruro W/CoNCEPTOS BYTRODUCTORIOS ‘convertidor analégico- unidad central de digital (ADC) procesamiento (CPU) convertidor digital- representacién digital unidad de control analégico (DAC) sistema analégico unidad de entrada diagrama de tiempos sistema binario vunidad de memoria memoria sistema decimal unidad de salida PROBLEMAS ‘SECCION 1-2 1.1." gCudles de las siguientes cantidades son analégicas y cudles digitales? (a) Elmimero de étomos en una muestra de material. (b) La altitud de una aeronave. (©) Lapresién en la lanta de una bicicleta. (d) La corriente que pasa a través de una bocina. (©) La configuracién del temporizador en un horno de microondas. 12. {Cudles de las siguientes cantidades son analégicas y cuiles digitales? (@) La anchura de una pieza de madera. (b) La cantidad de tiempo transcurrido antes de que se apague el timbre. (©) Lahora del dia que se muestra en un reloj de cuarzo. (d) La altitud por encima del nivel del mar, si se mide desde una escalera. (@) Laaltitud por encima del nivel del mar, si se mide desde una rampa. SECCION 1-3 13.* Convierta los siguientes nimeros binarios a sus valores decimales equiva- lentes. (@ 11001, (®) 10011001, (©) 10011011001 10110, 14, Convierta los siguientes mémeros binarios a decimal. (@) 10011, (®) 100.0101 (©) 10011100100.10010 * Muestre la secuencia de conteo binario de 000 a 111, usando tres bits. 1.6. Muestre la secuencia de conteo binario de 000000 a 111111, usando seis bits. 1.7* ¢Cudl es el maximo mimero que podemos contar si utilizamos 10 bits? 18. {Cudl es el maximo mimero que podemos eontar si utilizamos 14 bits? 1.9.* ¢Cudntos bits se necesitan para contar hasta 511? 1.10. {Cudntos bits se necesitan para contar hasta 63? ‘SECCION 1-4 1-11.* Dibuje el diagrama de tiempos para una sefial digital que alterne en forma ‘ontinua entre 0.2 V (0 binario) durante 2 ms y 4.4 V (1 binario) durante 4ms. 1.12. Dibuje el diagrama de tiempos para una sefial que alterne entre 03 V (0 binario) durante 5 ms y 3.9V (1 binario) durante 2 ms. * Encontrard as respuosias a los problemas marcados con un astrisco a fia do bro. ‘PROBLEMAS ry TERMINOS IMPORTANTES bit de paridad Cédigo estindar nibble byte estadounidense para palabra ‘codigos alfanuméricos ‘1 intercambio de sistema numérico c6digo binario directo informacién (ASCID) hexadecimal ‘c6digo decimal codilicado _cédigo Gray tamaiio de palabra ‘en binario (BCD) métode de paridad PROBLEMAS ‘SECCIONES 24 ¥ 2.2 241, Convierta los siguientes nimeros binarios en decimales, (a)" 10110 (@) 0101011 (gy" 1111010111 (b) t0010101 (@* i111 (hy 11011111 (©)* 10100001001 (f) 01101111 2-2. Convierta los siguientes valores decimales en binarios. (at 37 (@) 1000 (e)* 205 (b) 13 77 hy) 2133 (©) 189 @ 390 ar sit 2:3. :Cual es el valor decimal més grande que puede representarse mediante (a)* un ndmero binario de ocho bits? (b) un nimero de 16 bits? ‘SECCION 24. Convierta cada nimero hexadecimal en su equivalente decimal. (aye 743, (@) 2000 (ey 7FF (b) 36 (er 165 (hy 1204 (o)* 37FD. ABCD 25. Convierta cada uno de los siguientes nimeros decimales en hexadecima- les. @* 59 (@) 1024 (e)* 65,536 () 372 er 7 (by 255 (or 919 © 2313 26. Convierta cada uno de los valores hexadecimales del problema 2-4 en nime- 10s binarios. 2-7. Convierta los ntimeros binarios del problema 2-1 en hexadecimales. 2-8. Liste los nimeros hexadecimales en secuencia, desde 195;¢hasta 280:¢- 29. Cuando se va a convertir un mimero decimal grande en binario, algunas veces es més facil convertirlo primero en hexadecimal y después en binario. Pruebe este procedimiento para el nimero 2133 9 y comparelo con el proce- dimiento usado en el problema 2-2(h). 2-10. ¢Cudntos digitos hexadecimales se requieren para representar los nimeros decimales del 0 hasta el 20,000? 241. Convierta los siguientes valores hexadecimales en decimales. (ay* 92 @ Ancp, (gy 2C0 (b) 146 (e)* 000F (hy 7RE ()* 37FD © 55 * Encontard las vespuostas alos problemas matcados con un astrsco a fia da ir. (Carfruto 2/SISTEMAS ¥ CODIGOS NUMERICOS 2a2. 243. 244, Convierta los siguientes valores decimales en hexadecimales. (at 75 (a) 24 (@)* 25,619 (b) 314 (or 7245 (hy 4095 (* 2048 © 498 ‘Tome cada mimero binario de cuatro bits en el orden en el que estén escri- 108 y escriba el digito hexadecimal equivalente sin realizar ningdn cAlculo ‘manual o mediante la calculadora. (a) 1001 () 1111 @ won (m) 0001 (b) 1101 ® 0010 1100 (a) 0101 (© 1000 (g) 1010 (k) 0011 () 111 (@) 0000 (ey 1001 @ 0100 (p) 0110 ‘Tome cada digito hexadecimal y escriba su valor binario de cuatro bits sin realizar ningtin céiculo manual ni mediante la ealculadora. (@ 6 we) 4 @s (m) 0 &) 7 © 3 @ a (a) 8 os wc (ky 2 ©) D @ 1 @) B ® F @) 9 2-15.* Convierta los mimeros binarios del problema 2-1 en hexadecimales. 2:16.* Convierta los valores hexadecimales del problema 2-11 en binarios. 2-17." Liste los nimeros hexadecimales en secuencia, desde 280 hasta 2A0, 248. cCudntos digitos hecadecimales se requieren para representar nimeros deci- males hasta 1 millén? ‘SECCION 2-5, 2.19. Codifique los siguientes ntimeros decimales en BCD. (yr a7 (a) 6727 (a)* 89.627 (b) 962 (13 (hy 1024 (* 187 © 529 220. ¢Cudntos bits se requieren para representar los mimeros decimales en el intervalo de 0 a 999 si se utiliza: (a) cédigo binario directo, y (b) cédigo BCD? 221. Los siguientes mimeros estén en BCD. Conviértalos en decimales. (a)* 1001011101010010 (@) or1t01 101110101 (b) 000110000100 (@)* 010010010010 ()* 011010010101 010101010101 SECCION 2-7 2:22.*(a) ¢Cudntos bits hay en ocho bytes? 223. (b) Cudl es el nimero hexadecimal més grande que puede representarse en cuatro bytes? (©) cCudl es el valor decimal codificado en BCD mas grande que puede representarse en tres bytes? (a) Consulte la tabla 2-4. :Cual es el nibble més significativo del cédigo ASCII para la letra X? (b) ¢Cudntos nibbles pueden almacenarse en una palabra de 16 bits? (©) ¢Cudntos bytes se requieren para formar una palabra de 24 bits? ‘PROBLEMAS 49 ‘SECCIONES 2829 2.24. Represente la instruccién “K = 3 XY" en cédigo ASCHL Adjunte un bit de paridad impar. 2.25." Adjunte un bit de paridad par a cada uno de los cédigos ASCTI del problema 224, y muestre los resultados en hexadecimal. 2.26. Los siguientes bytes (mostrados en hexadecimal) representan el nombre de una persona segtin como se almacenaria en la memoria de una computado- ra. Cada byte es cédigo ASCII con relleno. Determine el nombre de cada persona. (a)* 42 45 4E 20 53 4D 49 54 48. (©) 4A 68 65 2047 72.65 65 6E 2.27. Convierta los siguientes niimeros decimales en cédigo BCD y después adjun- te un bit de paridad impar. (ay 74 (c)* 8884 (e)* 165 ) 38 @ 75 © 9201 2.28." En cierto sistema digital, los nimeros decimales del 000 al 999 se repre- sentan en cédigo BCD. También se incluye un bit de paridad impar al final de cada grupo. Examine cada uno de los cédigos que se muestran a conti- nuacién y suponga que cada uno acaba de transferirse de un lugar a otro. ‘Algunos de los grupos contienen erzores. Suponga que no se han producido ‘mds de dos errores en cada grupo. Determine eudles de los siguientes easos tienen un solo error y cudles tienen en definitiva un error doble. (Sugerencia: recuerde que éste es cédigo BCD). (a) 1001010110000 bit de paridad (©) 0100011101100 (©) 0111110000011 (4) 1000011000101 2.29. Suponga que el receptor recibié los siguientes datos del transmisor del ejem- plo 2-16: 01001000 11000101 11001100 11001000 11001100 Qué errores puede determinar el receptor en los datos que recibi6? IEGUNTAS DE PRACTICA 2.30." Realice cada una de las siguientes conversions. Si desea puede probar varios métodos en algunas de ellas para ver con cual se adapta mejor. Por ‘ejemplo, una conversién de binario a decimal puede realizarse en forma directa, o también mediante una conversién de binario a hexadecimal segui- da de una conversién de hexadecimal a decimal. (@) 141710 (b) 25549 =___2 (©) 11010001, = _ (@) 1101010001001, = _19 (Carfruto 2/SISTEMAS ¥ CODIGOS NUMERICOS (e) 249749 = __16 (8) $1149 =___(BcD) (@) 23555 10 h) 43169 = __s @ 7A = __1 () 3E1C = __10 (&) 1600 =__16 @) 38,1870= 15 (rm) 865; = __ (cD) (a) 100101000111 (BCD) = _19 (©) 46515=__> () B34g=__2 (@) 01110100 ¢BcD) = _ () 111010, =__@cp) 231.* Represente el valor decimal 37 en cada una de las siguientes formas. (@) Binario directo. (&) BD. (©) Hexadecimal (@) ASCII (es decir, trate cada digito como un caracter) 2.32.* Llene los espacios en blanco con la palabra o palabras correctas. (a) Para convertir de decimal a se requiere de Ia divisién repeti- da entre 16, (b) Para convertir de decimal a binario se requiere de la divisién repetida entre (©) Bnelcédigo BCD, cada se convierte en su equivalente binario de ‘cuatro bits. (4) El cédigo tiene la caracteristica de que s6lo cambia un bit al avanzar de un paso al siguiente. (e) Un transmisor adjunta un receptor detecte (8) Elcédigo __ es e6digo alfanumérico mas comin que se utiliza en Jos sistemas computacionales. se utiliza a menudo como una manera conveniente de repre- Sentar niimeros binarios extensos. (h) Una cadena de ocho bits se lama 2.33. Escriba el nimero binario que se produce cuando cada uno de los siguientes niimeros se incrementa en uno. a un cédigo para permitir que el (@) (roa (b) 010011 © 101 234. Dectemente cada uno de los siguientes niimeros binatios. (ay 1110 (b) 101000 © 110 2.35. Escriba el niimero que se produce cuando se inerementa cada una de las siguientes cifras. (a)* 777946 (* OFFF,« (0)* FFs () 999946 (€) 200035 (f) 100A 2.36.* Repita el problema 235 para la operacién de decremento. ‘RESPUESTAS A LAS PREGUNTAS DE REPASO DE LAS SECCIONES 5 EJERCICIOS AVANZADOS: 2.37.* En una microcomputadora, las direcciones de las localidades de memoria son nGmeros binarios que identifican cada uno de los circuitos de memoria ‘en donde se almacena un byte. FI ntimero de bits que forman cada direccién, depende de cudntas localidades de memoria haya. Como el miimero de bits puede ser muy extenso, a menudo las direcciones se especifican en hexade- ‘imal, en lugar de binario. (a) Siuna microcomputadora utiliza una direccién de 20 bits, gcudntas loca- lidades de memoria distintas hay? (b) eCuiintos digitos hexadecimales se necesitan para representar Ia direc Gién de una localidad de memoria? (©) eCual es la direccién hexadecimal de la localidad de memoria némero 256? (Nota:la primera direecién siempre es 0.) 238. Enun CD de audio, la sefial de voltaje de audio, por lo general, se muestrea aproximadamente 44,000 veces por segundo, y el valor de cada muestra se ‘graba en la superficie del CD como mimero binario. En otras palabras, cada ntimero binario que se graba representa un punto de voltaje individual en Ja forma de onda de la seal de audio. (a) Si los némeros binarios tienen una longitud de seis bits, zcudntos valo- tes de voltaje distintos pueden representarse mediante un solo mimero binario? Repita para ocho y diez bits. (b) Si se utilizan némeros de diee bits, ¢cudntos bits se grabardn en el CD en un segundo? (©) Siun CD puede almacenar, por lo general, mil millones de bits, cudntos segundos de audio pueden grabarse si se utilizan diez bits? 2.39." Una cémara digital en blanco y negro coloca una rejilla fina sobre una ima- gen para después medit y registrar un nimero binario que representa el nivel de gris que ve en cada celda de la rejilla. Por ejemplo, si se utilizan niimeros de cuatro bits el valor de negro se establece en 0000 y el valor de blanco en 1111, y cualquier nivel de gris puede tener algin valor entre 0000 y 1111. Sise utilizan némeros de seis bits, el negro es 000000 y el blanco es ALILL1, y todos los grises se encuentran entre estos dos valores. Suponga que queremos diferenciar entre 254 niveles de gris dentro de cada ‘una de las celdas de la rejilla. :Cuantos bits necesitarfamos usar para repre- sentar estos niveles de gris? 2.40. Una cémara digital de 3 megapixeles almacena un niimero de ocho bits para el brillo decada uno delos colores primarios (rojo, verde, azul) que se encue: tran en cada elemento de imagen (pixel). Si se almacenan todos los bits, sin ‘compresién de datos, gcudntas imagenes pueden almacenarse en una tarjeta de mesnoria de 128 Megabyter? (Nota: en oe sistemas digitale, Maga signi: ca), 241. Construya una tabla que muestre las representaciones en binario, hexadeci- mal y BCD de todos los niimeros decimales del 0 al 15. Compare sus resulta- dos con la tabla 2-3. RESPUESTAS A LAS PREGUNTAS DE REPASO DE LAS SECCIONES ‘SECCION 2-1 1.2267 2.32768 ‘SECCION 2-2 1.201001 2,1011011001 3.20 bits FIGURA 352 ‘PROBLEMAS 109 ‘SECCION 3-3 B 3.1." Dibuje Ta forma de onda de salida para la compuerta OR de la figura 352, B 3.2. Suponga que la entrada Aen la figura 3-52 se conecté a tierra en forma inad- vertida (es decir, A = 0). Dibuje la forma de onda de salida resultante. B 33. Suponga que la entrada A en Ja figura 3-52 se pone en corto en forma inad- vertida con la linea de suministro de +5V (es decir, A = 1). Dibuje la forma de onda de salida resultante. C 3-4. Lea las siguientes aseveraciones con respecto a una compuerta OR. Al prin- . ®) B 3.13.* Cree una tabla de andlisis completa para el circuito de la figura 3.15(b)s para ello encuentre los niveles Iégicos presemtes en Ia salida de cada com- puerta, para todas las 32 posibles combinaciones de entrada. B 3.44, (a)* Cambie cada compuerta OR por una compuerta AND y cada compuerta AND por una compuerta OR en la figura 3-15(b). Después escriba la ‘expresin para la salida (>) Complete una tabla de andlisis. B 345. Cree una tabla de andlisis completa pata el circuito de fa figura 3-16; para cello encuentre los niveles logicos presentes en la salida de cada compuerta, para todas las 16 posibles combinaciones de niveles de entrada. SECCION 3-8 B 3.416. Para cada una de las siguientes expresiones, construya el circuit légico ‘correspondiente utilizando compuertas AND y OR e INVERSORES. (a)* x = AB(C+D) (byt 2= AS BH (©) y= (MFN+ PQ) ‘PROBLEMAS m @ e) 2 ® x SECCION 3-9 B_ 3.17.* (a) Aplique las formas de onda de entrada de la figura 3-54 a una compuer- ta NOR y dibuje la forma de onda de salida. (b) Repita el procedimiento manteniendo C de manera permanente en BAJO. (©) Repita el procedimiento manteniendo Cen ALTO. FIGURA 354 a t ee 1348 Rept probena 397 psa. copes NAND. —-3.19.* Escriba la expresién para la salida de la figura 3-55 y utilicela para deter- minar la tabla de verdad completa. Después aplique las formas de onda de 1a figura 3.54 a las entradas del circuito y dibuje la forma de onda de salida resultante. FIGURA 355 A B 3.20. Determine la tabla de verdad para el cireuito de la figura 3-24 B 3.21. Modifique los circuitos que se construyeron en el problema 3-16 de manera ‘que se utilicen compuertas NAND y NOR en donde sea apropiado. SECCION 3.10 © 3.22, Demuestre los teoremas (15a) y (15b) probando todos los casos posibles. B 3.23. PREGUNTA DE EJERCICIO Complete cada una de las expresiones. (@) A+ (b) A-A= (c) B-B @ cre (e) x0 @ Da @) D+ (hy) c+E @ CHOP G) yt ey 12 (Cariruto 3/DESCRIPCION DE LOS CIRCUTTOS LOcICOS C 3-24. (a)* Simplifique Ia siguiente expresién usando los teoremas (130), (3) vy (4): x= (M+ NVM + PV +P) (b) Simplifique fa siguiente expresién utilizando los teoremas (13a), (8) y@): 2 = ABC + ABC + BCD ‘SECCIONES 3.11 ¥ 3-12 C 325. Demuestre los teoremas de DeMorgan probando todos los casos posibles. B 3.26. Simplifique cada una de las siguientes expresiones usando los teoremas de DeMorgan. (ay ABC (a) A+B (@)* AB+ OD () 4+BC (ot 4B (h) OF=NOt+N) (@* Ane () ase+D = @ AncD Bo 3.27." Tee og fepsocies de DeMorgan para simplificar la expresién de salida de la C 3.28. Convierta el circuito de la figura 3-53(b) en uno que utilice sélo compuertas ‘NAND. Después escriba la expresin de salida para el nuevo circuito, simpli- fiquelo utilizando los teoremas de DeMorgan y compérelo con la expresién para el cireuito original. C 3.29. Convierta el circuito de la figura 3-53(a) en uno que utilice solo compuer- tas NOR. Después escriba la expresién para el nuevo circuito, simplifiquelo utilizando los teoremas de DeMorgan y comparelo con la expresién para el circuito original, B 330. Muestre c6mo puede construizse una compuerta NAND de dos entradas a partir de dos compuertas NOR de dos entradas. B 3.31. Muestre cémo puede construirse una compuerta NOR de dos entradas a partir de compuertas NAND de dos entradas. 332. Un jet emplea un sistema para monitorear los valores de revoluciones por ‘minuto (rpm), presién y temperatura de sus motores mediante el uso de motores que operan de la siguiente manera: salida del sensor de RPM = 0 sélo cuando la velocidad < 4800 rpm salida del sensor P = 0 slo cuando la presi6n <220 psi salida del sensor 7 = 0 s6lo cuando la temperatura < 200° F ‘a figura 3-56 muestra el circuito légico que controla una lus de advertencia en cabina para ciertas combinaciones de condiciones del motor. Suponga ‘que un nivel ALTO en la salida Wactiva la Tur de advertencia, {@)* Determine qué condiciones del motor dardn una advertencia al piloto. (©) Cambie este circuito por uno que utlice s6lo compuertas NAND. FIGURA 3.56 T Liz de aévertencia FIGURA 357 ‘PROBLEMAS 13 ‘SECCIONES 3-13 3-14 3.33. 334, 335. 3:36, 337. Para cada una de las siguientes instrucciones, dibuje el simbolo de com- Puerta légica (esténdar 0 alternativo) apropiado para la operacién dada. (a) Una salida en ALTO ocurre sélo cuando las tres entradas estan en BAJO. (b) Una salida en BAJO ocurre cuando alguna de las cuatro entradas esta en BAJO. (©) Una salia activa en BAJO ocurre s6lo cuando las ocho entradas estén en ALTO. Dibuje las representaciones esténdar para cada una de las compuertas gi- ‘as basicas. Después dibuje las representaciones alternativas. Suponga que el circuito de la figura 3-55 es un candado de combinacién digital simple, cuya salida generaré una sefial ABRIR activa en BAJO para sélo una combinacién de entradas. (@)* Modifique el diagrama del circuito de tal forma que represente la ope- raci6n del circuito de una manera més efectiva. (b) Use el nuevo diagrama del cireuito para determinar la combinacién de entradas que activaré la salida. Para ello, trabaje regresando desde la salida y utilizando la informacién que proporcionan los simbolos de las compuertas, como se hiro en los ejemplos 3-22 y 3-23. Compare Ios resultados con la tabla de verdad que se obtuvo en el problema 3-19. (a) Determine las condiciones de entrada necesarias para activar la sali- da Z en la figura 3-37(b). Para ello, trabaje regresando desde la salida, ‘como se hizo en los ejemplos 3:22 y 3.23, (b) Suponga que el estado BAJO de Z es el que debe activar la alarma. Cambie el diagrama del circuito para refiejar lo anterior y después uti- lice el diagrama revisado para determinar las condiciones de entrada necesarias para activar la alarma. Modifique el circuito de la figura 3-40, de manera que se necesite que A; = 0 para producit UNIDAD = 1, en lugar de Ay 3.38.* Determine las condiciones de entrada necesarias para hacer que la salida r—D —i > ——— > en la figura 3-57 cambie a su estado activo. 339.* (Cua es el estado asignado para la salida de la figura 3.57?, cpara la salida 340. de la figura 3.36(c)? Use los resultados del problema 3.38 para obtener la tabla de verdad com- pleta para el circuito de la figura 3-57. 341.* La figura 3.58 muestra una aplicacién de compuertas légicas que simula un interruptor de dos vias, como los que utilizamos en nuestros hogares para encender 0 apagar una luz desde dos interruptores distintos. Aqui la luz 14 FIGURA 3.58 (Cariruto 3/DESCRIPCION DE LOS CIRCUTTOS LOcICOS es un LED que estaré ENCENDIDO (en conduccién) ando Ia salida de Ia compuerta NOR esté en BAJO. Observe que esta salida esta etiquetada ‘como LUZ para indicar que es activa en BAJO. Determine las condiciones de ‘entrada necesarias para encender el LED. Después verifique que el circuito ‘opere como un interruptor de dos vias, utilizando los intexruptores A y B. (Enel capitulo 4 aprenderd a disefiar circuitos como éste para producir una relacién dada entre las entradas y las salidas.) < | +5V eo r{- ‘SECCION 3-45 B 342, Vuelva a dibujar los circuitos de (a)* Ia figura 3.57 y (b) la figura 3.58 mediante el uso de los simbolos IEEE/ANSI. SECCION 3-47 PREGUNTAS DE PRACTICA DE HOL H 3.43.* Verdadero o falso: fa) (b) © @ fe) [ay () m @ @ VHDL es un lenguaje de programacién de computadoras. ‘VHDL puede hacer lo mismo que AHDL. AHDL es un lenguaje del esténdar IEEE. Cada interseccién en una matriz de conmutacién puede programarse ‘como un cireuito abierto cerrado, entre un alambre de fila con uno de columna. El primer elemento que aparece en la parte superior de un listado de HDL esa descripcién funcional. El tipo de un objeto indica si es una entrada o una salida, El modo de un objeto determina si es una entrada o una salida. ‘os nodos ocultos son nodos que se han eliminado y que nunca se utili- zarén de nuevo. Las sefiales locales son otro nombre para las variables intermedias. El encabezado es un bloque de comentarios que documentan informa- ‘cién vital sobre el proyecto. SECCION 3-18 B 344. Vuelva a dibujar la matriz de conexiones programable de Ia figura 3-44. Etiquete las sefiales de salida (lineas horizontales) de la matriz de conexién 194 (Carfruto 4/CIRCUTTOS LOGICOS COMEINACIONALES TERMINOS IMPORTANTES agrupamiento estructuras de control de OR exclusivo (KOR) arreglo de bits decisiones PROCESS arriba-abajo flotante producto de sumas asignacién de sefial selecta generacién de (POs) bibliotecas, paridad ‘programador ‘BIT_VECTOR habilivar/deshabilitar secuenciales CASE IFTHEN Semiconductor Metal- cero esfuerzo de insercién Indice Oxido-complementario IF) indeterminado (Mos) colisién JEDEC sonda légica comprobacién de paridad = JTAG SSI, MSI, LSI, VLSI, ‘coneatenacién lista de sensibilidad ‘ULSI, GST concurrentes literales STD_LOGIC condicién de “no importa” — Idgica de transistor/- SID_LOGIC_VECTOR disefio jerarquico transistor (TTL) suma de productos ‘ELSE macrofuncién, (SOP) ELSIF mapa de Karnaugh vector de bits ‘encapsulado dual en linea (mapa K) vectores de prueba @r) NOR exclusivo (KNOR) entero objetos PROBLEMAS ‘SECCIONES 4-2 ¥ 4-3 B #1." Simplifique Jas siguientes expresiones mediante el uso del Algebra boo- Jeana. (a) x= ABC + AC (b) y= (Q+ RQ+R) (c) w= ABC + ABC + A (@) q=RSTR4 S47) ABC + ABC + ABC + ABC + ABC B+OB++a+B+e e) o (e) y- © #D) + ACD + ABC + ABCD + ACD (hy x = ABCD) + ABD + BCD B 42. Simplifique el citeuito de la figura 4-65 mediante el uso del algebra boo- Jeana, FIGURA 465 Problemas 42,¥ 43, * Encontard las respuesias alos problemas marcados con un astersco al fal do tro. BD BD D D FIGURA 4.66 Problema 48. TABLA 411 mT legico APA PROBLEMAS 195 43.* Cambie cada una de las compuertas del problema 4-2 por compuertas NOR xy simplifique el circuito mediante algebra booleana. SECCION 4-6 44. Diselte el circuito Iégico que corresponde a la tabla de verdad que se mues- waen la tabla 4.11. aaaalooools a o2els0+0|0 [pee=[=-e-]s 4-5. Diseiie un circ légico cuya salida esté en ALTO sdlo cuando la mayoria de las entradas A, B y C estén en BAJO. 46. Una planta de manufactura necesita tener un sonido de bocina para indicar Ja hora de salida. La bocina debera activarse cuando se cumpla cualquiera de las siguientes condiciones: 1. Es después de las 5 en punto y todas las mquinas estén apagadas. 2. Es viernes, se completé la produccién del dia y todas las maquinas estén apagadas. Diseiie un eircuito l6gico que controle 1a bocina. (Sugerencia: use cuatro variables légicas de entrada para representar las diversas condiciones; por ejemplo, la entrada A estaré en ALTO sélo cuando sean las 5 en punto o més tarde) 4-7.* Un nimero binario de cuatro bits se representa como A3 A2 AyAp, en donde ‘A3,A2,Asy Ap representan los bits individuales y Ayes igual ai LSB. Disefle ‘un cireuito légico que produzca una salida en ALTO cada vez que el mimero binario sea mayor que 0010 y menor que 1000. 4-8. La figura 4.66 muestra un diagrama para un cireuito de alarma de automévil ‘que se utiliza para detectar ciertas condiciones indeseables. Los tres inte- +v conse a L_,| fe irouito | Alara 196 FIGURA 467 Problema 4-11. (Carfruto 4/CIRCUTTOS LOGICOS COMEINACIONALES rruptores se utilizan para indicar el estado de la puerta del lado del conduc- tor, el moter y las luces, en forma respectiva. Disefte el circuito l6gico con ‘estos tres interruptores como entradas, de manera que la alarma se active ‘cada vez que exista cualquiera de las siguientes condiciones: = Lasluces estén encendidas mientras que el motor esté apagado. = La puerta esté abierta mientras que el motor esté encendido. 49." Implemente el cireuito del problema 44, utilizando sélo compuertas NAND. 410, Implemente el cireuito del problema 4.5, utilizando s6lo compuertas ‘AND. SECCION 4-5 411. Determine la expresién minima para cada uno de los mapas K en Ia figura 467. Ponga especial atencién al paso 5 para el mapa en (a). c cp cp cd @ cp co cb coc 1 1 4 * AB) 1 | o 1 1 AB] 4 1 Ae| 4 ii oj} o AB} 1 | 0 | oO 1 Rel o | o wlololo|s wlololo|o as[ x | o AB) oo} oj] 4 aBl 1 fo} 4 | 4 AB) 1 | x or © © 4-12. Para la tabla de verdad que se muestra a continuacién, cree un mapa K de 2 x2, agrupe los términos y simplifique. Después analice de nuevo la tabla de verdad para ver sila expresidn es verdadera para todas las entradas en Ia tabla. aLe ly ol; ot feta 1[ 0 [0] 1/1 /0 413. Empezando con la tabla de verdad en Ja tabla 4-11, utilice un mapa K para ‘encontrar la ecuacién SOP mAs simple. 4-14, Simplifique fa expresién en (a)* el problema 4-1(e), usando un mapa K. (b) el problema 4-1(g), usando un mapa K. (c)* el problema 4-1(h), usando un mapa K. 4-15." Obtenga la expresién de salida para el problema 4-7, usando un mapa K. 4416. Ta figura 4-68 muestra un avntador BCD que produce una salida de cuatro bits, Ia cual representa el cédigo BCD para el mimero de pulsos que se han aplicado a la entrada del contador. Por ejemplo, después de haberse produ- ‘ddo cuatzo pulsos, las salidas del contador son DCBA = 01002 = 419-Elcon- tador se restablece a 0000 en el décimo pulso y empieza a contar de nuevo. En otras palabras, las salidas DCBA nunca representardn aun mimero mayor de 1001 = S10 (a)* Disefie el circuito I6gico que produzca una salida en ALTO cada ver que ‘elconteo sea 2, 3 0 9. Use el mapeo K y aproveche las condiciones “no importa”. (b) Repita el proceso para x = 1 cuando DCBA 4,5, 8. FIGURA 468 Problema 4-16. FIGURA469 Problemad-17. PROBLEMAS 197 > se) runn le | nyt —reatel 2 [ arorsrcne | A Somos Lx {fit es ee facta eee [A = U a D 4.17." La figura 4-69 muestra cuatro interruptores que forman parte de los cireui- tos de control en una maquina copiadora. Los interruptores estan en varios puntos a Jo largo de la ruta del papel, a medida que éste pasa a través de la maquina. Cada interruptor esta, por lo general, abierto, y a medida que el papel pasa a través de un interruptor, éste se cierra. Es imposible que los interruptores SW1 y SW4 estén cerrados al mismo tiempo. Disefie el circuito I6gico para producir una salida en ALTO cada vez que das o mds interrupto- res estén cerrados al mismo tiempo. Use el mapeo K y aproveche las con ciones “no importa”. +5 wt 75V swe z ev) sales x [ATO cede vez que) owe : Boca’ FE msomsairomilres | 5V “swt yswa taran : SW runce os St cara al mismo fompe B 448. Elejemplo 43 demostré la simplificaci6n algebraica. El paso 3 produjo la ‘ecuacién SOP 2 = ABC + ACD +ABCD + ARC. Use un mapa K para demostrar que esta ecuacién puede simplificarse atin mas que Ia respuesta ‘que se muestra en el ejemplo. © 419. Urilice el éigebra booleana para llegar al mismo resultado que se obtuvo ‘mediante el método del mapa K del problema 4-18. SECCION 4-6 B_ 420. (a) Determine ta forma de onda de salida para el circuito de ta figura FIGURA 470 Problema 4:20. 470. (b) Repita el proceso con la entrada B mantenida en BAJO. (©). Repita el proceso con la entrada B mantenida en ALTO. A A ot UL | Tiemgo— +» ox 198 FIGURA 4.72 Problema 4-25. (Carfruto 4/CIRCUTTOS LOGICOS COMEINACIONALES B 421.* Determine las condiciones de entrada necesarias para producir x = 1 en la figura 4-71. FIGURA 471 Problema 421. B 422. Disefie un circuito que produzca una salida en ALTO sé6lo cuando las tres ‘entradas estén en el mismo nivel. (a) Use una tabla de verdad y un mapa K para producir la solucién SOP. (b) Use compuertas XOR de dos entradas y otras compuertas para encon- war una solucién. (Sugerencia: recuerde la propiedad transitiva del Algebra... sia = b yb = centonces a = c) B 4-23." Un chip 7486 contiene cuatro compuertas XOR. Muestre como hacer una ‘compuerta XNOR utilizando s6lo un chip 7486. Sugerencia: vea el ejemplo 416. B 4:24. Modifique el circuito de 1a figura 4.23 para comparar dos nimeros de cuatro bits y producir una salida en ALTO cuando los dos nimeros concuerden de manera exacta. B 425. La figura 4-72 representa un detector de magnitud relativa que toma dos ‘iimeros binarios de tres bits (x2«%0 v uzurvg) ¥ determine si son iguales; en ‘aso de no ser asf, que determine cual es mas grande. Hay tres salidas, que se definen de ta siguiente manera: 1, M-=1 s6lo silos dos nimeros de entrada son iguales. 2. N=1 s6lo si xyxpxp€s mayor que yzvive- 3. P= 1 sélo si vzywoes mayor que x20. Disefie los cireuitos Iégicos para este detector. Fl circuito tiene seis entra. das y tres salidas, por lo que es demasiado complejo como para manejarlo mediante el método de la tabla de verdad. Consulte el ejemplo 4-17 como ‘una sugerencia sobre cémo podria empezar a resolver este problema, Numero | %»—>| Leu (xy) nario | 1 Lee Detector de magnitud TN bey) Nomoro | —>} relative neo < %#—>| l Poors yor Psy) As PROBLEMAS DE DISEiIO CD 426." La figura 4-73 representa un circuito multiplicador que toma dos mimeros ‘binarios de dos bits (x1x9 y vivo) ¥ Produce un nimero binario de salida 23222129 que es igual al producto aritmético de los dos nimeros de entrada Disene el circuito légico para el multiplicador. (Sugerencia:el circuito légico ‘tendré cuatro entradas y cuatro salidas.) ‘PROBLEMAS 199 FIGURAS-73 Problema 426, % se 7 crovito > rmulipicador |» 2, % 0 > Tse 1B D427. Un cédigo BCD se esté transmitiendo a un receptor remoto. Los bits son As, Az Azy Apsen donde A; es el MSB. Entre los circuitos del receptor incluye un eircuito detector de errores BCD, el eual examina el eBdigo recibido para ver sies un cédigo de BCD legal (es decir, <1001). Disene este circuito para producit un nivel ALTO para cualquier condicién de error. D 428. Disefie un circuito légico cuya salida esté en ALTO cada vez que Ay Bestén ‘ambas en ALTO, siempre y cuando Cy D estén ambas en BAJO 0 ambas en ALTO. Trate de hacer esto sin utilizar una tabla de verdad. Después com pruebe su resultado construyendo una tabla de verdad a partir de su circ +o, para ver si concuerda con Ia declaracién del problema. D429. Cuatro tanques grandes en una planta quimica que contienen distintos Liquids se estan calentando. Se utilizan sensores de nivel de liquide para detectar cuando el tanque A 0 el tanque B se eleva por encima de un nivel predeterminado. Los sensores de temperatura en los tanques Cy D detectan ‘cuando la temperatura en cualquiera de estos tanques cae por debajo de un limite prescrito. Suponga que las salidas A y B del sensor de nivel de iquido ‘estén en BAJO cuando el nivel es satisfactorio y en ALTO cuando el nivel es demasiado alto. Ademés, las salidas Cy D del sensor de temperatura estén ‘en BAJO cuando la temperatura es satisfactoria y en ALTO cuando la tem- peratura es demasiado baja. Disefie un circuito légico que detecte cada ver ‘que el nivel en el tanque A o en el tanque B es demasiado alto, al mismo tiempo que la temperatura en el tanque Co en el tanque D sea demasiado baja. C.D 430." Ta figura 4-74 muestra Ia interseceién de una autopista principal con un ‘camino de acceso secundario. Se colocaron sensores de deteccién de velticu- Jos alo largo de los carriles Cy D (camino principal) y de los carriles A y B (camino de acceso). Las salidas de estos sensores estén en BAJO (0) cuando icons 474 Paes 4 ! 3 ¢ (Carfruto 4/CIRCUTTOS LOGICOS COMEINACIONALES ro hay vehiculos presentes, y en ALTO (1) cuando hay vehiculos presentes. El seméforo de la interseccién debe controlarse de acuerdo con la siguiente logica: 1. El seméforo este-oeste (F-0) se pondrd en verde cada ver que estén ‘ocupados ambos carriles C y D. 2. El seméforo EO estar en verde cada vez que C 0 D estén ocupados, Pero cuando Ay Bno estén ambos ocupados. 3. Elseméforo norte-sur (N-S) se pondra en verde cada vez que ambos catri- les Ay Bestén ocupados, pero cuando Cy Dno estén ambos ocupados. 4. Hl seméforo N-S también se pondrd en verde cuando Ao Bestén ocupa. dos, mientras que Cy D estén ambos vacantes. 5. Blseméforo B-0 cuando no haya vehiculos presentes. Utilizando las salidas del sensor A,B, Cy D como entradas, disene un circu +o l6gico para controlar el semaforo. Debe haber dos salidas, NS y E-O, que ‘cambien a ALTO cuando la luz correspondiente se vaya a poner en verde. ‘Simplifique el cireuito lo mas que se pueda y muestre todos los pasos. SECCION 4.7 431 Redisefie el generador y comprobador de paridad de la figura 4-25 para que (a) opere usando paridad impar. (Sugerencia: :cual es la relacién entre un bit de paridad impar y un bit de paridad par para el mismo conjunte de bits de datos?) (b) Opere con ocho bits de dato: SECCION 4-8 432. (a) Bajo qué condiciones permitind una compuerta OR que una sefial légi ‘ea pase hacia su salida sin modificarla? (b). Repita el inciso (a) para una compuerta AND. (©) Repita el proceso para una compuerta NAND. (a) Repita el proceso para una compuerta NOR. 4.33.*(a) Puede utilizarse un INVERSOR como un circuito de habilitacién/des- 434. 435° 436. 437. habilitacién? Explique. (b) Puede usarse una compuerta XOR como un circuito de habilitacién/ deshabilitacién? Explique. Disefie un cireuito légico que permita que la sefial de entrada A pase hasta Ja salida s6lo cuando la entrada de control B esté en BAJO, mientras que la ‘entrada de control Cesté en ALTO; en caso contrario, la salida debe estar en BAJO. Diseite un circuito que deshabilite el paso de una sefal de entrada sélo cuan- do las entradas de control B, C y D estén todas en ALTO; la salida deberd estar en ALTO para la condicién deshabilitada. Diseiie un circuito légico que controle el paso de la seal 4, de acuerdo con Jos siguientes requerimientos: 1. Ta salida Xserd igual a A cuando las entradas de control By C sean iguales. 2. Xpermaneceri en ALTO cuando B y Csean distinta Disene un circuito légico que tenga dos sefiales de entrada A; y Ap y una ‘entrada de control $ de manera que funcione de acuerdo con los requeri- ‘mientos descritos en la figura 4-75, (A este tipo de cireuito se le conoce como maltiplexor, el cual veremos en el capitulo 9.) ‘PROBLEMAS 201 FIGURA 475. Problema ae 437. Ae . ies utplonor |e | IE fe D 438.4 Use elmapeo K para disefiar un circuito que cumpla con los requerimientos del ejemplo 4-17. Compare este cireuito con Ia solucién en la figura 4-23. Aqui se recalea que el método del mapa K no puede aprovechar la logica de las compuertas XOR y XNOR. El disefiador debe ser capaz de determinar ‘cuando pueden utilizarse estas compuertas. SECCIONES 6.9.8 4-13 F 439. (a) Un técnico que esté probando un circuito légico se da cuenta de que la salida de cierto INVERSOR esta atascada en BAJO mientras que su entrada esté pulsando. Liste todas las posibles razones que pueda para esta operacién defectuosa. (b) Repita el inciso (a) para el caso en el que la salida del INVERSOR se quede atascada en un nivel légico indeterminado. F 4-40.* Las sefiales que se muestran en la figura 4-76 se aplican a las entradas del circuito de la figura 4-32. Suponga que hay un circuito abierto interno en a4. (a) £Qué indicard una sonda I6gica en 71-4? (b) 2Quélectura de voltaie de corriente directa esperaria en Z1-4? (Recuer- de que los Cls son TTL.) (c) Haga un bosquejo de cudl cree usted que serd la apariencia de las sefia- les CLKOUT y SHIFTOUT. (d) Fh ver del circuito abierto en Z1-4, suponga que las terminales 9 y 10 de 22 estan en corto interno. Haga un bosquejo de las probables sefia- es en 22-10, CLOCKOUT y SHIFTOUT. FIGURA 476 Problema LOCK 4-40. SHIFT F 441. Suponga que los CIs de la figura 4-32 son CMOS. Describa cémo se veria afectada la operacién del circuito debido a un circuito abierto en el conduc- tor que conecta a 22-2 y 22-10. F 442. Enel ejemplo 4-24 listamos tres posibles fallas para la situacién de la figura 436. :Qué procedimiento seguiria usted para determinar cual de las fallas es laque se esta produciendo en realidad? F — 443.* Consulte el cireuito de la figura 4-38. Suponga que los dispositivos son CMOS. Suponga ademas que la indicacién de la sonda légica en 72-3 es * Recuerde que F indica un eric de dlagnesico de falas. (Carfruto 4/CIRCUTTOS LOGICOS COMEINACIONALES F 444* F445. F 446" F447, “indeterminado”, en ver de “pulsando”. Liste las posibles fallas y escriba un procedimiente a seguir para determinar la verdadera falla? Consulte el circuito I6gico de la figura 4-41. Recuerde que se supone que la salida Ydebe estar en ALTO para cualquiera de las siguientes condiciones: 1. A=1,B =0,sin importar C 2. A=0,B=1,C=1 Al probar el cireuito, el técnico observa que Ycambia a ALTO sélo para la primera condicién, pero permanece en BAJO para todas las demas condi- iones de entrada. Considere la siguiente lista de posibles fallas. Para cada tuna de ellas, escriba sfo no para indicar si podria o no ser la verdadera falla Explique su razonamiento para cada una de las opciones en las que conteste queno. (@) Un corto interno a tierra en 72-13. (©) Un circuito abierto en la conexién a 22:13. (©) Un corto interno con Voc en 22-11 (@)_ Un cireuito abierto en la conexi6n de Voc con 22. (€) Un cireuito abierto interno en 72-9. (©) Un cireuito abierto en la conexi6n de 22-11 a 229. (@) Un puente de soldadura entre las terminales 6 y 7 de 22. Desarrolle un procedimiento para aislar la falla que esté produciendo el funcionamiento defectuoso descrito en el problema 4-44. ‘Saponga que todas las compuertas en Ia figura 4-41 son CMOS. Cuando el ‘téenico prueba el cizcuito descubre que opera en forma correcta, excepto para las siguientes condicione 1. A=1,B=0,C=0 2. A=0,B=1,0=1 Para estas condiciones, la sonda légiea indica niveles indeterminados en 7245,72-11 y 225. ;Cual cree usted que sea la probable falla en el circuito? Explique su razonamiento, Ta figura 4-77 es un circuito I6gico combinacional que opera una alarma en ‘un automévil, cada vez que estén ocupados Ios asientos del conductor yo del pasajero y que los cinturones de seguridad no estan abrochados cuando lautomévil arranca. Las seiiales CONDUC y PASAJ activas en ALTO indican la presencia del conductor y del pasajero en forma respectiva, y se obtie- nen mediante interruptores operados por presién en los asientos. La serial ENC es activa en ALTO cuando el interruptor de encendido esté activado a sefial CINTC es activa en BAJO ¢ indica que el cinturén de seguridad ENG @ ‘conduc e——_ 12, ane? 4 2| 2 ‘ante 7 PASAL © 4 ‘ 1 13) 22 CARMA, one . “T= FIGURA 477. Problemas 4.47, 4.48 y 4-49. F F HB ‘PROBLEMAS 203 del conductor no estd abrochado; CINTP es ta sefial correspondiente para el cintur6n de seguridad del pasajero. La alarma se activara (BAJO) cada vex ‘que se encienda el automoyil, que cualquiera de los asientos delanteros esté ‘ocupado y que su cinturén de seguridad no esté abrochado. (@)_ Verifique que el cireuito funcione de la manera deserita (b) Describa cémo operaria este sistema de alarma si Z1.2 estuviera en corto interno con tierra. (©) Describa cémo operaria si hubiera una conexi6n abierta de 22-6 a 22-10. 4-48.* Suponga que el sistema de Ta figura 4-77 esté funcionando de manera que la ‘alarma se active tan pronto como el conductor 0 algtin pasajero estén senta- dos y elautomévil esté encendido, sin importar el estado de los cinturones de seguridad. {Cudles son las posibles fallas? Qué procedimiento seguiria usted para encontrar Ia verdadera falla? 4.49.* Suponga que el sistema de alarma de la figura 4-77 esté operando de manera ‘que la alarma se encienda en forma continua tan pronto como se encienda el automévil, sin importar el estado de las demés entradas. Liste las posibles fallas y escriba un procedimiento para aislar la fala PREGUNTAS DE PRACTICA SOBRE PLDS (50 A 55) 450.* Verdadero o falso: (a) Hl disefio de arriba hacia abajo comienza con una descripcién general de todo el sistema y sus especificaciones. (b) Un archivo JEDEC puede usarse como archivo de entrada para un pro- gramador. (©) Siun archivo de entrada se compila sin errores, significa que el circuito PLD funcionard en forma correcta. (4) Un compilador puede interpretar cédigo a pesar de los errores de sin- taxis. (e) [os vectores de prueba se utilizan para simular y probar un dispositivo, 451. cQué son los caracteres % que se utilizan para el archivo de diseito de ADL? 452. Cémo se indican los comentarios en un archivo de disefio de VADL? 453. Qué es un z6calo ZI? 454.* Enliste tres modos de entrada utilizados para introducir la descripcién de ‘un cireuito en el software de desarrollo de PLDs. 455. Qué significan JEDEC y HDL? SECCION 4-15, 456. Declare los siguientes objetos de datos en AHDL o VHDL. (a)* Un arreglo de ocho bits de salida llamados aparatos. (b) Un bit individual de salida lamado chicharra. (©) Un puerto de entrada numérico de 16 bits lamado altitud. (d) Un bit individual imermedio dentro de un archivo de descripcién de hardware Hamado alambre2. 457. Exprese los siguientes niimeros literales en hexadecimal, binario y decimal, utilizando la sintaxis de AHDL o VDL. (ay* 15210 (b) 1001010100, (©) 3Chs 458.* La siguiente definicién de E/S que se da para AHDL y para VHDL. Escriba ‘cuatro instrucciones de asignacién concurrentes que conecten las entradas con las salidas, como se muestra en la figura 4-78, FIGURA 478 Problema 4-58. up (Carfruto 4/CIRCUTTOS LOGICOS COMEINACIONALES ‘SUBDESI GNhw c bitsent{3..0] : INPUT: bitssal[3..0] < OUTPUT; ENTITvhwl S PORT( Bitsent <1 BIT_VECTOR (3 downto 0); :0UT BIT VECTOR (3 downto 0) Bitsent Bisse Enerciaenc | 3 3_| te vacio woronenc_| 2 2_| tp eneroia umire_vacio_| + 1 | te weno umiTe_LieNo | 0 o | wotor SECCION 4-16 459. Modifique la tabla de verdad de ANDI. de Ia figura 4-50 para implementar laecuacién AB + AC + AB. 4.60.* Modifique el disefio de AHDI en lo figura 4-54, de manera que z = 1 sélo ‘cuando el valor digital sea menor que 1010, 461, Modifique la tabla de verdad de AHDL de la figura 4-51 para implementar AB + AC+ AB. 462" Modifique el diselo de VHDL de la figura 4-55, de manera que z = 1 sélo ‘cuando el valor digital sea menor que 1010. 4-63, Modifique el e6digo de (a) Ia figura 4-54 0 (b) Ia figura 4-55 de tal forma que la salida zesté en BAJO sélo cuando valor digital se encuentre entre 6 y 11 Gnclusivo). 4.64, Modifique (a) el disefio de ADI. de la figura 4-60 para implementar a tabla 41. (b) el diseno de VHDL de la figura 4.51 para implementar la tabla 4-1 4.65.* Bscriba la ecuacién booleana del archivo de disefio de descripcién de hard- ‘ware para implementar el ejemplo 4-9. 46. Escriba la ecuacién booleana del archivo de disefto de descripeién de hard- ‘ware para implementar un generador de paridad de cuatro bits, como se ‘muestra en la figura 4-25(a). PREGUNTA DE PRACTICA 467. Defina cada uno de los siguientes términos. (a) Mapa de Karnaugh. (b) Forma de suma de productos. (©) Generador de paridad. (@) Octeto. c FIGURA 479 Problema 468. cD PROBLEMAS 205 APLICACIONES DE MII 468. 4-69. (©) Circuito de habilitacién. (©) Condiciéa ‘no importa”. () Entrada flotante. (a) Nivel de voltaje indeterminado. () Colisién. PLD. (&) TTL. @) cMos. sOCOMPUTADORA En una microcomputadora, la unidad del microprocesador (MPU) siempre se est comunicando con uno de los siguientes elementos: (1) memoria de acceso aleatorio (RAM), la cual almacena los programas y datos que pueden ‘modificarse con facilidad; (2) memoria de s6lo lectura (ROM), Ia cual alma- ‘cena programas y datos que nunca se modifican; y (3) dispositivos externos de entradalsalida (Z/S) tales como teclados, pantallas de video, impresoras yunidades de disco. Al ejecutar un programa,la MPU genera un cédigo de direecién que selecciona el tipo de dispositive (RAM, ROM 0 F/S) con el ‘que desea comunicarse. La figura 4.79 muestra un arreglo comin en donde la MPU produce como salida un cédigo de direccién de ocho bits (Ays~ As) En realidad la MPU produce como salida un cédigo de direccién de 16 bits, pero los bits de menor orden (A7~ Ap) no se utilizan en el proceso de selec: ‘ién de dispositivos. El cédigo de direccién se aplica a un circuito légico que o.utiliza para generar las sefiales de seleccién de dispositivos: RAM, ROM y EB. RAM Ss Analice este circuito y determine lo siguiente:. (a)* Flintervalo de direcciones de Ay; hasta Ag que activa la sefial RAM. (b) Elinteryalo de direcciones que activa la sefial E/S. (©). Flintervalo de direceiones que activa la seital ROM. Exprese las direcciones en binario y en hexadecimal. Por ejemplo, la res- uesta para (a) es: Ays a Ag = 00000000, a 11101111, = 00j¢2 EF ig En algunas microcomputadoras la MPU puede deshabilitarse por breves petio- dos mientras que otro dispositive controla los dispositivos de RAM, ROM y B/S. Durante estos intervalos, la MPU activa una seiial de control especial (DMA), la cual se utiliza para deshabilitar (desactivar) la légica de selecci6n de dispositivos, de manera que las sefiales RAM ROM y FIS se encuentren en su estado inactivo. Modifique el circuito de 1a figura 4-79, de tal forma que RAM, ROM y E/S se desactiven cada vex que la sefial DMA esté activa, sin importar el estado del cédigo de direccién. SECCIONES 5-1 A5-3 B 5.1." Suponiendo que al principio Q ~ 0, aplique las formas de onda x y y de la figura 5-73 a las entradas SET y RESET de un latch NAND y determine las formas de onda de Qy Q. FIGURA 573. Problemas 51053, x B $2. Invierta las formas de onda x y » de la Figura 5-73, apliquelas a las entradas SET y RESET de un latch NOR y determine las formas de onda de Qy Q. ‘Suponga que al principio Q = 0. 5.3. Las formas de onda de la figura 5-73 estan conectadas al cireuito de la figura 5-74, Suponga que al principio Q = 0 y determine la forma de onda de Q. FIGURA $74 Problema 53, De 4 D 5-4, Modifique el circuito de la figura 5-9 para que utilice un latch de compuerta NOR. D 55. Modifique el circuito de la figura 5-12 para que utilice un latch de compuer- ta NAND. F 5652 Consulte el cireuito de la figura 5-13. Para probar la operacién del eircuito, un técnico observa las salidas con un osciloscopio de almacenamiento mien- tras que el interruptor se mueve de A a B, Cuando el interruptor se mueve de 4 a B, aparece Ia pantalla de Xz en el osciloseopio segtin se muestra en la figura 5-75. Qué falla del circuito podria producir este resultado? (Sugerencia: zcuil es la funci6n del latch NAND?) FiuRA 5.75 % Problem 56 | 1 me >» SECCIONES 5.9456 B 57. Cierto FF sincronizado por reloj tiene los valores minimos ts ~ 20 ns y ty = 5'ns. ¢Cudnto tiempo deben permanecer estables las entradas de con- trol antes de la transicion activa del reloj? * Encontvaré las respuesta alos problemas marcados con un astrisce al final dol eapitvo FIGURA 5-76 Problema 5. Problema 5-13, B B B D PROBLEMAS 283 5-8. Aplique las formas de onda de S, R y CLK de la figura 5-19 al FF de la figura 5.20 y determine la forma de onda de Q. 5.9.* Aplique las formas de onda de la figura 5.76 al FF de la figura 5-19 y deter- mine la forma de onda en Q. Repita el proceso para el FF de la figura 5-20, Suponga que al principio Q = 0. eo LL R IL uk 5-10. Dibuje las siguientes formas de onda de pulsos digitales. Etiquételas como tm 1 ¥ tw franco anterior y franco posterior. f@) () © SECCIONS.7 Un pulso TTL negativo con t Un pulso TTL positivo con Un pulso positive con t,, = 1 ms, cuyo flanco anterior ocurra cada 5 ms. Proporcione la frecuencia de esta forma de onda, 0 ns, ty = Sas ¥ ty = 50 ns. 5 ns, t¢= Ins y tw = 25 ns. 5-11.* Aplique las formas de onda de J, K y CLK de la figura 5-23 al FF de la figura 5-24. Suponga que al principio Q = 1 y determine la forma de onda de Q. 5-12. (a)* ib) Muestre eémo un flip-flop J-K puede operar como un FF conmutador (que cambia de estado en cada pulso del reloj). Después aplique una sefial de reloj de 10-kHz en su entrada CLK y determine la forma de onda en Q. Conecte la salida Q de este FF a la entrada CLK de un segundo FF |-K que tambien tiene | 1. Determine la frecuencia de la seiial en la salida de este FF. 5-13, Las formas de onda que se muestran en la figura 5-77 se van a aplicar a dos FFs @ (b) distintos: EK disparado con flanco po JAK disparado con flanco negativo. Dibuje la respuesta de la forma de onda de Q para cada uno de estos FFs, suponiendo que al principio Q = 0. Suponga también que cada FF tiene Eniada Kk a N FIGURA 5.80 Problema 5-18, ‘Capit 10 5/FLIPHLOPS ¥ DISPOSITIVOS RELACIONADOS SECCION 5-8 5414. Algunas veces se utiliza un FF D para reerasar una forma de onda binaria, de manera que la informacién binaria aparezca en la salida durante una cierta cantidad de tiempo después de que aparece en la entrada D. (a)* Determine la forma de onda de Q en la figura 5-78 y compérela con ka forma de onda de entrada. Observe que esta retrasada desde la entrada por un periodo de reloj. (>) @Cémo puede obtenerse un retraso de dos periodos de relo|? 1 STarntrec : *Suponga que t,(in) = 0 FIGURA 5:78 Problema 5-14. 515. (a) Aplique las formas de onda de $ y CLK de la figura 5-76 a las entradas Dy CLK de un FF D que se dispara en las PGTs. Después determine la forma de onda en Q. (>) Repita el procedimiento, w 5-76 para la entrada D. 5-16.* Se puede hacer que un flip-flop D disparado por flanco opere en el modo de conmutacién si se le conecta como se muestra en la figura 5-79. Suponga que al principio Q = 0 y determine la forma de onda de Q. indo la forma de onda de C de la figura FIGURA 5-79 Flipflop D conectado para conmutar (problema 5-16) . a tw TLL 4 SECCIONS-9 517. (a) Aplique las formas de onda de $ y CLK de la figura 5-76 a las entradas Dy EN de un latch D en forma respectiva y determine la forma de onda eng. (>) Repita el proceso utilizando la forma de onda de € aplicada a D. Compare la operacién del latch D con un flip-flop D disparado por flanco negativo, mediante la aplicaci6n de las formas de onda de la figura 5-80 a cada uno de ellos y determine la forma de onda de Q. FIGURA 581 Problema 5-20, D PROBLEMAS 285 5-19. En el problema 5-16 vimos cémo un flip-flop D disparado por flanco puede operar en el modo de conmutacién. Explique por qué la misma idea no fun Gionara para ua latch D, SECCION 5-10 5-20. Determine la forma de onda de Q para el FF de la figura 5-81. Suponga que al principio Q = 0 y recuerde que las entradas asincronas predominan sobre todas las demas entradas, oITUUUL 3 preg 4 ' 1 aa} I pan pe bf ag Bp ee Prt —t Eg ' 1 LI ] 5.21.* Aplique las formas de onda de CEK, PRE y CLR (figura 5-32) a un flip-flop D dis- ‘parado por flanco positivo con entradas asincronas activas en BAJO. Suponga que D se mantiene en ALTO y que al principio Q esta en BAJO. Determine la forma de onda de Q. 5-22. Aplique las formas de onda de la figura 5-81 a un flip-flop D que se dispara con las NGTs y que tiene entradas asincronas activas en BAJO. Suponga que D se mantiene en BAJO y que al principio Q esta en ALTO. Dibuje la forma de onda resultante en Q. SECCION 5-12 5-23. Use la tabla 5-2 de la seccién 5-12 para determinar lo siguiente. (a)* zCudnto puede tardar la salida Q de un 74C74 en cambiar de 0 a 1, en respuesta a una transicién activa de CLK? (b)* 2Cual FF en la tabla 5.2 requiere que sus entradas de control perma nezcan estables durante el mayor tiempo después de la transicién activa en CLK? Antes de la transicién? (©) ZCual es el pulso mas estrecho que puede aplicarse a la entrada PRE de un FF 7474? 5-24. Use la tabla 5-2 para determinar lo siguiente: (a) 2Cudnto tiempo se requiere para borrar un 74L$112 en forma asinero: (b) 2Cuanto tiempo se requiere para establecer un 74HC112 en forma asin (c){Cual es el intervale més corto aceptable entre las transiciones activas de reloj para un 7474? (d) La entrada D de un 74HC112 cambia a ALTO 15 ns antes del flanco activo del reloj. ¢Se guardaran los datos en forma confiable en el flip- ‘lop? (e) 2Cudnto tiempo se requiere (después del flanco del reloj) para almace- har en forma sinerona un 1 en un flip-flop D 7474 borrado? SECCIONES 5-15 ¥ 5-16, 5:25. Modifique el circuito de la figura 5-40 para que utilice un flip-flop JK. FIGURA 5.82 Problema 5-26. D D N,D ‘Capit 10 5/FLIPHLOPS ¥ DISPOSITIVOS RELACIONADOS 526. En el circuito de la figura 5-82, al principio las entradas A, B y C estén en BAJO. Se supone que la salida 'Y debe cambiar a ALTO sélo cuando A, By C cambien a ALTO en cierta secuencia. (a) Determine la secuencia que hard que ¥ cambie a ALTO. (b) Explique por qué se necesita el pulso INICIO. (©) Modifique este circuito para que utilice FFs D. J x J vio. SECCIONES 5-17 ¥ 5-18, 5-27.* (a) Dibuje un diagrama de cireuito para la transferencia sincrona en para- 5.28. elo de un registro de tres bits @ otro registro, mediante el uso de flip- flops EK. (>) Repita el proceso para una transferencia asinerona en paralelo, Un registro de desplazamiento recirculante es un registro de desplazamien- to que mantiene cireulando la informacién binaria a través del registro, a medida que se aplican pulsos de reloj. El registro de desplazamiento de Ja figura 5-45 puede convertirse en un registro circulante si se conecta Xo a la linea DATOS ENT. No se utilizan entradas externas. Suponga que este registro circulante empieza con el valor 1011 almacenado en el mismo (es, decir, Xj = 1, Xp = 0, Xr = 1y Xp = 1). Liste la secuencia de estados por Jos que pasan los FFs del registro a medida que se aplican ocho pulsos de desplazamiento, 5.29.* Consulte la figura 5-46, en donde un mimero de tres bits almacenado en el registro X se esta desplazando en forma serial hacia el registro Y. cComo puede modificarse el circuito de manera que al final de la operacién de transferencia esté el mimero original almacenado en X presente en ambos registros? (Sugerencia: vea el problema 5-28.) SECCION 5-19 5.30. 531. Consulte el cireuito contador de la figura 5-47 y responda a lo siguiente: (@)* Si el contador empieza en 000, zcudl sera la cuenta después de 13 pul- sos de reloj? ) 2Qué condiciones de entrada se necesitan para que el OS se dispare ‘mediante una senal en la entrada Ay? FIGURA 5.86 Problema 5-49 AD BLN BD PROBLEMAS 289 544. La anchura del pulso de salida de un 08 74121 se obtiene mediante la férmu- a aproximada ty = 07 RiCr en donde Rr es la resistencia conectada entre la terminal RexriCexr y Veo, y Cres la capacitancia conectada entre la terminal Ceyr y la terminal Reyer! Cyxr- El valor de Ry puede variar entre 2 y 40 k®, ¥ Cr puede ser de hasta 1000 wF. (a) Muestre emo puede conectarse un 74121 para producir un pulso de pendiente negativa con una duracién de 5 ms, cada vez que cualquiera de dos seales légicas (E 0 F) produce una NGT. Tanto E como F se encuentran, por 1o general, en el estado ALTO. (b) Modifique el circuito de manera que una sefial de entrada de control (G) pueda deshabilitar el pulso de salida del OS, sin importar lo que ocurra en Eo en F. SECCION 5:23 5-45.* Muestre cémo usar un INVERSOR disparador de Schmitt 74.814 para pro- 546. 547. 548, 5.49. RELOJ ducir una onda cuadrada aproximada con una frecuencia de 10 kHz Disefie un oscilador 555 de funcionamiento libre para producir una onda cuadrada aproximada a 40 kHz. C debera mantenerse en 500 pF 0 mas. Un oscilador 555 puede combinarse con un flip-flop J-K para producir una onda cuadrada perfecta (ciclo de trabajo del 50 por ciento). Modifique el ireuito del problema 5-46 para que incluya un flip-flop J-K. La salida final tiene que seguir siendo una onda cuadrada de 40 kHz, Disefie un cireuito temporizador 555 que produzca una forma de onda de 5 kifz con_un cielo de trabajo del 10 por ciento. Seleccione un capacitor mayor de 500 pF y resistencias menores de 100 kil. Dibuje el diagrama del Gircuito con los nimeros de las terminales etiquetados. El cireuito de la figura 5-86 puede usarse para generar dos sefiales de reloj que no se traslapen entre si, a la misma frecuencia, Estas sefiales de reloj se utilizaban en los primeros sistemas de microprocesador, los cuales requerian cuatro transiciones de reloj distintas para sineronizar sus operaciones. (a) Dibuje las formas de onda de sincronizacién CP1 y CP2 si RELOJ es una onda cuadrada de 1 MHz, Suponga que tix y tp, son de 20 ns para el FF y de 10 ns para las compuertas AND. cre L/S AF ‘Canit 10 5/ELIPFLOPS ¥ DISPOSITIVOS RELACIONADOS (b) Este circuito tendria un problema si cl FF se cambiara por uno que respondiera a una PGT en CLK. Dibuje las formas de onda de CP1 y (CP2 para esa situacién. Ponga especial atencién a las condiciones que pueden producir deformaciones. SECCION 5-26 5:50. RELOJ Xe x, Consulte el circuito contador de la figura 5-47. Suponga que todas las entradas asincronas se conectan a Vcc. Al probarlo, las formas de onda del circuito aparecen como se muestra en la figura 5-87. Considere la siguiente lista de posibles fallas. Para cada una, indique “si” “no” en cuanto a si pueden producir los resultados observados. Explique cada respuesta. (a)* La entrada CLK de X; esta abierta. (b)* Los tiempos de transicién de La salida X; son demasiado largos, tal vez debido ala carga, (c) La salida de X; esta en corto con tierra, (@)_ No se cumple con el requerimiento de tiempo de retencién de X3- x \ \ FIGURA 587. Problema 5-50. 551, 582, 553, Considere la situacién de la figura 5-59 para cada uno de los siguientes conjuntos de valores de sincronizacién, Para cada uno de ellos indique si el {flip-flop Q. respondera o no en forma correcta. (a)* Cada FF: tpi = 12 ns; tp, = 8 ns; ts = 5 ns; ty = Ons; Compuerta NAND: tyr = 8 ns5 tora INVERSOR: toy = 7 15; torn, = 5 ns; (>) Cada FF: tprar = 10 ns; toy, = 8 ns; ts = 5 ns; gy = O ns; Compuerta NAND: tex ~ 12 nss thy, = 10 ns; INVERSOR: tyyy) = 8 ns; tp, = 6-05; Muestre y explique cémo el problema de desfasamiento de reloj de la figu- ra 559 puede climinarse si se insertan dos INVERSORES en forma apro- piada. Consulte el circuito de la figura 5-88. Suponga que los CIs son de La familia légica TTL. La forma de onda de Q se obtuvo cuando se probé el circuito con las senales de entrada que se muestran, y con el interruptor en la posi- cién “arriba”; no es correcta. Considere Ja siguiente lista de fallas y para cada una indique “si” 0 “no” en cuanto a cudl podria ser la verdadera falla, Explique cada respuesta. (a) El punto X siempre esta en BAJO debido a un interruptor defectuoso. ()* La terminal 1 de Z:1 esta en corto interno con Vec- (c) La conexién de Z1-3 @ 223 esta rota. (@) Hay un puente de soldadura entre las terminales 6 y 7 de ZA PROBLEMAS 291 Problema Vee 14 14 33 2} & J seo Fi Zi: 741800) Zz. 7aLSt14a @ ©) A 5654. El circuito de la figura 5-89 funciona como un candado de combinacién secuencial. Para operar el candado, proceda de la siguiente manera’ Ai 2. Active por un momento el interruptor RESET, Ajuste los interruptores SWA, SWB y SWC con la primera parte de la combinacion. Después conmute por un momento el interruptor INTRODUCIR hacia delante y hacia atras. Ajuste los interruptores con la segunda parte de la combinacién y con- mute el interruptor INTRODUCIR de nuevo, Esto debera producir un. nivel ALTO en Q; para abrir el candado. Si se introduce Ia combinacidn incorrecta en cualquiera de los pasos, el operador debera comenzar la secuencia de nuevo, Analice el circui- to y determine la secuencia correcta de combinaciones que abriran el candado. '5.* Cuando se prueba el candada de combinacién de la figura 5-89, se descu- bre que al introducir la combinacién correcta no se abre el candado. Una comprobacién con la sonda légica muestra que al introducir la. primera combinacidn correcta Q; se establece en ALTO, pero al introducir la segun- da combinacién correcta s6lo se produce un pulso momentaneo en Qs, Considere cada una de las siguientes fallas e indique cudl(es) podria(n) producir la operacién observada. Explique cada una de las opciones. (a) Rebote de interruptor en SWA, SWB 0 SWC. (b) La entrada CLR de Q; esté abierta. (©) La conexidn de la salida de la compuerta NAND 4 a la entrada de la compuerta NAND 3 esta abierta, oe ‘Capit 10 5/FLIPHLOPS ¥ DISPOSITIVOS RELACIONADOS é Nee RESET ‘ABRE CANDADO FIGURA589 Problemas 5.54 y 555. PREGUNTAS DE PRACTICA 556. 557. Para cada una de las siguientes aseveraciones, indique qué tipo de FF se esta deseribiendo. (a)? Tiene una entrada SET y una entrada CLEAR pero no tiene entrada CLK. (>)* Conmutaré en cada pulso de CLK cuando ambas entradas de control estén en ALTO. (c)* Tiene una entrada TABILITAR en ver de una entrada CLK. («)* Se utiliza para transterir datos con facilidad de un registro de FF a o1ro. (©) Sélo tiene una entrada de control (f) Tiene dos salidas que son complementos una de la otra. (g) Puede cambiar de estado sélo en la transicién activa de CLK. (h) Se utiliza en los contadores binarios. Defina los siguientes términos. (a) Entradas asincronas, (>) Disparado por flanco, (©) Registro de desplazamiento. (@) Divisi6n de frecuencia, ‘PROBLEMAS 351 G4, (a) sCudl es el intervalo de valores decimales con signo que puede repre- sentarse utilizando 12 bits, incluyendo el bit de signo? (b) :Cudintos bits se requieren para representar nimeros decimales desde 32,768 hasta +32,767? Liste en orden todos los nimeros con signo que puedan representarse en ‘inco bits, usando el sistema de complemento a 2. 66. Represente cada uno de los siguientes valores decimales como un valor binario con signe de ocho bits. Después niegue cada uno de ellos. (a)* +73 (b) 12 (©) +15. (@) -1 (e) -128 (4127 6-7. (a)* :Cual es el intervalo de valores decimales sin signo que puede repre- sentarse en 10 bits? :Cudl es el intervalo de valores decimales con signo siutilizamos el mismo niimero de bits? (b) Repita ambos problemas usando ocho bits. SECCIONES 6-3 64 6-8. La razén por la que el método de signo-magnitud para representar niimeros ‘con signo no se utiliza en la mayorfa de las computadoras puede ilustrarse mediante lo siguiente (a) Represente +12 en ocho bits, utilizando la forma de signo-magnitud. (b) Represente ~12 en ocho bits, utilizando la forma de signo-magnitud. (©) Sume los dos nameros binarios y observe que 1a suma no se parece en nada a cero, 6-9. Realice las siguientes operaciones en el sistema de complemento a 2. Use ‘ocho bits (incluyendo el bit de signo) para cada nimero. Compruebe sus resultados convirtiendo el resultado binario de vuelta en decimal, (@* Sume +9y +6. ( Reste +21 de ~13. (b)* Sume -14 y -17. (s) Reste +47 de +47. (©)* Sume +19 y ~24, (h) Reste ~36 de —15. (d)* Sume —48 de —80 (@) Sume +17 y -17. (ey Reste +16 de +17. (i). Reste ~17 de -17. 6-10. Repita el problema 6-9 para los siguientes casos y demuestre que hay des- bordamiento en cada uno de ellos. (a) Sume +37 y +95. (©) Sume ~37 y ~95. (b) Reste +37de-%. (a). Reste ~37 de +95. SECCIONES 6-57 66 6411. Multiplique los siguientes pares de nimeros binarios y compruebe sus resul- tados realizando la multiplicacién en decimal. (ay* 111 101 (©) 101.101 x 110.010 (by* 1011 x 1011 (@) 1101 x 1011 6-12. Realice las siguientes divisiones. Compruebe sus resultados realizando la divisién en decimal. (a)* 1100 + 100 (©) 10111 + 100 (yy 111111 + 1001 (@ 101101101 + 1.1

You might also like