You are on page 1of 78

BOÄ GIAÙO DUÏC VAØ ÑAØO TAÏO

ÑAÏI HOÏC QUOÁC GIA THAØNH PHOÁ HOÀ CHÍ


MINH
TRÖÔØNG ÑAÏI HOÏC SÖ PHAÏM KYÕ THUAÄT
KHOA ÑIEÄN - ÑIEÄN TÖÛ
BOÄ MOÂN ÑIEÄN TÖÛ

LUAÄN VAÊN TOÁT


NGHIEÄP
ÑEÀ TAØI:
ÖÙNG DUÏNG VI MAÏCH SOÁ LAÄP
TRÌNH

SINH VIEÂN THÖÏC HIEÄN : TRÖÔNG


PHÖÔÙC TOAØN
LÔÙP : 95KÑÑ
GIAÙO VIEÂN HÖÔÙNG DAÃN : TRAÀN VAÊN
TROÏNG
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

TP HOÀ CHÍ MINH THAÙNG 3- 2000

BOÄ GIAÙO DUÏC & ÑAØO TAÏO COÄNG HOØA XAÕ HOÄI CHUÛ NGHÓA
VIEÄT NAM
ÑAÏI HOÏC QUOÁC GIA T/P HCM Ñoäc laäp – Töï do – haïnh phuùc
TRÖÔØNG ÑAÏI HOÏC SÖ PHAÏM KYÕ THUAÄT
TP HCM

KHOA ÑIEÄN
BOÄ MOÂN ÑIEÄN TÖÛ

NHIEÄM VUÏ ÑOÀ AÙN TOÁT NGHIEÄP

Hoï vaø teân sinh vieân : Tröông Phöôùc Toaøn


Lôùp : 95KÑÑ
Ngaønh : Kyõ thuaät Ñieän – Ñieän töû

1 . Teân ñeà taøi : ÖÙNG DUÏNG VI MAÏCH SOÁ LAÄP TRÌNH

2 . Caùc soá lieäu ban ñaàu :


………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………

3 . Noäi dung phaàn thuyeát minh tính toaùn:


………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………

ÖÙng duïng vi maïch soá laäp trình


Trang 2
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………………………………………… ………
………………………………………………………………………………………………
………………………………………………………………………

ÖÙng duïng vi maïch soá laäp trình


Trang 3
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

4 . Caùc baûn veõ:


………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………

5 . Giaùo vieân höôùng daãn : TRAÀN VAÊN TROÏNG.

6 . Ngaøy giao nhieäm vuï : 13/12/1999

7 . Ngaøy hoaøn thaønh nhieäm vuï: 28/2/2000

Giaùo vieân höôùng daãn


Thoâng qua boä moân
Ngaøy
thaùng naêm 2000

Traàn Vaên Troïng

ÖÙng duïng vi maïch soá laäp trình


Trang 4
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

BAÛN NHAÄN XEÙT ÑOÀ AÙN TOÁT NGHIEÄP

Hoï vaø teân sinh vieân : Tröông Phöôùc Toaøn

Lôùp : 95KÑÑ

Ngaønh : Kyõ thuaät Ñieän – Ñieän töû

Teân ñeà taøi : ÖÙNG DUÏNG VI MAÏCH SOÁ LAÄP TRÌNH

Noäi dung ñoà aùn :

………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………

ÖÙng duïng vi maïch soá laäp trình


Trang 5
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

NHAÄN XEÙT CUÛA GIAÙO VIEÂN HÖÔÙNG DAÃN

………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………

ÖÙng duïng vi maïch soá laäp trình


Trang 6
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………

Giaùo vieân höôùng daãn

Traàn Vaên Troïng

NHAÄN XEÙT CUÛA GIAÙO VIEÂN PHAÛN BIEÄN

………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………

ÖÙng duïng vi maïch soá laäp trình


Trang 7
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………
………………………………………………………………………………………………
………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
………………………………………………………………………………………………
……………………………………………….
………………………………………………………………………………………………
………………………………………………………………………………

Giaùo vieân phaûn bieän

ÖÙng duïng vi maïch soá laäp trình


Trang 8
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

LÔØI MÔÛ ÑAÀU

Vôùi söï tieán boä khoâng ngöøng cuûa khoa hoïc kyõ thuaät,
ñaëc bieät laø ngaønh ñieän töû ñaõ öùng duïng raát nhieàu trong
coâng nghieäp. Trong lónh vöïc ñieàu khieån, töø khi coâng ngheä
cheá taïo loaïi vi maïch laäp trình phaùt trieån ñaõ ñem ñeán caùc
kyõ thuaät ñieàu khieån hieän ñaïi coù nhieàu öu ñieåm so vôùi
vieäc söû duïng caùc maïch ñieàu khieån ñöôïc laép raùp töø caùc
linh kieän rôøi nhö kích thöôùc maïch nhoû, goïn, giaù thaønh reû,
ñoä laøm vieäc tin caäy vaø coâng suaát tieâu thuï thaáp ...

Ngaøy nay lónh vöïc ñieàu khieån ñaõ ñöôïc öùng duïng
roäng raõi trong caùc thieát bò, saûn phaåm phuïc vuï cho nhu
caàu sinh hoaït haèng ngaøy cuûa con ngöôøi nhö maùy giaët,
ñoàng hoà ñieän töû ... nhaèm giuùp cho ñôøi soáng ngaøy caøng
hieän ñaïi vaø tieän lôïi hôn.

Ñeà taøi öùng duïng vi maïch soá laäp trình raát phong phuù
ña daïng, coù nhieàu loaïi hình khaùc nhau döïa vaøo coâng duïng
vaø ñoä phöùc taïp. Do taøi lieäu tham khaûo tieáng vieät haïn
cheá, trình ñoä coù haïn vaø kinh nghieäm trong thöïc tieãn coøn
non keùm, neân ñeà taøi chaéc chaén coøn nhieàu thieáu soùt.

Raát mong ñöôïc nhaän nhöõng yù kieán ñoùng goùp, giuùp


ñôõ chaân tình, quyù baùu cuûa quyù thaày coâ cuøng caùc baïn
sinh vieân.

Thaùng 2 naêm 1999

Tröông Phöôùc Toaøn

ÖÙng duïng vi maïch soá laäp trình


Trang 9
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

LÔØ
I CAÛ
M TAÏ
Con xin toûloø
ng bieát ôn voâhaïn ñeá
n ba meï vaøgia
ñình, nhöõ
ng ngöôø i thaâ
n yeâ u nhaát, ñaõheá
t loø
ng daïy doã
cho con aê
n hoïc neâ
n ngöôøi.

Con xin toûloø ng bieát ôn ñeá n thaày höôù ng daãn


TRAÀ N VAÊ N TROÏNG ñaõtaä n tình chædaïy, höôù ng daã
n,
ñoùng goù
p nhieàu yùkieá
n quyùbaùu vaøtaïo ñieà
u kieä
n cho em
trong thôø
i gian qua.

Em xin caû m ôn quyùthaà y coâtrong khoa Ñieän-


Ñieän töûtröôø
ng Ñaïi hoïc Sö phaïm Kyõthuaä t ñaõheá
t loø
ng
daïy doãem trong thôøi gian hoïc ôûtröôø
ng.

Xin caû
m ôn caù c baïn cuø
ng khoaùñaõtaä
n tình giuù
p
ñôõñeåtoâ
i hoaø
n thaø
nh toá
t luaä
n vaê
n naø
y.

Sinh vieâ
n thöïc hieä
n

Tröông Phöôù
c Toaø
n

ÖÙng duïng vi maïch soá laäp trình


Trang 10
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

MUÏC LUÏC

Trang

PHAÀN I LYÙ THUYEÁT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .


. ..1
CHÖÔNGI GIÔÙI THIEÄU CAÙC COÅNG LOGIC CÔ BAÛN . . . .
.......2
I/ COÅNG LOGIC VAØ (AND) ,HOAËC (OR) ,KHOÂNG (NOT).. . . . . . . . .
2
1/ Coång logic VAØ. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . .2
2/ Coång logic HOAËC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . .2
3/ Coång logic KHOÂNG . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
...3
II/ COÅNG LOGIC KHOÂNG-VAØ (NAND) ,KHOÂNG-HOAËC (NOR). . . . .
4
1/ Coång NAND. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
..4
2/ Coång NOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. . . .
. . .4
III/ COÅNG LOGIC EXOR ,EXNOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . .5
1/Coång EXOR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . .5
2/Coång EXNOR. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
. . . . . . .5
IV/ BIEÁN ÑOÅI CAÙC HAØM QUAN HEÄ RA HAØM LOGIC NAND,
NOR . 6

CHÖÔNG II MAÏCH LOGIC TOÅ HÔÏP . . . . . . . . . . . . . . . . . . . .


.. .. . . .8
I/ ÑAËC ÑIEÅM CÔ BAÛN CUÛA MAÏCH TOÅ HÔÏP . . . . .. . . . . . . . . . . . .
..8
II/ PHÖÔNG PHAÙP BIEÅU THÒ VAØ PHAÂN TÍCH CHÖÙC NAÊNG
LOGIC..8
III/ PHÖÔNG PHAÙP THIEÁT KEÁ LOGIC MAÏCH TOÅ HÔÏP . . . . . . . . . . .
.9
1/ Phaân tích yeâu caàu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. .
. . . .9
2/ Laäp baûng söï thaät . . . . . . . . . . . .. . . . . . . . . . . . . . . . . . . . . . .
. . . . .9
3/ Tieán haønh ñôn giaûn hoùa . . . . . .. . . . . . . . . . . . . . . . . . . . . . .
. . . . . 11

ÖÙng duïng vi maïch soá laäp trình


Trang 11
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

CHÖÔNG III GIÔÙI THIEÄU VI MAÏCH SOÁ LAÄP TRÌNH . . . .


. . . . . .12
1/ LÒCH SÖÛ PHAÙT TRIEÅN CUÛA VI MAÏCH SOÁ LAÄP TRÌNH . . . .
. . 12
2/ CAÁU TRUÙC CÔ BAÛN CUÛA CAÙC HOÏ VI MAÏCH LAÄP TRÌNH . .
. .16
3/ CAÙC PHAÀN MEØM HOÅ TRÔÏ CUÛA PLD . . . . . . . . . . . . . . . . . .
. . . 40
4/ GIÔÙI THIEÄU PHAÀN MEÀM SYNARYO . . . . . . . . . . . . . .... . . . . .
.44

PHAÀN II THI COÂNG . . . . . . . . . . . . . . . . . . . . . . . . .. . . .. . . .


. . . . . . . 48

PHAÀN III KEÁT LUAÄN . . . . . . . . . . . . . . . . . . . . . . . . . . . . .. .


. . . . . . . .60

ÖÙng duïng vi maïch soá laäp trình


Trang 12
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

PHAÀN I

LYÙ
THUYEÁT

ÖÙng duïng vi maïch soá laäp trình


Trang 13
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

CHÖÔNG I : GIÔÙI THIEÄU CAÙC COÅNG LOGIC CÔ BAÛN

I/ HAØM LOGIC VAØ (AND) , HOAËC (OR) ,KHOÂNG (NOT).

1/ Coång logic .

Goïi A laø bieán soá nhò phaân coù möùc logic laø 0 hoaëc 1,
vaø Y laø moät bieán soá nhò phaân tuøy thuoäc vaøo A: Y=
f(A).
Trong tröôøng hôïp naøy coù hai khaû naêng xaûy ra:
- Y = A, A= 0 thì Y = 0
hay A= 1 thì Y = 1
- Y = A⇒ A= 0 thì Y = 1
hay A= 1 thì Y = 0
Khi Y tuøy thuoäc vaøo hai bieán soá nhò phaân A, B
⇒ Y = f(A,B)
Vì bieán soá A,B chæ coù theå laø 0 hay 1 neân A vaø B chæ
coù theå taïo ra 4 toå hôïp khaùc nhau laø:

A B
0 0 A Y
0 1 ØMaï
1 0 ch
1 1 B

Baûng lieät keâ taát caû caùc toå hôïp khaû dó cuûa caùc
bieán soá vaø haøm soá töông öùng goïi laø baûng söï thaät. Khi
coù 3 hay nhieàu bieán soá (A,B ,C) soá löôïng haøm soá khaû dó
taêng nhanh.
Maïch ñieän töû thöïc hieän quan heä logic :
Y = f(A ) hay Y = f(A,B).
goïi laø maïch logic, trong ñoù caùc bieán soá A,B .. laø caùc ngoû
vaøo vaø haøm soáY laø caùc ngoû ra. Moät maïch logic dieãn taû
quan heä giöõa caùc ngoû vaøo vaø ngoû ra nghóa laø thöïc hieän
ñöôïc moät haøm logic, do ñoù coù bao nhieâu haøm soá logic thì
coù baáy nhieâu maïch logic .
Löu yù raèng khi bieåu dieãn moái quan heä toaùn hoïc ta goïi laø
haøm soá logic coøn khi bieåu dieãn moái quan heä veà maïch tín
hieäu ta goïi laø coång logic.

2/ Coång logic VAØ (AND).

Haøm logic VAØ ñöôïc ñònh nghóa theo baûng söï thaät sau:
Baûng söï thaät:

ÖÙng duïng vi maïch soá laäp trình


Trang 14
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

A B Y
0 0 0
0 1 0 A
Y=A.B
1 0 0 B
1 1 1

Kyù hieäu toaùn hoïc cuûa haøm soá VA.Ø Kí hieäu


coång VAØ (AND)
Y = A.B

3/ Coång logic HOAËC (OR).

Haøm soá HOAËC cuûa hai bieán soá A,B ñöôïc ñònh nghóa ôû
baûng söï thaät sau:
Baûng söï thaät:

A B Y
0 0 0 A
0 1 1 Y
B
1 0 1
1 1 1
Kí hieäu coång HOAËC

Ngoû ra Y laø 1 khi coù ít nhaát moät bieán soá laø 1, do ñoù chæ
baèng 0 ôû tröôøng hôïp khi caû hai bieán soá baèng 0.
Kyù hieäu toaùn hoïc cuûa coång HOAËC laø:
Y = A+B

4/ Coång logic KHOÂNG (NOT).

Haøm VAØ vaø haøm HOAËC taùc ñoäng leân hai hay nhieàu bieán
soá trong khi ñoù haøm KHOÂNG coù theå xem nhö chæ coù theå taùc
ñoäng leân moät bieán soá.
Baûng söï thaät :

A Y Y
A Y=A
0 1
1 0
Kí hieäu coång NOT

Haøm KHOÂNG coù taùc ñoäng phuû ñònh hay ñaûo .Sôû dó
coù söï ñoàng hoùa naøy laø vì ta ñang lieân heä vôùisoá nhò
phaân coù hai traïng thaùi 0 hay 1. Do ñoù phuû ñònh cuûa 0 laø1.

ÖÙng duïng vi maïch soá laäp trình


Trang 15
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

II/ COÅNG LOGIC KHOÂNG -VAØ (NAND) , KHOÂNG-HOAËC (NOR).

1/ Coång logic NAND .

Xeùt tröôøng hôïp coù hai bieán soá A,B ngoû ra ôû coång VAØ Y =
A.B neân ngoû ra ôû coång KHOÂNG laø ñaûo cuûa Y:
Y = A.B
Veà hoaït ñoäng cuûa coång NAND thì töø caùc toå hôïp cuûa A,B ta
laäp baûng traïng thaùi roài laáy ñaûo ñeå coù Y ñaûo. Tuy nhieân coù
theå ñi tröïc tieáp baèng caùch laäp baûng söï thaät sau:
Baûng söï thaät :

A B Y
0 0 1
A
0 1 1 & Y
1 0 1 B
1 1 0
Kí hieäu coång NAND.

2/ Coång NOR.

Xeùt tröôøng hôïp hai ngoû vaøo laø A,B .Ngoû ra ôû coång NOR
laø :
Y = A+B
neân ngoû ra ôû coång ñaûo seõ laø :
Y = A+B.
Baûng söï thaät :

A B Y
0 0 1 A
Y
0 1 0
1 0 0 B
1 1 0
Kí hieäu coång NOR.

III/ HAØM LOGIC EXOR VAØ EXNOR.

1/ Coång logic EXOR.

Haøm HOAËC ñöôïc goïi laø HOAËC bao goàm vì noù khoâng giaûi
quyeát ñöôïc baøi toaùn coäng nhò phaân. Lyù do laø khi caû hai bieán
soá ñeàu laø 1 thì Y = 1 thay vì laø 0. Maëc duø HOAËC nhö vaäy vaãn
coù yù nghóa thöïc teá neân vaãn ñöôïc duøng, nhöng ngöôøi ta phaûi
ñònh nghóa moät coång logic khaùc laø HOAËC LOAÏI TRÖØ (EXOR)
coång naøy coù yù nghóa laø loaïi tröôøng hôïp khi A,B ñoàng thôøi laø
1 thì Y = 0

ÖÙng duïng vi maïch soá laäp trình


Trang 16
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Kyù hieäu : Y = A⊕ B

Baûng söï thaät:

A B Y
0 0 0 A
0 1 1 Y
1 0 1 B
1 1 0
Kí hieäu coång EXOR.

2/ Coång EXNOR.

Haøm EXNOR ñöôïc thöïc hieän baèng caùch theâm coång NOT sau
coång EXOR,
do ñoù hoaït ñoäng logic cuûa EXNOR laø ñaûo so vôùi EXOR.
Kyù hieäu : Y = A ⊕ B
Baûng söï thaät:

B Y
0 0 1 A
0 1 0 Y
B
1 0 0
1 1 1

ÖÙng duïng vi maïch soá laäp trình


Trang 17
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

IV/ BIEÁN ÑOÅI CAÙC HAØM QUAN HEÄ RA HAØM LOGIC


NAND , NOR.

Moái lieân heä cô baûn giöõa ba coång AND, OR, NOT khoâng
nhöõng coù theå thay baèng caùc coång NAND maø coøn coù theå
bieán thaønh coång NOR vôùi cuøng moät chöùc naêng logic,
vieäc laøm naøy raát thöôøng ñöôïc aùp duïng khi thöïc hieän caùc
maïch logic. Trong thöïc teá vì toaøn boä sô ñoà neáu ñöôïc keát
hôïp cuøng moät loaïi coång duy nhaát thì seû giaûm ñöôïc soá
löôïng vi maïch caàn thieát. Quaù trình bieán ñoåi naøy döïa treân
moät nguyeân taéc ñöôïc trình baøy nhö sau:
+ Coång NOT ñöôïc thay baèng coång NAND vaø coång NOR.
- Döïa vaøo baûng söï thaät cuûa coång NAND suy ra tröôøng hôïp
laø khi caû A,B ñoàng thôøi baèng 0, thì Y = 1
vaø A =1, B =1 thì Y = 1.
Sô ñoà minh hoïa :

A=B Y

Töông töï döïa vaøo baûng söï thaät cuûa coång NOR suy ra :
A = 0, B = 0 ⇒ Y = 1
vaø A= 1, B= 1 ⇒ Y = 0
Sô ñoà minh hoïa :

A=B Y

+ Coång AND ñuôïc thay baèng coång NAND vaø coång NOR. Töông töï
nhö caùc tröôøng hôïp treân, döïa vaøo baûng söï thaät:
- Ngoõ ra cuûa coång AND Y= A+B coøn coång NAND Y' = A+B ⇒
ñaûo Y' = Y
Sô ñoà minh hoïa:

A Y
B

- Ngoû ra cuûa coång NOR Y = A.B . Ta coù Y = A . B = A + B


Sô ñoà minh hoïa :

ÖÙng duïng vi maïch soá laäp trình


Trang 18
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Y
B

+ Coång OR ñuôïc thay baèng coång NAND vaø coång NOR. Bieåu
thöùc coång OR Y = A.B, ⇒ Y’ = A + B = A.B
Sô ñoà minh hoïa :

A
Y
B

- Bieåu thöùc coång NOR Y’ = A.B ⇒ Y’ = A.B = Y

Sô ñoà minh hoïa :

A Y
B

ÖÙng duïng vi maïch soá laäp trình


Trang 19
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

CHÖÔNG II MAÏCH LOGIC TOÅ HÔÏP

I/ ÑAËC ÑIEÅM CÔ BAÛN CUÛA MAÏCH TOÅ HÔÏP.

Trong maïch soá, maïch toå hôïp laø maïch maø trò soá oån ñònh
cuûa tín hieäu ra ôû thôøi ñieåm baát kyø chæ phuï thuoäc vaøo toå
hôïp caùc giaù trò tín hieäu ngoû vaøo ôû thôøi ñieåm ñoù.Trong maïch
toå hôïp, traïng thaùi maïch ñieän tröôùc thôøi ñieåm xeùt , töùc tröôùc
khi coù tín hieäu ngoû vaøo, khoâng aûnh höôûng ñeán tín hieäu ñaàu
ra. Ñaëc ñieåm caáu truùc maïch toå hôïp laø ñöôïc caáu truùc töø caùc
coång logic .

II/ PHÖÔNG PHAÙP BIEÅU THÒ VAØ PHAÂN TÍCH CHÖÙC NAÊNG
LOGIC .

1/ Phöông phaùp bieåu thò chöùc naêng logic.

Caùc phöông phaùp thöôøng duøng ñeå bieåu thò chöùc naêng
logic cuûa maïch toå hôïp laø haøm soá logic , baûng söï thaät , sô ñoà
logic , baûng Karnaugh , cuõng coù khi bieåu thò baèng ñoà thò thôøi
gian daïng soùng .
Ñoái vôùi vi maïch côõ nhoû (SSI) thöôøng bieåu thò baèng haøm
logic. Ñoái vôùi côõ vöøa thöôøng bieåu thò baèng baûng söï thaät, hay
laø baûng chöùc naêng. Baûng chöùc naêng duøng hình thöùc lieät keâ,
vôùi möùc logic cao (H) vaø möùc logic thaáp (L) , ñeå moâ taû quan
heä logic giöõa tín hieäu ngoû ra vôùi tín hieäu ngoû vaøo cuûa maïch
ñieän ñang xeùt. Chæ caàn thay giaù trò logic cho traïng thaùi trong
baûng chöùc naêng, thì ta coù baûng söï thaät töông öùng .
X1 Z1
Z2
X2 .
. .
. Maïch toå hôïp zm
Xn
Hình 2-1 : Sô ñoà khoái maïch toå hôïp

Nhö hình 2-1 cho bieát, thöôøng coù nhieàu tín hieäu ngoû vaøo
vaø nhieàu tín hieäu ngoû ra. Moät caùch toång quaùt, haøm logic cuûa
tín hieäu ngoû ra coù theå vieát döôùi daïng :
Ζ1 = f1( x1, x2, …, xn)
Ζ2 = f2( x1, x2, …, xn)
…………………………………………
Ζm =fm( x1, x2, …, xn)

Cuõng coù theå vieát döôùi daïng ñaïi löôïng vectô nhö sau:
Ζ = F(X).

2/ Phöông phaùp phaân tích chöùc naêng logic.

ÖÙng duïng vi maïch soá laäp trình


Trang 20
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Caùc böôùc phaân tích, baét ñaàu töø sô ñoà maïch logic ñaõ cho,
ñeå cuoái cuøng tìm ra haøm logic hoaëc baûng söï thaät.
• Vieát bieåu thöùc: tuaàn töï töø ngoû vaøo ñeán ngoõ ra (hay cuõng
coù theå ngöôïc laïi), vieát ra bieåu thöùc haøm logic cuûa tín hieäu
ngoû ra.
• Ruùt goïn: khi caàn thieát thì ruùt goïn ñeán toái thieåu bieåu thöùc
ôû treân baèng phöông phaùp ñaïi soá hay phöong phaùp hình veõ.
• Keâ baûng söï thaät: khi caàn thieát thì tìm ra baûng söï thaät baèng
caùch tieán haønh tính toaùn caùc giaù trò haøm logic tín hieäu ngoû
ra töông öùng vôùi toå hôïp coù theå cuûa caùc giaù trò tín hieäu
ngoû vaøo.

III/ PHÖÔNG PHAÙP THIEÁT KEÁ LOGIC MAÏCH TOÅ HÔÏP.

Phöông phaùp thieát keá logic laø caùc böôùc cô baûn tìm ra sô ñoà
maïch ñieän logic töø yeâu caàu nhieäm vuï logic ñaõ cho.

Toái
Baûng
thieåu
Karnaugh
hoaù

Vaán ñeà Baûng Bieåu


Sô ñoà
Logic chaân thöùc
logic
thöïc lí toái
thieåu
Bieåu Toái
thöùc thieåu
logic hoaù
Hình 2-2. Caùc böôùc thieát keá maïch logic toå
hôïp.

Hình 2-2 laø quaù trình thieát keá noùi chung cuûa maïch toå hôïp,
trong ñoù bao goàm 4 böôùc chính :
1/ Phaân tích yeâu caàu:
Yeâu caàu nhieäm vuï thieát keá cuûa vaán ñeà logic thöïc coù theå
laø moät ñoaïn vaên, cuõng coù theå laø baøi toaùn logic cuï theå.
Nhieäm vuï phaân tích laø xaùc ñònh caùi naøo laø bieán soá ngoû
vaøo, caùi naøo laø haøm soá ñaàu ra vaø moái quan heä logic giöõa
chuùng vôùi nhau. Muoán phaân tích ñuùng thì phaûi tìm hieåu xem
xeùt moät caùch saâu saéc yeâu caàu thieát keá, ñoù laø moät vieäc
khoù nhöng quan troïng trong vaán ñeà thieát keá.
2/ Keâ baûng söï thaät :
Noùi chung, ñaàu tieân chuùng ta lieät keâ thaønh baûng veà quan
heä töông öùng nhau giöõa traïng thaùi tín hieäu ngoû vaøo vôùi traïng
thaùi haøm soá ngoû ra. Ñoù laø baûng keâ yeâu caàu chöùc naêng
logic, goïi taét laø baûng chöùc naêng. Vieäc naøy coù veû deã vaø tröïc
quan. Tieáp theo, ta thay giaù trò logic cho traïng thaùi, töùc laø duøng
caùc soá 0 vaø 1 bieåu thò caùc traïng thaùi töông öùng cuûa ngoû
vaøo vaø ngoû ra. Keát quaû ta coù baûng giaù trò thöïc logic, goïi taét
laø baûng söï thaät. Ñaáy chính laø hình thöùc ñaïi soá cuûa yeâu caàu
thieát keá. Caàn löu yù raèng töø moät baûng chöùc naêng coù theå
ñöôïc baûng söï thaät khaùc nhau neáu thay giaù trò logic khaùc nhau

ÖÙng duïng vi maïch soá laäp trình


Trang 21
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

(töùc laø quan heä logic giöõa ngoû ra vôùi ngoû vaøo cuõng phuï
thuoäc vieäc thay giaù trò ).
Ví duï: Sô ñoà maïch nguyeân lí hình 2-3 duøng hai chuyeån maïch
A,B maéc noái tieáp ñieàu khieån boùng ñeøn Y.

ÖÙng duïng vi maïch soá laäp trình


Trang 22
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình 2-3.Maïch ñieän hai chuyeån maïch noái tieáp.


Baûng söï thaät
A B Z
0 0 0
0 1 0
1 0 0
1 1 1

Baûng söï thaät treân coù ñöôïc töø xem tröïc tieáp caùc khaû
naêng coù theå cuûa maïch ñiänh hình 2-3. Neáu thay theá giaù
trò logic theo 4 caùch khaùc nhau thì töø caùc baûng söï thaät a,
b, c, d ta ñöôïc caùc bieåu thöùc logic khaùc nhau.
Baûng söï thaät trong 4 tình huoáng thay giaù trò khaùc nhau.

A B Z A B Z

0 0 0 1 1 1
0 1 0 1 0 1
1 0 0 0 1 1
1 1 1 0 0 0

a) Z = A.B b) Z = A + B

A B Z A B Z

0 0 1 1 1 0
0 1 1 1 0 0
1 0 1 0 1 0
1 1 0 0 0 1

c) Z = A.B d) ZZ=
d) =AA+
+ BB

Töø baûng söï thaät treân, ta thaáy raèng chuùng ta seõõ coù moái
quan heä logic khaùc nhau neáu thay giaù trò theo caùch khaùc nhau.
Chuùng ta phaûi caên cöù vaøo giaù trò thay theá traïng thaùi ñeå xaùc
ñònh yù nghóa cuï theå cuûa 0 vaø1 (töùc laø yù nghóa cuï theå cuûa
baûng söï thaät).
Khi lieät keâ baûng chöùc naêng hoaëc baûng söï thaät, coù theå
khoâng lieät keâ caùc toå hôïp traïng thaùi tín hieäu ngoû vaøo naøo
khoâng theå coù hay bò caám. Nhöõng toå hôïp naøy cuõng coù theå
ñöôïc lieät keâ, nhöng taïi ngoû ra, ôû traïng thaùi töông öùng ta ghi

ÖÙng duïng vi maïch soá laäp trình


Trang 23
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

moät daáu cheùo " Χ ", thöôøng söû duïng caùc traïng thaùi ñaùnh daáu
cheùo ñeå toái thieåu hoaù haøm logic.

3/ Tieán haønh toái thieåu hoaù.

Neáu soá bieán soá töông ñoái ít thì coù theå duøng phöông phaùp
hình veõ. Neáu soá bieán soá töông ñoái nhieàu, khi ñoù khoâng tieän
duøng phöông phaùp hình veõ,thì duøng phöông phaùp ñaïi soá.

ÖÙng duïng vi maïch soá laäp trình


Trang 24
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

CHÖÔNG III VI MAÏCH SOÁ LAÄP TRÌNH

I/ LÒCH SÖÛ PHAÙT TRIEÅN CUÛA VI MAÏCH SOÁ LAÄP TRÌNH .

Tröôùc thôøi kyø vi maïch soá laäp trình (Programmable Logic


Device) ra ñôøi, thieát keá logic soá truyeàn thoáng thì bao goàm
nhieàu vi maïch TTL loaïi MSI vaø SSI keát hôïp laïi ñeå taïo ra caùc
haøm logic mong muoán. Nhöõng nhaø thieát keá döïa vaøo nhöõng
saùch tra cöùu caùc vi maïch soá ñeå tìm hieåu caùc thoâng soá kyõ
thuaät, sau ñoù môùi quyeát ñònh söû duïng caùc vi maïch soá caàn
thieát cho yeâu caàu thieát keá cuûa hoï. Ñieàu baát lôïi cuûa vieäc
thieát keá naøy laø trong moät board söû duïng nhieàu vi maïch, do ñoù
khi söûa chöõa thì gaëp nhieàu khoù khaên.
Vaøo naêm 1975,coâng ty SIGNETICS ñaõ giôùi thieäu vi maïch soá
laäp trình khoâng coù boä nhôù ñaàu tieân 82S100 (hieän nay laø
PLS100) goïi laø maûng logic laäp trình tröôøng (Field-Programmable
Logic Array). Napoleon Cavlan, ngöôøi ñöôïc goïi laø cha ñeû cuûa maïch
logic laäp trình, luùc baáy giôø laø nhaø quaûn lyù nhöõng öùng duïng
PLA cuûa Signetics ñaõ thöïc söï hieåu raèng söû duïng PLA laø phöông
phaùp toát hôn ñeå thieát keá vaø thay ñoåi heä thoáng soá. Trong khi
ñoù, coâng ty Harris ñaõ sôùm giôùi thieäu PROM, hoï trình baøy trieån
voïng cuûa PROM vaø ñaõ öùng duïng vaøo trong moät soá maïch logic.
Coâng ty National Semiconductor ñaõ cheá taïo maët naï laäp trình
cho PLA, caáu taïo cuûa noù goàm moät maûng AND laäp trình keøm
vôùi maûng OR laäp trình, cho pheùp thöïc hieän toå hôïp toång caùc
tích soá cuûa haøm logic tieâu chuaån. Baèng caùch keát hôïp coâng
ngheä PROM söû duïng nguyeân taéc caàu chì vôùi khaùi nieäm PLA,
Cavian ñaõ thuyeát phuïc ñöôïc caùc nhaø quaûn lyù coâng ty Signetics
ñeå ñöa döï aùn PLAvaøo saûn xuaát.
Vi maïch PLA ñaàu tieân 82S100, laø thaønh vieân ñaàu tieân cuûa
hoï vi maïch IFL (Intergrated Fuse Logic) coù hình daïng 28 chaân. Caáu
truùc cuûa PLA goàm moät maûng AND laäp trình vaø moät maûng OR
laäp trình, noù cho pheùp thöïc hieän toå hôïp logic toång cuûa caùc
tích soá ñôn giaûn .
Kyõ sö John Martin Birkner laø moät ngöôøi quan taâm ñeán PLA, vì
oâng aáy hieåu raèng nhieàu phöông phaùp thieát keá logic ñöôïc hoïc
trong tröôøng thì khoâng aùp duïng ñöôïc nhieàu trong coâng vieäc
hieän taïi. Do ñoù, vaøo naêm 1975 oâng aáy ñaõ rôøi thung luõng
Silicon ñeå ñeán coâng ty Monolithic Memories (MMI), ñaây laø coâng ty
cheá taïo PROM vaø caùc vi maïch logic tieâu chuaån. Vì vaäy, Birkner
coù ñieàu kieän hôn trong vieäc tìm hieåu PLA vaø coâng nhaän nhöõng
öu ñieåm cuûa maïch logic laäp trình nhöng ñoàng thôøi oâng cuõng
nhaän ra khuyeát ñieåm cuûa PLA laø coù hai maûng laäp trình. Sau
ñoù, Birkner ñaõ ñöa ra khaùi nieäm môùi veà vi maïch soá laäp trình, vi
maïch naøy cuõng töông töï FLA nhöng thay vì coù hai maûng laäp trình
thì PAL (Programmable Array Logic ) chæ coù moät maûng AND laäp
trình vaø theo sau laø maûng OR ñöôïc giöõ coá ñònh (khoâng laäp trình
). Nhö vaäy moãi coång OR seõ coù moät tích soá coá ñònh ñöôïc noái

ÖÙng duïng vi maïch soá laäp trình


Trang 25
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

vôùi ngoû vaøo cuûa noù, do vaäy seõ giaûm ñöôïc kích thöôùc cuûa vi
maïch vaø cho pheùp tín hieäu ñöôïc truyeàn nhanh hôn trong khi vaãn
cho pheùp thöïc hieän caùc toå hôïp logic. PAL ñöôïc ñoùng voû 20
chaân. Sau moät thôøi gian thuyeát phuïc caùc nhaø quaûn lyù cuûa
coâng ty MMI thaáy roõ nhöõng lôïi ñieåm cuûa PAL vaø ñoàng yù saûn
xuaát. Vi maïch ñaàu tieân thuoäc hoï PAL ñöôïc phoå bieán laø PAL
16L8, PAL 16R4, PAL 16R6, PAL 16R8. Caùc vi maïch naøy coù thôøi gian
truyeàn trì hoaõn 35ns. Moãi vi maïch coù 8 ngoõ ra vaø 16 ngoõ vaøo,
trong ñoù kyù töï L trong kyù hieäu cuûa vi maïch bieåu thò 8 toå hôïp
ngoû ra taùc ñoäng ôû möùc thaáp, kyù töï R cho bieát coù 4, 6 hay 8
thanh ghi ôû ngoû ra töông öùng.
Sau moät thôøi gian khôûi ñaàu chaäm, cuoái cuøng PAL ñaõ ñöôïc
thieát keá trong heä thoáng thöïc. Nhöõng coâng ty maùy tính mini ñaõ
nhaän thaáy ñöôïc öu ñieåm cuûa PAL laø cho pheùp hoï giaûm soá
board caàn thieát ñeå thöïc hieän toát nhöõng yeâu caàu thieát keá,
coâng ty MMI ñaõ choïn phöông phaùp saûn xuaát PAL coâng ñoaïn
maët naï cheá taïo theo yeâu caàu khaùch haøng. Vaøo luùc naøy MMI
laïi giôùi thieäu moät hoï vi maïch môùi HAL (Hard Array Logic) vaø ñeå
saûn xuaát nhöõng chi tieát naøy cho haõng Data General and Digital
Equipment. MMI ñaõ thay ñoåi caùch saép xeáp coâng ñoaïn maët naï
caàu chì vaø thay vaøo ñoù laø lôùp lieân keát kim loaïi phuø hôïp yeâu
caàu thieát keá cuûa khaùch haøng. Nhöõng chi tieát naøy coù nhieàu
lôïi ích goàm mang laïi nhöõng keát quaû toát vaø kieåm tra deã daøng
hôn. Ñoàng thôøi khaùch haøng cuõng ñöôïc lôïi hôn bôûi khoâng phaûi
quan taâm ñeán laäp trình vaø kieåm tra caùc chi tieát. Ñieàu naøy ñaõ
mang laïi söï caûi tieán veà phöông phaùp cheá taïo PAL, vaø ñöôïc söï
chaáp nhaän cuûa thò tröôøng. Vaøo naêm 1978, MMI ñaõ xuaát baûn
saùch höôùng daãn PAL ñaàu tieân. Ñoù laø moät böôùc khôûi ñaàu ñeå
PAL môû roäng theá giôùi cuûa nhöõng ngöôøi thieát keá maïch logic.
Ngoaøi ra trong saùch höôùng daãn coøn trình baøy danh saùch chöông
trình goác cuûa ngoân ngöõ laäp trình FORTRAN cho PALASM (PAL
Assembler) ñoù laø phaàn meàm daønh cho vieäc thieát keá maïch logic
PAL. PALASM coù theå bieân soaïn, ñònh nghóa logic cho moät khuoân
thöùc. Ngoaøi ra PALASM cuõng coù khaû naêng moâ phoûng söï vaän
haønh treân phöông trình maïch logic theo nguyeân taéc PAL. Trong
vieäc lieân keát vôùi nhöõng nhaø thieát keá ñeå ñònh roõ nhöõng
“vector kieåm tra”, PALASM coù theå laø moät söï thaät phuø hôïp.
Taát caû nhöõng ñaëc ñieåm cuûa PAL bao goàm vieäc khaéc phuïc
nhöõng khuyeát ñieåm cuûa PLA keát hôïp vôùi vieäc thuùc ñaåy söû
duïng PAL ñaõ mang ñeán keát quaû toát ñeïp. PAL ñaõ nhanh choùng
vöôït qua hoï vi maïch IFL cuûa coâng ty Signetics vaø ñöôïc phoå bieán
treân thò tröôøng, thuaät ngöõ PAL ñaõ trôû neân ñoàng nghóa vôùi
PLD.
Trong luùc aáy, coâng ty Signetics tieáp tuïc phaùt trieån hoï IFL,
vaø vaøo naêm 1977 Signetics giôùi thieäu hoï vi maïch FPGA (Field
Programmable Gate Array) 82S103, vaøo naêm 1979 laø hoï FPLS (Field
Programmable Logic Sequencer). Hoï FPGA coù caáu taïo moät maûng
AND ôû möùc ñôn vôùi ngoû vaøo laäp trình ñöôïc vaø cöïc tính ngoõ ra
cuõng vaäy cho pheùp thöïc hieän caùc haøm logic cô baûn (AND, OR,

ÖÙng duïng vi maïch soá laäp trình


Trang 26
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

NAND, NOR, INVERT), caáu truùc cuûa hoï FPLS coù chöùc caùc FlipFlop
ñeå thöïc hieän caùc traïng thaùi cuûa haøm tuaàn töï. Ñoàng thôøi
Signetics cuõng giôùi thieäu AMAZE (Automated Map and Zap
Equations) laø chöông trình bieân dòch ñeå hoå trôï cho nhöõng vi
maïch cuûa hoï. Töông töï, nhöõng coâng ty cheá taïo PLD khaùc ñaõ
laàn löôïc giôùi thieäu nhöõng phaàn meàm hoã trôï cuûa hoï.
Caû 2 coâng ty Signetics vaø MMI tieáp tuïc giôùi thieäu nhöõng PLD
môùi ñeå ñaùp öùng tính ña daïng theo caùc yeâu caàu thieát keá. Vaøo
giöõa naêm 1980, maïch logic laäp trình ñaõ ñöôïc thöøa nhaän cuøng
vôùi söï phaùt trieån tính ña daïng cuûa IFL vaø PAL ñaõ coù nhieàu giaù
trò cho nhöõng ngöôøi thieát keá. Maëc duø söï khôûi ñaàu thaønh
coâng cuûa PLD, tuy nhieân chæ moät soá ít caùc nhaø thieát keá quen
vôùi vieäc duøng PLD, moät soá tröôøng ñaïi hoïc ñaõ ñöa vi maïch logic
laäp trình vaøo nhöõng khoùa hoïc thieát keá cuûa hoï.
Tuy theá, kó thuaät logic laäp trình tieáp tuïc caûi tieán vaø
nhöõng vi maïch phaùt trieån ôû giai ñoaïn thöù hai ñöôïc giôùi
thieäu vaøo naêm 1983. Coâng ty Advance Micro Devices ( AMD)
ñaõ giôùi thieäu PAL22V10 vôùi nhöõng ñaëc ñieåm ñaëc bieät laø
söï linh ñoäng cuûa nhöõng coång PLD ôû 10 ngoû vaøo. Moãi
coång PLD coù khaû naêng toå hôïp hoaëc vôùi thanh ghi ôû ngoû
ra hoaëc moät ngoû vaøo. Coång ñeäm ngoõ ra ba traïng thaùi
ñöôïc ñieàu khieån bôûi moät tích soá rieâng cho pheùp vaän
haønh hai chieàu. Taát caû thanh ghi ñeàu ñöôïc reset töï ñoäng
trong quaù trình taét hay môû vaø moãi thanh ghi coù khaû naêng
“ñaët tröôùc”, ñoù laø ñaëc ñieåm ñaëc bieät cho vieäc kieåm tra
sau naøy.
Vôùi nhöõng vi maïch môùi, ñöôïc giôùi thieäu thöôøng xuyeân
treân thò tröôøng ñaõ daãn ñeán vieäc caàn thieát phaûi coù moät
phaàn meàm hoã trôï trong quaù trình söû duïng PLD ñeå ñaït hieäu
quaû cao.
Bob Osann ñaõ nhaän thaáy ñöôïc söï caàn thieát cuûa moät
chöông trình bieân dòch PLD vaïn naêng duøng cho taát caû PLD cuûa
nhöõng coâng ty cheá taïo khaùc nhau.
Vaøo thaùng 9/1983, Coâng ty Assisted Technology ñaõ ñöa ra
phieân baûn 1.01a cuûa chöông trình bieân dòch PLD coù teân laø
CUPL( Universal Compiler for Programmable). Chöông trình naøy hoã trôï
cho 29 loaïi vi maïch, söï ra ñôøi cuûa CUPL ñaõ gaây ñöôïc söï chuù yù
cuûa nhieàu coâng ty cheá taïo. Coâng ty Data I/O, nhaø cheá taïo caùc
vi maïch laäp trình lôùn nhaát treân theá giôùi (EPROM, PROM, PLD),
ñaõ quyeát ñònh phaùt trieån phaàn meàm hoã trôï cho rieâng hoï.
Naêm 1984, Data I/O giôùi thieäu ABEL (Advanced Boolean Expression
Language), ñoù laø chöông trình bieân dòch PLD coù ñaëc ñieåm töông
töï nhö CUPL nhöng noù ñöôïc ñaàu tö tieáp thò neân ñöôïc caùc nhaø
thieát keá chaáp nhaän. Vì vaäy, ABEL ñaõ sôùm theo kòp CUPL treân
thò tröôøng.
Söï ra ñôøi cuûa chöông trình bieân dòch vaïn naêng cho PLD ñaõ
thuùc ñaåy neàn coâng nghieäp thieát keá soá saün saøng cho vieäc
aùp duïng PLD cho nhöõng thieát keá môùi. Nhöõng chöông trình bieân

ÖÙng duïng vi maïch soá laäp trình


Trang 27
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

dòch vaïn naêng naøy ñaõ ñöôïc caûi tieán hôn so vôùi caùc chöông
trình bieân dòch PALASM vaø AMAZE, noù ñöôïc cung caáp cho caùc
nhaø thieát keá ñeå thöïc hieän caùc maïch logic vaø moâ phoûng
nhöõng thieát bò. Ñoù laø nhöõng ñaëc ñieåm tieâu chuaån cuûa hai
boä bieân dòch vaïn naêng CUPL vaø ABAL. JEDEC ( the Joint Electron
Device Engineering Council) döï ñònh saûn xuaát moät boä bieân dòch
PLD taïo ra moät tieâu chuaån ñeå söû duïng cho taát caû caùc coâng ty
cheá taïo PLD hieän nay vaø töông lai. Vaøo 10/1983, the JEDEC Solid
State Products Engineering Council ñöa ra tieâu chuaån JEDEC thöù 3“.
Tieâu chuaån khuoân thöùc chuyeån ñoåi giöõa heä thoáng taïo döõ
lieäu vaø thieát bò laäp trình cho PLD”. Thaùng 5/1986, JEDEC tieáp tuïc
ñöa ra tieâu chuaån 3-A, tieâu chuaån naøy trôû thaønh tieâu chuaån
chung cho coâng nghieäp PLD.
Thaùng 7/1984, coâng ty Altera giôùi thieäu EP300. Ñoù laø vi
maïch söû duïng coâng ngheä CMOS cuûa EPROM, noù coù ñaëc tính laø
coâng suaát tieâu thuï thaáp, coù theå xoùa ñöôïc (duøng tia cöïc tím)
cuøng moät soá ñaëc tính môû roäng khaùc.Naêm 1985, moät hoï PLD
môùi ñöôïc coâng ty Lattice Semiconductor giôùi thieäu laø GAL
(Generic Array Logic). Lattice duøng coâng ngheä CMOS cuûa EEPROM,
coù caùc ñaëc tính kyõ thuaät nhö coâng suaát thaáp, coù theå laäp
trình nhieàu laàn ( xoùa baèng ñieän aùp vôùi thôøi gian xoùa khoaûng
vaøi giaây). Vi maïch ñaàu tieân cuûa hoï GAL ñöôïc kí hieäu laø
GAL16V8 coù khaû naêng thay theá hoaït ñoäng cuûa PAL (ñoái vôùi vi
maïch cuøng loaïi).
Ngaøy caøng nhieàu coâng ty tham gia vaøo thò tröôøng PLD ñeå
taïo ra nhöõng vi maïch ñaëc bieät vaø söû duïng nhieàu coâng ngheä
cheá taïo khaùc nhau. Vaøo naêm 1985, coâng ty Xilen taïo ra moät hoï
môùi laø LCA (Logic Call Array). Caáu truùc cuûa LCA coù 3 ñoaïn: moät
ma traän cuûa khoái logic ñöôïc bao quanh laø khoái vaøo ra vaø moät
maïng ñöôøng döõ lieäu noái giaùn tieáp. Ñaëc bieät cuûa LCA laø PLD
ñaàu tieân söû duïng teá baøo RAM ñoäng cho chöùc naêng logic. Öu
ñieåm cuûa caáu truùc naøy laø khaùch haøng coù theå kieåm tra ñöôïc
chöông trình cuûa vi maïch, do baûn chaát deã xoùa cuûa LCA, neân
caàn phaûi löu tröõ caáu hình cuûa LCA ôû boä nhôù ngoaøi. Vì vaäy,
LCA khoâng ñöôïc söû duïng ôû nhöõng tröôøng hôïp ñoøi hoûi söï hoaït
ñoäng ngay laäp töùc khi khôûi ñoäng maùy. Ñi keøm vôùi LCA laø
chöông trình soaïn thaûo XACT vaø boä moâ phoûng giuùp cho vieäc
söûa loãi cho nhöõng thieát keá treân LCA ñöôïc thuaän tieän.
Naêm 1985, coâng ty Signetics vôùi moät khaùi nieäm môùi laø
PML (Programmable Macro Logic). Vi maïch PML ñaàu tieân cuûa
Signetics PMLS 501, vi maïch naøy söû duïng coâng ngheä löôõng cöïc,
vaø ñöôïc ñoùng voû 52 chaân .
Vaøo naêm 1986, coâng ty ExMicroelectronic giôùi thieäu hoï
ERASIC (Erasable Application Specific 7C) söû duïng coâng ngheä
EEPROM CMOS. Vi maïch ñaàu tieân laø XL78C00 coù daïng 24 chaân
vaø ñieàu ñaëc bieät laø XL78C00 coù theå thay theá chöùc naêng cho
PAL vaø EPLA cuøng loaïi (khoâng tính ñeán toác ñoä), ñi keøm laø moät
phaàn meàm hoã trôï ERASIC.

ÖÙng duïng vi maïch soá laäp trình


Trang 28
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Vaøo naêm 1986, coâng ty Signetics quyeát ñònh thay ñoåi hoï IFL
thaønh hoï PLS (Programmable Logic From Signetics). Ví duï nhö töø
82S100 thaønh PLS100, töø 82S157 thaønh PLS157. Sau ñoù 2 naêm,
coâng ty Actel ñaõ caûi tieán khuyeát ñieåm hoï LCA laø vi maïch coù
theå hoaït ñoäng khoâng nhaát thieát phaûi coù boä nhôù ngoaøi.
Ñoàng thôøi coâng ty Gazelle Microcircuit ñaõ coâng boá phaùt minh
coâng ngheä GaAs
(Gallium Arsenide). Ñaëc ñieåm cuûa coâng ngheä naøy laø caûi tieán
toác ñoä , coâng suaát cuûa caùc vi maïch treân neàn taûng laø coâng
ngheä silicon, cho pheùp vi maïch laøm vieäc vôùi toác ñoä nhanh hôn
coâng suaát tieâu taùn khi ôû möùc trung bình.
Öùng duïng ñaàu tieân cuûa coâng ngheä GaAs ñöôïc coâng ty
Gazelle ñöa ra laø phieân baûn cuûa PAL 22V10. Öu ñieåm cuûa maïch
naøy laø cho pheùp vi maïch GaAs coù theå töông hôïp vôùi caùc vi
maïchTTL, do ñoù coâng ngheä GaAS ñaõ ñöôïc öùng duïng roäng raõi.
Sau moät thôøi gian caûi tieán khoâng ngöøng, nhöõng PLD theá heä
sau ñaõ ñöôïc öùng duïng roäng raõi trong kyõ thuaät phaàn cöùng, noù
trôû thaønh coâng cuï caàn thieát cho nhöõng kyõ sö thieát keá.
Söï phaùt trieån trong coâng nghieäp PLD noùi rieâng vaø vôùi
coâng nghieäp baùn daãn noùi chung ñaõ taïo neân söï caïnh tranh
cuûa caùc coâng ty cheá taïo PLD treân theá giôùi. Do ñoù, ñaõ coù
nhieàu xung ñoät xaûy ra giöõa caùc coâng ty trong vieäc caïnh tranh
thò tröôøng.
Vaøo naêm 1986 coâng ty MMI ñaõ kieän hai coâng tyAltera vaø
Lattic vì ñaõ vi phaïm baûn quyeàn PAL. Keát quaû laø hai coâng ty naøy
ñaõ chaáp nhaän thua kieän vaø phaûi mua baûn quyeàn. Sau ñoù
coâng ty MMI mua coå phaàn trong coâng ty Xilin vaø sôû höõu baûn
quyeàn hoï LCA. Sau ñoù 1 naêm coâng ty MMI hôïp vôùi AMD trôû
thaønh moät taäp ñoaøn saûn xuaát caùc linh kieän baùn daãn haøng
ñaàu treân theá giôùi. Tuy ñaõ hôïp nhaát hai coâng ty nhöng hoï vaãn
tieáp tuïc phaùt trieån caùc hoï vi maïch hieän coù vì nhöõng hoï PLD
naøy ñaõ trôû neân phoå bieán treân thò tröôøng. Vaøo naêm 1987,
coâng ty National Semiconductor ñaõ mua laïi coâng ty Fairchild vaø
tieáp tuïc phaùt trieån hoï PAL FASTPLA treân thò tröôøng .

II/ CAÁU TRUÙC CÔ BAÛN CUÛA CAÙC HOÏ VI MAÏCH LAÄP TRÌNH
(PLD).

Vi maïch soá laäp trình traûi qua thôøi gian daøi phaùt trieån vaø
caûi tieán ñaõ thöïc söï môû ra moät höôùng ñi môùi cho nhöõng nhaø
thieát keá. Öu ñieåm cuûa PLD laø giaûi quyeát ñöôïc voâ soá nhöõng
vaán ñeà thieát keá nhôø vaøo nhieàu hoï PLD khaùc nhau. Nhöõng hoï
vi maïch naøy coù caáu truùc vaø coâng ngheä cheá taïo khaùc nhau,
do ñoù chuùng coù nhöõng ñaëc ñieåm rieâng ñeå öùng duïng vaøo
nhieàu lónh vöïc trong coâng ngieäp. Maëc khaùc ngöôøi thieát keá
coøn quan taâm ñeán caùc thoâng soá kyõ thuaät cuûa vi maïch nhö
toác ñoä, coâng suaát tieâu thuï, nguoàn cung caáp vaø coâng cuï hoã
trôï ñeå laäp trình.

ÖÙng duïng vi maïch soá laäp trình


Trang 29
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

1. Hoï vi maïch PROM (Progammable Read Only Memory).

PROM goïi laø boä nhôù chæ ñoïc laäp trình ñöôïc. Ñaây laø hoï vi
maïch ñaàu tieân ñöôïc söû duïng nhö laø nhöõng vi maïch soá laäp
trình theo quan ñieåm cuûa vi maïch soá. Caáu truùc cuûa PROM raát
ñôn giaûn bao goàm moät maûng teá baøo nhôù vôùi nhöõng ñöôøng
ñiaï chæ ngoû vaøo vaø nhuõng ñöôøng döõ lieäu ngoû ra. Soá ñöôøng
ñiaï chæ vaø döõ lieäu cho bieát ma traän nhôù cuûa PROM. Moät PROM
ñôn giaûn ñöôïc trình baøy ôû hình 3.1

D7
D6
A4
D5
A3
D4
A2
D3
Ngoû vaøo A1
D2
Ngoû ra
A0
D1
D0

Hình 3.1. Trình baøy moät PROM ñôn giaûn


PROM coù 5 ñöôøng ñieàu khieån ngoû vaøo cho pheùp taïo ra 32
toå hôïp logic vaø 8 ñöôøng döõ lieäu ra taïo thaønh moät ma traän
nhôù 32x8, vì vaäy coù toång coäng 256 teá baøo nhôù. Caáu truùc
cuûa PROM goàm moät maûng AND coá ñònh theo sau laø maûng OR
laäp trình, ñöôïc minh hoïa ôû hình 3.2.

ÖÙng duïng vi maïch soá laäp trình


Trang 30
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình 3-7 Sô ñoà logic cuûa PROM

Chuù thích:
- Daáu X trong hình bieåu hieän nhöõng ñieåm laäp trình
(ñöôïc keát noái thoâng qua
Hình 3.2moät caàu
Sô ñoà chì)
logic . PROM
cuûa
- Daáu chaám troøn bieåu thò nôi ñoù ñöôïc noái coá ñònh.
ÔÛ maûng AND coá ñònh coù 16 bieán ñöôïc choïn vaø lieân keát
vôùi 4 tín hieäu ngoõ vaøo maûng OR. Do ñoù baát kì moät lieân keát
naøo bò loaïi boû (nghóa laø caàu chì ôû ñoù bò ñöùt, thì bieán ñoù seõ
khoâng coù maët ôû bieåu thöùc ngoõ ra).
Caùc haøm ôû ngoû ra thay ñoåi tuøy thuoäc vaøo söï keát noái
cuûa caùc bieán ôû ngoõ vaøo.

ÖÙng duïng vi maïch soá laäp trình


Trang 31
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

PROM thöôøng ñöôïc söû duïng ñeå giaûi maõ ñiaï chæ vaø öùng
duïng ñeå löu tröõ döõ lieäu. Khi thieát keá caùc PROM, nguôøi thieát
keá phaûi chuù yù ñeán söï thay ñoåi möùc logic ngoû vaøo (xaûy ra
trong thôøi gian ngaén) khi ñòa chæ ngoõ vaøo thay ñoåi. Phöông thöùc
ghi cuûa PROM laø khi coù moät tín xung clock ñoàng boä thì maïch
ngoõ ra chuyeån sang traïng thaùi khaùc. Ñaëc ñieåm naøy seõ giuùp
khaéc phuïc ñöôïc vaán ñeà taïp nhieãm ôû PROM.
Khi khaûo saùt PROM, ngöôøi ta thöôøng quan taâm ñeán toác ñoä
truy xuaát döõ lieäu. Thoâng thöôøng caùc loaïi PROM coù thôøi gian
truy xuaát döôùi 60 ns. Caùc loaïi PROM thöôøng söû duïng coâng ngheä
löôõng cöïc laø nguyeân taéc cô baûn ñeå cheá taïo. Tuy nhieân, khoa
hoïc tieán boä ñaõ phaùt minh ra coâng ngheä CMOS cho pheùp ruùt
ngaén thôøi gian truy xuaát. Coâng ngheä CMOS ñöôïc duøng ñeå cheá
taïo EPROM, ñoù laø moät daïng PROM coù theå xoùa ñöôïc baèng tia
cöïc tím. Noù ñaõ taïo ra moät böôùc tieán ñaùng keå nhö: EPROM
WS57C256F cuûa coâng ty WaferScale Integration coù dung löôïng
32Kx8 vôùi thôøi gian truy xuaát laø 55 ns, coâng ty Cypress
Semicondutor giôùi thieäu PROM CY7C245 coù dung löôïng laø 2048x8
vôùi thôøi gian truy xuaát laø 25 ns.
Treân ñaây laø moät vaøi ví duï cho thaáy coâng ngheä CMOS ñöôïc
chaáp nhaän cho nhöõng öùng duïng thieát keá maïch.

2. Hoï vi maïch FPLA ( Field Progammable Logic Array)

Hoï vi maïch FPLA ñaàu tieân ñöôïc coâng ty Signetics giôùi thieäu
vaøo naêm 1975. Caáu truùc cuûa FPLA laø moät maûng AND – OR ñôn
giaûn, ñöôïc trình baøy ôû hình 3. 3.
Maûng AND – OR coù theå laäp trình ñeå thöïc hieän 4 haøm logic baát
kì vôùi hai bieán ngoõ vaøo. Moãi bieán ngoõ vaøo ñöôïc ñöa qua coång
ñeäm ñeå taïo hai möùc logic 0 vaø 1. Moãi möùc logic naøy ñöôïc noái
vôùi ngoõ vaøo coång AND thoâng qua moät caàu chì laäp trình. Taát
caû 4 caàu chì ñöôïc giöõ nguyeân.
Neáu taát caû caàu chì ñeàu thoâng, ví duï nhö coång ANDK
thì bieåu thöùc ngoõ ra cho coång seõ laø:
K=A AND A AND B AND B = AABB
Töø keát quaû treân cho thaáy ngoû ra cuûa coång AND luoân
ôû möùc thaáp, ñieàu naøy khoâng coù lôïi. Tuy nhieân neáu ta
laäp trình cho 4 caàu chì treân, ví duï ta choïn A x B, luùc naøy
giaù trò cuûa 2 bieán naøy seõ khoâng coù trong bieåu thöùc.
Bieåu thöùc ngoû ra coång AND K laø: K= A.B
Nguyeân taéc ôû ñaây laø löïa choïn nhöõng giaù trò ñeå laäp
trình, khi moät caàu chì ñöôïc choïn nghóa laø giaù trò cuûa noù
seõ khoâng coù maët trong bieåu thöùc.

ÖÙng duïng vi maïch soá laäp trình


Trang 32
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

C B A

O3 O2 O1 O0

Hình 3.3 . Sô ñoà bieåu thöùc ngoû ra cuûa FPLA

Löu yù maûng OR trong maïch ôû hình3.4. Moãi ngoû ra


coång AND ñöôïc noái tôùi 1 ngoû vaøo coång OR thoâng qua
moät caàu chì vaø moät Diode. Xeùt bieåu thöùc F1 giaû söû caùc
caàu chì ñeàu thoâng, ta coù :
F1= K + L+ M + N
Vôùi K,L,M,N laø nhöõng tích soá cuûa AXB, F1 laø toång caùc
tích so ácuûa hai bieán A vaø B. Baây giôø ta seõ laäp trình baèng
caùch laøm ñöùt caùc caàu chì thì caùc soá haïng öùng vôùi
nhöõng caàu chì bò ñöùt seõ khoâng coù maët trong bieåu thöùc.
Baèng caùch laäp trình caùc caàu chì ôû maûng AND – OR (nghóa
laø loaïi boû giaù trò giaù trò cuûa noù trong bieåu thöùc) FPLA
coù theå taïo ra caùc haøm logic khaùc nhau theo maïch thieát
keá chæ vôùi hai bieán ngoû vaøo. Löu yù nhöõng Diode trong
maûng OR ñöôïc duøng ñeå baûo veä ngaén maïch.
Sô ñoà maïch trong hình 3.4 laø moät ví döï ñôn giaûn cuûa
hoï vi maïch maûng logic laäp trình tröôøng. Neáu vi maïch do
coâng ty cheá taïo ñaõ ñöôïc laäp trình baèng coâng ñoaïn maët
naï vôùi coâng ngheä löôõng cöïc thì chöông trtình coá ñònh
khoâng thay ñoåi ñöôïc. Do ñoù vi maïch naøy ñöôïc goïi laø PLA.

ÖÙng duïng vi maïch soá laäp trình


Trang 33
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Neáu vi maïch ñöôïc saûn xuaát ñeå ngöôøi söû duïng coù theå
laäp trình thì goïi laø FPLA.

I0
HINH3-11

I1
I2
I3
I4
I5
I6
I7

B9
B8
B7
B6
B5
B4
B3
B2
B1
Hình 3.4. Sô ñoà logic cuûa FPLA PLS 153
B0
3. Hoï vi maïch FPLS ( Field Programable Logic
Sequencer)

Hoï FPLS ñöôïc giôùi thieäu vaøo naêm 1979, FPLS coù caáu
truùc moâ phoûng theo caáu truùc cuûa FPLA nhöng ñöôïc boå
sung theâm nhöõng thanh ghi cho pheùp “preloading” traïng
thaùi cuûa thieát bò. Moät vaøi thanh ghi ôû ngoû ra ñöôïc ñöa
hoài tieáp veà maûng AND laäp trình vaø moät soá khaùc coù
ÖÙng duïng vi maïch soá laäp trình
Trang 34
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

nhöõng thanh ghi ngaàm (nhöõng thanh ghi ñöôïc boå sung treân
chíp vaø khoâng noái vôùi chaân cuûa ngoû vaøo hay ngoõ ra)
boå sung vôùi thanh ghi ngoû ra, noù coù theå hoài tieáp hoaëc
khoâng hoài tieáp.

Hình 3.5 . Sô ñoà logic FPLS PLS157

ÖÙng duïng vi maïch soá laäp trình


Trang 35
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Sô ñoà logic cuûa vi maïch PLS157 ñöôïc coâng ty Signetics


giôùi thieäu ñöôïc trình baøy ôû hình 3.5, coù hình daùng beân
ngoaøi 20 chaân, coù caáu truùc16x45x12. PLS157 coù 6 thanh
ghi vaø 6 toå hôïp ôû ngoõ ra. Caùc toå hôïp ôû ngoõ ra coù chöùc
naêng nhaát, nhöõng thanh ghi ñöôïc caáu taïo baèng nhöõng
coång ñaûo M(M0-M5). Caáu truùc môùi cuûa PLS157 coù nhöõng
ñaëc ñieåm ñaùng löu yù laø nhöõng thanh ghi cho pheùp choát
nhöõng tín hieäu ôû ngoõ vaøo vaø nhöõng tín hieäu naøy ñöôïc
ñöa tôùi maûng AND. Ngoaøi ra vi maïch coøn ñöôïc thieát keá
moät maûng boå sung (maûng buø). Ñaây laø toång soá haïng
buø vaø ñöôïc thöïc hieän nhö moät ngoû vaøo cuûa maûng AND,
noù cho pheùp boå sung theâm nhieàu toå hôïp.

4. HoÏ vi maïch FPGA ( Field Progammable Gate


Array).

Hoï FPGA ñöôïc Signetics giôùi thieäu vaøo naêm 1977 ñöôïc
söû duïng ñeå thay theá cho nhöõng coång nhieàu ngoõ vaøo
tieâu chuaån, caáu truùc cuûa noù bao goàm moät maûng AND
laäp trình, vôùi laäp trình cöïc tính ôû ngoõ ra. Chæ vôùi moät
coång AND coù theå bieán ñoåi thaønh coång NAND, NOR hay
coång OR. Moãi coång AND trong FPGA coù theå bieán ñoåi
thaønh caùc coång logic khaùc nhau.
FPGA cuõng ñöôïc boå sung linh ñoäng hôn nhöõng coång
tieâu chuaån khaùc. Vi maïch ñaïi dieän cho hoï FPGA laø PLS151,
coù hình daùng 20 chaân ñöôïc trình baøy ôû hình 3.6. PLS151
coù 6 ngoû vaøo, 12 ngoõ ra vaø coù tín hieäu hoài tieáp ñöa veà
maûng AND ñöôïc söû duïng nhö nhöõng ngoõ vaøo. Coù theâm 3
tích soá ñöôïc taïo ra bôûi 3 ñöôøng ñieàu khieån, caùc tín hieäu
naøy ñieàu khieån nhöõng coång ñeäm ngoû ra 3 traïng thaùi.
FPGA thích hôïp trong caùc thieát keá ñeå giaûi maõ ñòa chæ vaø
ñöôïc theâm vaøo caùc chöùc naêng khaùc.

5. HoÏ vi maïch PAL ( Programmable Array Logic).

PAL laø moät hoï phoå bieán nhaát trong hoï PLD ñöôïc
MONOLITHIC MEMORIES INC giôùi thieäu vaøo naêm 1978. PAL
ñöôïc ñaêng kyù baûn quyeàn veà caáu truùc cuûa coâng ty MMI.
Caáu truùc cuûa PAL bao goàm moät maûng AND laäp trình theo
sau laø moät maûng OR coá ñònh, caáu truùc naøy ñöôïc caûi
tieán töø nhöõng khuyeát ñieåm cuûa hoï FPLA. Hình 3.7 minh
hoïa cho caáu truùc ñôn giaûn cuûa PAL. Do loaïi boû vieäc söû
duïng caàu chì ôû maûng OR, do ñoù soá löôïng tinh theå Silicon
ñöôïc söû duïng giaûm, daãn ñeán giaù thaønh cuûa PAL thaáp
hôn so vôùi FPLA. Maët khaùc thôøi gian trì hoaõn cuûa PAL ngaén

ÖÙng duïng vi maïch soá laäp trình


Trang 36
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

hôn so vôùi FPLA do giaûm ñöôïc söï trì hoaõn khi truyeàn qua
maûng OR.
Khaûo saùt PAL16L8 coù hình daùng 20 chaân sô ñoà logic
ñöôïc trình baøy ôû hình 3.8. Vi maïch naøy coù 8 toå hôïp ngoõ
ra, moãi ngoõ ra ñöôïc ñaûo vôùi 7 tích soá cuûa ngoõ vaøo, 6
trong 8 ngoõ ra ñöôïc hoài tieáp veà maûng AND, cho pheùp
nhöõng chaân naøy ñöôïc söû duïng vôùi chöùc naêng I/O. Do
PAL16L8 coù ngoõ ra taùc ñoäng ôû möùc thaáp neân noù coù
theå keát hôïp vôùi caùc IC khaùc cuøng moät möùc taùc ñoäng.

ÖÙng duïng vi maïch soá laäp trình


Trang 37
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình 3.6 . Sô ñoà logic cuûa FPGA PLS151

ÖÙng duïng vi maïch soá laäp trình


Trang 38
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

I3 I2 I1 I0

Maûng OR

Maûng AND

O3 O2
O1 O0

Hình 3.7 . Sô ñoà logic cuûa PAL

ÖÙng duïng vi maïch soá laäp trình


Trang 39
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

19

1
8
3

17

1
6

15

14

13

12
Hình 3.8. Sô ñoà logic cuûa PAL16L8
PAL16L8 ñöôïc öùng duïng trong lónh vöïc giaûi maõ ñòa
11
chæ,
9 noù thuaän tieän trong vieäc keát hôïp vôùi caùc boä vi xöû
lyù vaø thieát bò ngoaïi vi vì cuøng moät möùc taùc ñoäng. Vôùi
nhöõng ñaëc tính nhö toác ñoä töông ñoái cao, giaù thaønh
thaáp, thôøi gian truyeàn trì hoaõn khoaûng 7,5ns neân PAL16L8
ÖÙng duïng vi maïch soá laäp trình
Trang 40
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

raát phoå bieán trong coâng nghieäp PLD. Ngoaøi ra PAL16L8 coù
moät ñaëc ñieåm môùi so vôùi caùc hoï tröôùc laø coù caàu chì
baûo veä, noù duøng ñeå choáng söï sao cheùp, giuùp baûo veä
noäi dung beân trong. Ngoaøi PAL16L8 coâng ty MMI coøn giôùi
thieäu caùc loaïi vi maïch khaùc nhö PAL16R4, PAL16R6, PAL16R8.
Caùc vi maïch naøy coù caáu taïo gioáng nhö PAL16L8 nhöng ôû
ngoõ ra söû duïng theâm caùc FF D ñeå choát tín hieäu ngoû ra.
Moät theá heä vi maïch PAL ñöôïc coâng ty AMD giôùi thieäu
laø PAL22V10 vôùi hình daùng 24 chaân ñöôïc cheá taïo baèng
coâng ngheä CMOS thay theá cho coâng ngheä löôõng cöïc. Ñaëc
tröng cuûa vi maïch naøy laø ôû ngoû ra ñöôïc cho qua coång
PLD.
Ngoaøi vieäc taêng soá bieán ngoû vaøo vi maïch naøy coøn
coù moät soá ñaëc ñieåm nöõa laø trong haøm logic caùc thaønh
phaàn tích soá coù theå thay ñoåi töø 8 ñeán 16 bieán. Ñieàu
naøy seõ giuùp cho vi maïch thöïc hieän nhieàu phöông trình
phöùc taïp. Nhôø vaøo caáu taïo ôû ngoû ra caùc coång PLD neân
caùc ngoû ra hoaëc vaøo cuûa vi maïch coù ñaëc tính giao tieáp 2
chieàu, ñieàu naøy laøm taêng khaû naêng xöû lyù cuûa vi maïch
vaø taïo söï thuaän lôïi cho vieäc thieát keá. Do nhöõng ñaëc
ñieåm ñaõ ñöôïc caûi tieán neân caùc theá heä vi maïch PAL ñöôïc
phoå bieán roäng raõi (ñaëc bieät laø nhoùm vi maïch 20 chaân)
vaø PAL ñöôïc xem laø hoï vi maïch ñaïi dieän cho hoï vi maïch soá
laäp trình.
Ngoaøi ra caùc coâng ty cheá taïo PAL coù choïn löïa trong
vieäc kyù hieäu caùc soá treân moät vi maïch. Ñieàu naøy cung
caáp cho ngöôøi söû duïng nhöõng thoâng tin caàn thieát coù
lieân quan ñeán öùng duïng cuûa vi maïch. Caùc kyù hieäu trong
vieäc ñaùnh soá cuûa hoï PAL noùi chung bao goàm 2 soá ñeám
ñöôïc taùch rôøi nhau bôûi 1 hay 2 kyù töï. Soá ñaàn tieân trong
teân vi maïch cho bieát soá ngoõ vaøo cuûa vi maïch (ñaây chính
laø soá bieán ngoõ vaøo cuûa maûng AND). Soá thöù hai bieåu
thò soá ngoû ra cuûa vi maïch. Kyù töï naèm giöõa 2 soá chæ ra
yù nghóa caùc thuoäc tính cuûa ngoû ra. Moät soá maõ kyù töï
coù yù nghóa laø:
H taùc ñoäng möùc thaáp.
L taùc ñoäng möùc cao.
P taùc ñoäng ngoû ra coù theå laäp trình.
C phaàn boå sung caùc ngoû ra.
S boä tuaàn töï.
Caùc kyù hieäu cuûa vi maïch hoï PAL ñöôïc xem laø
nhöõng höôùng daãn cô baûn cuûa vi maïch. Ngoaøi ra caùc
coâng ty cheá taïo coøn cung caáp baûn thoâng soá kyõ thuaät
vaø sô ñoà logic cuûa vi maïch keøm theo ñeå laøm taøi lieäu
tham khaûo cho caùc nhaø thieát keá.

ÖÙng duïng vi maïch soá laäp trình


Trang 41
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

6. Hoï vi maïch GAL ( Generic Array Logic).


GAL laø moät nhoùm cuûa coâng ngheä EEPLD, noù ñöôïc
giôùi thieäu vaø phaùt trieån bôûi coâng ty Lattice Semiconductor
Comp. Coâng ty naøy ñaõ ñöa ra moät khaùi nieäm veà coång PLD
coù kyù hieäu laø OLMCs (Output Logic Macrocells).

19
2

18
3

17

16

15

14

7
13

12

11
9
ÖÙng duïng vi maïch soá laäp trình
Trang 42
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình 3.9 . Sô ñoà logic cuûa GAL16V8


Vi maïch naøy cuõng coù nhöõng ñaëc ñieåm laø coù theå
xoùa baèng ñieän vaø laäp trình laïi baèng caùc phaàn meàm vaø
coâng cuï hoã trôï. Khaûo saùt caáu truùc cuûa vi maïch GAL16V8
ñöôïc trình baøy ôû hình 3.10, GAL16V8 coù hình daïng 20 chaân
laø moät vi maïch phoå bieán trong hoï GAL.
Moãi moät OLMC coù 8 ngoû vaøo töông ñöông vôùi 8 tích
soá trong moät bieåu thöùc. Ngoaøi ra OLMC cuõng coù tín hieäu
hoài tieáp ñöa veà ñeå ñieàu khieån, tín hieäu xung ñoàng hoà,
tín hieäu hoài tieáp veà maûng AND. Caùc vi maïch GAL ñeàu coù
hoã trôï nhöõng thanh ghi “Preload”, ñieàu naøy coù ích trong
vieäc kieåm tra vi maïch. Maët khaùc moät theá heä vi maïch
môùi ñöôïc phaùt trieån laø vi maïch laäp trình heä thoáng kyù
hieäu laø ispEELD (In-system Progammable).
Vi maïch ñaàu tieân laø ispGAL16Z8, caáu truùc cuûa noù
gaàn gioáng vôùi GAL16V8 nhöng ñöôïc theâm vaøo 4 chaân ñeå
ñieàu khieån laäp trình. Trong heä thoáng ispGAL16Z8 cho pheùp
chu kyø laäp trình laø 10000 laàn vaø döõ lieäu ñöôïc giöõ coá
ñònh trong khoaûng thôøi gian 20 naêm. Ñoù cuõng laø quy ñònh
cuûa nhöõng vi maïch theo nguyeân taéc EPROM. Caáu truùc
cuûa hoï GAL laø söï laëp laïi caáu truùc cuûa hoï PAL vaø nhöõng
ñaëc ñieåm cuûa hoï GAL ñöoïc thieát keá ñeå keát hôïp vôùi
nhöõng vi maïch hoï PAL. Ñieàu naøy ñöôïc theå hieän qua vieäc
kyù hieäu caùc vi maïch hoï GAL vaø caáu truùc teá baøo baûo
veä cuûa noù.

7. Hoï vi maïch PEEL (Progammable Electrially


Erasable Logic).

Hoï PEEL ñöôïc coâng ty International Cmos Technology INC


giôùi thieäu. Noù ñöôïc cheá taïo vôùi coâng ngheä EEPROM.
Caáu truùc cuûa PEEL cuõng töông töï nhö PAL vaø GAL, noù
ñöôïc xoùa baèng ñieän vaø laäp trình cuõng nhôø vaøo phaàn
meàm hoã trôï. Khaûo saùt vi maïch PEEL18CV8 ñöôïc trình baøy
ôû hình 3.10.
Vi maïch coù 20 chaân vôùi 8 ngoû ra ñöôïc caáu taïo bôûi
coång PLD, moãi ngoõ ra coù 8 tích soá trong moät haøm cuûa
bieåu thöùc vaø coù moät tích soá rieâng ñeå ñieàu khieån coång
ñeäm ngoû ra. Cöïc tính ngoû ra cuõng ñöôïc laäp trình caùc
thanh ghi ôû ngoû ra cuûa vi maïch ñöôïc Reset khoâng ñoàng
boä, ngoaøi ra caùc thanh ghi coù theå ñöôïc choát beân trong khi
ngoû ra ñöôïc ñieàu khieån bôûi moät bieåu thöùc cuûa toång
caùc soá haïng cuûa ngoû vaøo. Ñaëc ñieåm naøy ñöôïc caûi tieán
hôn soá vôùi caùc vi maïch PAL16V10 hay GAL16V8.

ÖÙng duïng vi maïch soá laäp trình


Trang 43
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Vaøo/Cl
k

Vaøo/ra

Ngoû
vaøo

Vaøo/ra

Ngoû
vaøo

Vaøo/ra

Ngoû
vaøo

Vaøo/ra

Ngoû
vaøo

Vaøo/ra
Ngoû
vaøo

Vaøo/ra

Ngoû
vaøo

Vaøo/ra
Ngoû
vaøo
Hình 3.10 . Sô ñoà logic cuûa PEEL18CV8
Vaøo/ra
ÖÙng duïng vi maïch soá laäp trình
Trang 44
Ngoû
vaøo
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

8. Hoï vi maïch EPLD (Erasable PLD).

Coâng ty Altera laàn ñaàu tieân giôùi thieäu thuaät ngöõ xoùa
caùc PLD baèng tia cöïc tím vaø noù ñaõ trôû thaønh thuaät ngöõ
chung cho coâng ngheä PLD ñeå tham khaûo cho caùc vi maïch
laäp trình xoùa baèng tia cöïc tím. Töø khi khôûi ñaàu, coâng ty
Altera thay ñoåi coâng ngheä cheá taïo PLD töø coâng ngheä
löôõng cöïc sang coâng ngheä CMOS vì coâng ngheä CMOS ñaït
ñöôïc hieäu suaát cao veà khoâng gian (maät ñoä tích hôïp cao
hôn). Nhö PAL16L8 coù maät ñoä tích hôïp töø 100 leân 150
coång, PAL22V10 coù 500 ñeán 600 coång vaø EP310 (laø vi
maïch ñaïi dieän cho hoï EPLD) coù treân 1000 coång. Hình 3.12
trình baøy sô ñoà khoái cuûa EP310 coù 20 chaân caáu truùc
goàm 8 coång PLD, xung xoùa khoâng ñoàng boä vaø coù theå
ñaët tröôùc caùc tích soá.
Caáu truùc cuûa coång PLD bao goàm caû khoái ñieàu
khieån caáu truùc I/O. Caáu hình cuûa ACB gioáng nhö caáu truùc
cuûa coång PLD cuûa vi maïch PAL vaø GAL nhöng coù chöùc
naêng hoaït ñoäng ñôn giaûn hôn. Trong ñoù moãi coång coù 8
bieán ngoû vaøo cuøng vôùi moät bieán ñeå ñieàu khieån coång
ñeäm ngoû ra. Nhôø vaøo caáu truùc ACB I/O maø EP310 coù
caùc tín hieäu toå hôïp ngoû ra taùc ñoäng ôû möùc cao hoaëc
thaáp hay caùc tín hieäu ñöôïc ghi cuõng taùc ñoäng ôû möùc cao
hoaëc thaáp. Ñoái vôùi tín hieäu hoài tieáp veà maûng AND ñöôïc
ñöa veà töø thanh ghi ôû ngoû ra. Caùc coång ñeäm ngoû ra
ñöôïc ñieàu khieån baèng caùc bieán rieâng cho pheùp caùc
chaân cuûa vi maïch coù theå hoaït ñoäng hai chieàu. Ngoaøi ra
EP310 cuõng coù caàu chì baûo veä choáng sao cheùp vaø giôø
ñaây caàu chì baûo veä trôû thaønh moät tieâu chuaån cho caùc
theá heä PLD môùi. Moät soá vi maïch tieâu bieåu cho hoï EPLD
laø EP900, coù caáu taïo 40 chaân, beân trong coù 24 khoái ACB,
maät ñoä tích hôïp hôn 1000 coång vôùi caùc toå hôïp ngoû ra
coù löïa choïn. Nhöng trong töông lai kyõ thuaät ngaøy caøng
phaùt trieån thì maät ñoä tích hôïp coù theå leân ñeán hôn 10000
coång logic trong moät chip.
Ngoaøi vi maïch EP900 thì coâng ty Altera coøn giôùi thieäu
vi maïch EP1800 coù 68 chaân vôùi caùc chöùc naêng ñöôïc môû
roäng hôn so vôùi EP900 vì soá coång logic trong IC ñöôïc taêng
gaáp ñoâi vaø soá ngoû vaøo cuõng vaäy. Vi maïch EP1800 coù
theå thöïc hieän ñoàng thôøi 4 chöùc naêng khaùc nhau, coù theå
xem nhö ñoù laø 4 vi maïch rôøi. Nhöõng vi maïch soá laäp trình
ñang höôùng ñeán maät ñoä tích hôïp treân 1000 coång logic
trong moät chip thì ñang gaây aûnh höôûng ñeán caùc PLD coù
maät ñoä tích hôïp thaáp. Coâng ty Cypress Semicondutor ñang
saûn xuaát caùc saûn phaåm öùng duïng coâng ngheä CMOS coù
toác ñoä cao. Saûn phaåm caïnh tranh cuûa hoï chuû yeáu laø
ÖÙng duïng vi maïch soá laäp trình
Trang 45
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

caùc hoï PAL thoâng thöôøng vaø PAL 22V10 ñaõ taïo ra theá heä
PAL coù coâng suaát thaáp, toác ñoä cao neân ñöôïc öùng duïng
roäng raõi vaøo caùc lónh vöïc coâng nghieäp khaùc nhau.
Nhöõng vi maïch phoå bieán cuûa coâng ty Cypress Semicondutor
nhö CY7C330, CY7C331 vaø CY7C332.

Vaøo/ra
Clk

Löïa
choïn
Ngoû ra

0 9
1
2 13
3 8
.
. 14
.
.
7
.
. 15
. 6
.
.
. 16
. 5
.
.
.
17
. 4
. 18
. 3
.
.
19
2
ÖÙng duïng vi maïch soá laäp trình
11
Trang 46
1

36
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình3.11. Sô ñoà logic coång laäp trình cuûa EPLD EP310

Caáu truùc ñieàu


khieån
Vaøo/ra

Clock
ñoàng boä

71
70 39
69 38
68 37
. 36
.
. 35
. 34
. .
. .
.
. .
. .
. .
. .
.
.
.
. .
. .
. .
.
.
.
. .
. .
. .
.
.
.
. .
. .
. .
.
.
.
. .
. 7
. 6
.
.
5
4 4
3 3
2 2
1
0
ÖÙng duïng vi maïch soá laäp trình
Trang 47

OE/ CLK 0 1 2 3 4 5 CLEAR


6 7
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình 3.12 . Sô ñoà logic khoái caáu truùc ñieàu khieån EPLD EP900

ÖÙng duïng vi maïch soá laäp trình


Trang 48
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

9. Hoï vi maïch PML ( Programmable Macro Logic).

Hoï vi maïch ñöôïc coâng ty Signetics söû duïng caáu truùc


môùi goïi laø “foldback” (gaáp veà). Maïch logic “foldback” söû
duïng moät coång NAND ñôn hay maûng NOR keát hôïp vôùi moät
caáu truùc lieân keát laäp trình trung taâm cho pheùp thöïc hieän
nhieàu möùc logic khaùc nhau ñeå lieân keát vôùi macro ngoû
vaøo vaø ngoû ra. Nhö trong hoï vi maïch PML, moät maûng NAND
ñöôïc söû duïng vì coång NAND coù toác ñoä truyeàn nhanh nhaát
trong coâng ngheä löôõng cöïc.
Töø khoùa macro ñeå tham khaûo moät khoái chöùc naêng
vaø coù theå xaùc ñònh moät tín hieäu ngoû vaøo, moät coång
ñeäm ngoû ra hay baát cöù moät haøm logic naøo nhö FF, maïch
ñeám hay maïch toå hôïp. Coâng ty Signetics phaân loaïi caùc
macro nhö sau: ngoû vaøo laø macro ngoû vaøo, macro ngoû ra
vaø nhöõng khoái chöùc naêng khaùc nhö thanh ghi hay maïch
toå hôïp thì goïi laø macro chöùc naêng. Macro cuûa hoï ML moâ
taû ôû hình 3.13. So vôùi caáu truùc maûng AND – OR cuûa caùc
hoï IC PAL vaø FPLA thì caáu truùc maûng NAND phöùc taïp hôn.
Ñeå ñôn giaûn cho vieäc tìm hieåu, xeùt ví duï sau:
Hình 3.14 a trình baøy moät maïch logic ñôn giaûn söû duïng
caáu truùc AND – OR cuûa hoï vi maïch PAL vaø hình 3.14 b söû
duïng caáu truùc NAND – NAND vôùi chöùc naêng töông töï nhöng
coù öu ñieåm laø khoâng bò giôùi haïn vôùi caùc haøm coù hôn 2
caáp logic. Maëc khaùc, caáu truùc cuûa PML taän duïng toái ña
caùc coång logic vaø caùc khaû naêng hoaït ñoäng cuûa vi maïch.
Ví duï nhö ôû hoï PAL vaø FPLA khi caàn theâm moät bieán ôû
ngoû vaøo seõ choïn ñöôøng tín hieäu ngoû ra xem nhö moät
ñöôøng tín hieäu ngoû vaøo, do ñoù seõ laøm taêng thôøi gian
truyeàn vaø laõng phí moät ngoû ra. Ñoái vôùi hoï PML thì taát
caû caùc ngoû vaøo cuûa coång NAND ñöôïc söû duïng nhö laø
ngoû vaøo cuûa tín hieäu vaø caùc haøm logic ñöôïc thöïc hieän
vôùi caáu truùc 3 caáp logic. Vi maïch ñaïi dieän cho hoï PML laø
PLHS 501 coù caáu taïo goàm 72 coång NAND trong ñoù coù 44
coång NAND ñöôïc duøng ñeå hoã trôï cho macro ngoû ra. Vi
maïch coù 24 ngoû vaøo, 8 coång ñeäm XOR ôû ngoû ra vôùi 4
coång taùc ñoäng ôû möùc thaáp , coù 4 coång taùc ñoäng ôû
möùc cao vaø coù 8 ñöôøng döõ lieäu 2 chieàu. Vi maïch coù 52
chaân vôùi kieåu chaân theo daïng PLCC. Hình 3.15 trình baøy
caáu truùc cuûa PLHS 501 .
Caùc coång ñeäm ngoû ra 3 traïng thaùi ñöôïc ñieàu khieån
baèng töøng coång NAND rieâng ñeå taïo neân tính linh hoaït
trong thieát keá.

ÖÙng duïng vi maïch soá laäp trình


Trang 49
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Maûng NAND MxN


1
Ngoû
vaøo 0
macro Ngoû
ra
macro

0
Ngoû
ra
macro

Haøm
macro

Hình 3.13 . Sô ñoà logic laäp trình macro

a) b)

Hình 3.14. Maïch logic söû duïng caáu truùc “ flodback”

ÖÙng duïng vi maïch soá laäp trình


Trang 50
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

I23 . . I0

7
a) Maïch laät RS 1
7
0
.
.
.
.
.
0

b) Maïch laät
D

Hình 3.15 . Maïch laät RS vaø D söû duïng caáu


truùc PML

ÖÙng duïng vi maïch soá laäp trình


Trang 51
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Hình 3.16. Sô ñoà chöùc naêng PLS 501

10. Hoï vi maïch ERASIC(Erasable Programmable


Application Specific IC).

Hoï vi maïch ERASIC ñöôïc giôùi thieäu bôûi coâng ty Exel


Microeletronics coù caáu truùc töông töï nhö hoï PML nhöng ñöôïc
cheá taïo baèng coâng ngheä CMOS EEPROM khaùc vôùi hoï PML
duøng coâng ngheä löôõng cöïc . Moät ñaëc ñieåm khaùc bieät
nöõa laø hoï ERASIS söû duïng caáu truùc maûng NOR , vì trong
coâng ngheä CMOS coång NOR coù thôøi gian truyeàn nhanh

ÖÙng duïng vi maïch soá laäp trình


Trang 52
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

nhaát. Vi maïch ñaàu tieân cuûa hoï naøy laø XL 78C800 coù 24
chaân vôùi maät ñoä thích hôïp khoaûng 800 coång.
XL78C800 coù 12 ngoû vaøo vaø 10 chaân I/O ñöôïc lieân keát
vôùi caùc coång laäp trình. Chaân soá 1 laø ñöôøng cung caáp tín
hieäu xung clock cho FF JK, chaân 13 duøng ñeå ñieàu khieån caùc
coång ñeäm ngoû ra cho caùc coång laäp trình, 8 ngoû vaøo ñöôïc
ñöa vaøo maûng NOR thoâng qua caùc maïch laät, 2 coång NOR
ñöôïc söû duïng ñeå ñieàu khieån maïch laät. Ngoû ra cuûa coång
NOR ñöôïc caáu taïo baèng caùc khoái PCE (Polarity Control
Element ) ñeå taêng tính ling hoaït.
XL78C800 coù 32 bieán ôû ngoû vaøo coång NOR, hai bieán
duøng ñeå ñieàu khieån maïch laät vaø coù 30 bieán duøng cho
coång laäp trình.
Hình 3.17 trình baøy sô ñoà logic cuûa coång laäp trìnhtrong
vi maïch XL78C800.Coù ba ngoû vaøo cuûa tín hieäu J,K,O ñöôïc
ñöa vaøo coång laäp trình.Vôùi hai bieán J,K ñeå ñieàu khieån FF
JK. Töø coång laäp trình cuõng coù 4 ñöôøng tín hieäu ñöôïc ñöa
veà maûng NOR. Chaân cuûa vi maïch keát hôïp vôùi caùc coång
laäp trình ñöôïc keát noáùi vôùi ngoû vaøo cuûa boä ña hôïp (IN-
MUX) vaø noái vôùi coång ñeäm ngoû ra. Ngoaøi ra coøn coù caùc
ñöôøng tín hieäu xung clock vaø xung xoùa khoâng ñoàng boä
cho FF JK, moät ñöôøng tín hieäu OE ñeå ñieàu khieån coång
ñeäm ngoû ra. Boä ña hôïp ngoû ra seõ löïa choïn caùc tín hieäu
töø bieán O hay töø ngoû ra cuûa FF JK. ÔÛ IN- MUX cho pheùp tín
hieäu vaøo ñi môùi maûng NOR töø chaân IC hay töø bieán O
cuûa maûng NOR. Chaân ñieàu khieån cuûa boä ña hôïp ngoû ra
OE-MUX duøng ñeå ñieàu khieån coång ñeäm ngoû ra hoaït
ñoäng 1 chieàu hay 2 chieàu hay ôû trang thaùi toång trôû cao.
Sô ñoà coång laäp trình ôû hình 3.17
Sô ñoà coång laäp trình treân trình baøy 3 cheá ñoä laøm
vieäc cuûa vi maïch. Thôøi gian truyeàn cuûa vi maïch hoï ERASIC
laø 35 ns coäng vôùi thôøi gian truyeàn qua maûng NOR laø 20 ns
do ñoù thôøi gian truyeàn cuûa vi maïch laø 55 ns, doøng tieâu
thuï 35 mA, so vôùi doøng tieâu thuï cuûa PLHS 501 laø 250 mA.
Qua söï so saùnh treân cho thaáy coâng suaát tieâu thuï cuûa hoï
ERASIC thaáp hôn hoï PML, ñoù laø öu ñieåm cuûa coâng ngheä
CMOS.

ÖÙng duïng vi maïch soá laäp trình


Trang 53
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

J Q

3 2
1

Hình 3.17 . Sô ñoà khoái coång laäp trình ERASIC XL78C800.

11. Hoï vi maïch LCA ( Logic Cell Array).

Hoï LCA ñöôïc coâng ty Xillinx giôùi thieäu döïa theo caùc
caáu truùc cuûa coâng ty MMI, ñaõ trình baøy moät caáu truùc
ñoäc ñaùo trong caùc hoï cuûa PLD. Caáu truùc truyeàn thoáng
cuûa caùc hoï vi maïch PAL vaø FPLA laø caùc maûng AND – OR.
Caùc coång laäp trình coù caáu truùc cuûa hoï LCA goïi laø caáu
truùc laäp trình cho ngöôøi söû duïng. Ñaëc bieät laø trong caáu
taïo cuûa LCA, hoï duøng RAM ñoäng ñeå taïo ra caùc chöùc
naêng logic theo yeâu caàu thieát keá. Nhöôïc ñieåm cuûa caùc
teá baøo RAM ñoäng thöôøng khoâng oån ñònh. Do ñoù caùc
chöùc naêng seõ trôû laïi traïng thaùi ban ñaàu khi maát ñieän.
Ñeå hoã trôï cho vaán ñeà naøy hoï söû duïng theâm phöông
phaùp löu tröõ môùi coù chöùc naêng töông töï nhö ROM. Caáu

ÖÙng duïng vi maïch soá laäp trình


Trang 54
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

truùc cuûa LCA ñöôïc moâ taû ôû hình 3.18, bao goàm moät khoái
IOB bao quanh ma traän cuûa khoái LCB.

CONFIGURATE
LOGIC BLOCK I/O BLOCK

INTERCONNECT AREA

Hình 3.18. Caáu truùc LCA

Lieân keát caùc ñöôøng tín hieäu doïc vaø ngang giöõa 2
khoái giuùp cho vieäc keát noái giöõa 2 khoái theâm thuaän
tieän. Vi maïch ñaàu tieân cuûa hoï LCA laø XC 2064, coù maät
ñoä thích hôïp khaù phöùc taïp khoaûng 1200 coång logic, 58
khoái IOB cuøng moät ma traän 8x8 haøng vaø coät taïo ra 64
khoái CLB. Taïo ra moät vi maïch khaùc laø XC 2018 coù maät
ñoä tích hôïp khoaûng 1800 coång, coù 74 khoái IOB cuøng moät
ma traän 10x10 taïo ra 100 khoái CLB. Vi maïch coù caùc ñöôøng
tín hieäu xung clock, tín hieäu reset ñaëc bieät vaø maïch taïo
dao ñoäng thaïch anh beân trong IC duøng ñeå keát noái vôùi
caùc phaàn töû dao ñoäng baèng thaïch anh beân ngoaøi.

ÖÙng duïng vi maïch soá laäp trình


Trang 55
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

TS

N
goû
PIN ra
N
goû
vaø
D Q

Clk

= PROGRAM CONTROLLED
MULTIPLEXER

Hình 3.19. Caáu hình khoái vaøo / ra ( IOB) cuûa LCA


Hình 3.19 trình baøy sô ñoà cuûa khoái IOB, bao goàm 1
coång ñeäm ngoû vaøo, boä ña hôïp IN – MUX vaø FFD. Möùc
ñieän aùp ngöôõng ôû ngoû vaøo coång ñeäm thích hôïp cho caû
hai hoï TTL vaø CMOS. Ngoû ra cuûa FFD ñöôïc noái vôùi ngoû
vaøo cuûa boä ña hôïp vaø ôû ngoû ra cuûa boä ña hôïp coù theå
noái 1 hay nhieàu khoái CLB. Ngoû ra cuûa khoái IOB goàm 1
coång ñeäm 3 traïng thaùi ñöôïc noái thaúng tôùi chaân IC.

III/ CAÙC PHAÀN MEÀM HOÃ TRÔÏ CUÛA PLD.


Caùc phaàn meàm hoã trôï cho caùc vi maïch laäp trình
ñöôïc caùc coâng ty phaùt trieån lieân tuïc, ngaøy caøng coù
nhieàu tính ña daïng, coù theå hoã trôï cho nhieàu loaïi vi maïch
khaùc nhau neân coù tính caïnh tranh maïnh meõ trong thò
tröôøng vi maïch laäp trình.

1. Phaàn meàm PALASM 2 (PAL Assembler)

PALASM 2 cuûa coâng ty MMI laø phaàn meàm tieâu chuaån


cho caùc vi maïch laäp trình. Ñaây laø boä bieân dòch theá heä
thöù 2 hoã trôï cho caùc vi maïch hoaït ñoäng khoâng ñoàng boä,
nhö caùc vi maïch hoï PAL cuûa coâng ty MMI, vi maïch hoï PLA
vaø caùc vi maïch cuûa coâng ty AMD.

2. Phaàn meàm AMAZE.

ÖÙng duïng vi maïch soá laäp trình


Trang 56
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Phaàn meàm AMAZE ñöôïc coâng ty Signetics phaùt trieån vaø


noù ñöôïc cung caáp cho caùc khaùch haøng söû duïng vi maïch laäp
trình cuûa coâng ty. Module chính cuûa phaàn meàm AMAZE laø BLAST (
Boolean logic & State Transfer) duøng ñeå bieân dòch caùc thoâng tin
ngoû vaøo chuyeån ñoåi sang caùc file chöông trình chuaån cuûa
Signetics (caùc file coù phaàn môû roäng laø ‘ STD ’). AMAZE hoã trôï
ñeå moâ phoûng caùc vectô kieåm tra ñeå thieát keá theo yeâu caàu
cuûa ngöôøi söû duïng.

3. Phaàn meàm PLAN ( Programmable Logic Analysis).

Phaàn meàm PLAN ñöôïc coâng ty National Semiconductor giôùi


thieäu hoã trôï cho caùc vi maïch laäp trình côû vöøa vaø nhoû. PLAN
laø moät ngoân ngöõ ñôn giaûn, duøng ñeå thöïc hieän caùc bieåu
thöùc cuûa ñaïi soá Boolean vaø coù khaû naêng giao tieáp vôùi caùc
coâng cuï laäp trình ñeå laäp trình cho vi maïch.

4. Phaàn meàm HELD (Harris Enhanced Language for


Programmable Logic).

Coâng ty Harris phaùt trieån phaàn meàm HELD ñeå hoã trôï cho
caùc khaùch haøng söû duïng vi maïch laäp trình cuûa hoï. HELD söû
duïng giao dieän töông töï nhö phaàn meàm PLAN nhöng cuõng coù
nhöõng ñieåm khaùc bieät. HELD khoâng coù khaû naêng löïa choïn
caùc vi maïch laäp trình nhöng coù khaû naêng kieåm tra loãi toång
quaùt. Ngoaøi ra HELD coøn yeâu caàu caùc phöông trình ngoõ vaøo ôû
daïng toång caùc tích ( SOP).

5. Phaàn meàm PLPL (Programmable Logic Programming


Language).

PLPL ñöôïc coâng ty Avanced Micro Devices giôùi thieäu vaøo naêm
1984. Ñaây laø phaàn meàm tieán boä nhaát so vôùi caùc phaán meàm
tröôùc, coù nhöõng ñaëc ñieåm môùi vaø khaû naêng caøi ñaët ñöôïc
môû roäng hôn so vôùi phaàn meàm AMAZE. Nhöõng ñaëc ñieåm môùi
nhö cho pheùp ñònh nghóa vaø söû duïng caùc chaân cuûa vi maïch cho
moät nhoùm tín hieäu cuõng nhö söû duïng caùc phöông trình cuûa ñaïi
soá Boolean. PLPL cuõng hoã trôï caùc phöông trình phöùc taïp coù
nhieàu caáp logic khaùc nhau. Ngoaøi ra boä bieân dòch naøy cuõng
ñeå öùng duïng nguyeân lí Demorgan, caùc haøm cuûa ñaïi soá Boolean
nhöng khoâng baét ñöôïc ôû daïng toång cuûa caùc tích do ñoù cho
pheùp cuù phaùp linh hoaït hôn.

6. Phaàn meàm APEEL (Assembler for Programmable


Electrically Erasable Logic).

Vaøo naêm 1987, Coâng ty International Cmos Technology giôùi


thieäu trình bieân dòch APEEL. APEEL laø moät trình bieân dòch ñôn
giaûn phuø hôïp vôùi caùc yeâu caàu thieát keá vöøa vaø nhoû vaø coù

ÖÙng duïng vi maïch soá laäp trình


Trang 57
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

chöùc naêng moâ phoûng. APEEL goàm moät chöông trình soaïn thaûo
toaøn maøn hình vaø ôû ngoû ra theo tieâu chuaån cuûa JEDEC. Nhöng
khuyeát ñieåm cuûa boä bieân dòch naøy laø khoâng hoã trôï ñeå toái
giaûn caùc bieåu thöùc logic. Phaàn meàm APEEL caøi ñaët treân caùc
maùy tính caù nhaân cuûa coâng ty IBM vaø caùc coâng ty khaùc thích
hôïp vôùi noù.

7. Phaàn meàm IPLDS II (Intel Programmable Logic


Devolopment System II).

Phaàn meàm IPLDS II ñöôïc coâng ty Intel giôùi thieäu ñeå hoã trôï
cho caùc vi maïch hoï EPLD. Ñieàu cô baûn cuûa phaàn meàm naøy laø
cho pheùp thieát keá theo 2 phöông phaùp laø phöông phaùp duøng
phöông trình ñaïi soá Boolean vaø phöông phaùp lieät keâ caùc leänh.
Ñeå toái giaûng caùc bieåu thöùc logic IPLDS II söû duïng thuaät giaûi
ñôn giaûn ESPRESSO II – MV. Ñoù laø thuaät giaûi ñöôïc phaùt trieån
bôûi ñaïi hoïc California, noù ñöôïc duøng ñeå thöïc hieän vieäc ruùt
goïn caùc tích soá trong caùc haøm logic cuûa caùc vi maïch do coâng
ty Intel saûn xuaát. Töông töï nhö caùc phaàn meàm tröôùc, IPLDS II
caøi ñaët ñöôïc trong caùc maùy tính cuûa coâng ty IBM vaø caùc maùy
tính khaùc coù caáu hình thích hôïp, ñöôïc söû duïng keøm vôùi coâng
cuï laäp trình cho vi maïch.

8. Phaàn meàm CUPL ( Universal Compiler for


Programmable Logic ).

CUPL ñöôïc coâng tyAssited Technology giôùi thieäu vaøo naêm


1983. Ñaây laø boä bieân dòch vaïn naêng ñöôïc hoã trôï cho 29 loaïi vi
maïch caùc loaïi keå caû PROM vaø caùc coâng ty cheá taïo vi maïch
laäp trình khaùc. CUPL laø moät ngoân ngöõ maïnh hoã trôï cho caùc
phöông trình cuûa ñaïi soá Boolean , baûng söï thaät vaø thieát keá sô
ñoà traïng thaùi, CUPL ñöôïc söû duïng haàu heát caùc maùy vi tính caù
nhaân treân caùc heä ñieàu haønh khaùc nhau nhö treân maùy vi tính
cuûa coâng ty IBM hay CP/M, VAX/ UNIX vaø VAX/ VMS.

9. Phaàn meàm ABEL (Advanced Boolean Expression


Language).

ABEL laø phaàn meàm cuûa coâng ty Data I/0, noù ñöôïc söû duïng
haàu heát caùc loaïi vi maïch laäp trình khaùc nhau keå caû EPROM.
Ñaây laø boä bieân dòch vaïn naêng coù nhieàu chöùc naêng hoã trôï
töông töï nhö CUPL.
Treân ñaây laø giôùi thieäu sô löôïc caùc phaàn meàm hoã trôï cho
vi maïch laäp trình ñeå soaïn thaûo laø laäp trình cho caùc vi maïch.
Ngoaøi ra coøn nhieàu phaàn meàm cuûa caùc coâng ty khaùc ñöôïc
saûn xuaát ñeå hoã trôï cho caùc vi maïch laäp trình cuûa hoï.

ÖÙng duïng vi maïch soá laäp trình


Trang 58
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Sau ñaây laø baûng toùm taét caùc ngoân ngöõ thieát keá cho caùc vi
maïch laäp trình

Phaàn Hoã trôï Phöông Baûng Sô ñoà Daïng Ruùt


meàm cho caùc trình ñaïi söï nguyeâ soùng goïn
vi maïch soá thaät n lyù bieåu
Boolean thöùc
logic
PALASM 2 X *
(MMI)
AMAZE X * *
(Signetics)
PLAN X *
(National)
HELP X *
(Harris)
PLPL X * *
(AMD)
APEEL X *
(ICT)
A+PLUS X * * * *
(Altera)
iPLDS II X * * * *
(Intel)
ERASIC X * * * *
(Exel)
CUPL XX * * * *
(Logical
Dev)
ABEL XX * * * * *
(Data I/O)
ELDS XX * *
(Pistohl)
LOG/IC XX * * * * *
(Elan)
PLDesigner XX * * * *
(Minc)

Giaûi thích:
X : Chæ hoã trôï cho vi maïch do chính coâng ty saûn xuaát.
XX : Hoã trôï cho nhieàu loaïi vi maïch laäp trình.

C
OMB D S Q
LOGI
K R

ANY ANY
FUNCTI FUNCTI
ÖÙng duïng vi maïch soá laäp trình
ON Trang 59 ON
OF 3 OF 3
VARIABLE VARIABLE
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

IV/ GIÔÙI THIEÄU PHAÀN MEÀM SYNARIO.

Phaàn meàm Synario cuûa coâng ty Lattice cho pheùp laäp trình
caùc vi maïch PLD ñeán 14.000 coång, chöông trình coù theå ñöôïc
soaïn thaûo döôùi daïng sô ñoà maïch logic hoaëc baèng ngoân ngöõ
AHDL.

Goïi chöông trình baúng caùch kích ñuùp vaøo bieåu töôïng ISP Synario,
maøn hình chính cuûa Synario xuaát hieän nhö hình döôùi ñaây

ÖÙng duïng vi maïch soá laäp trình


Trang 60
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Baét ñaàu soaïn thaûo baèng caùch choïn source - new nhö maøn
hình döôùi ñaây.

Choïn caùch soaïn thaûo baèng sô ñoà baèng caùch choïn Schematic
hay laäp trình ngoân ngöõ AHDL choïn ABEL-HDL Module.

ÖÙng duïng vi maïch soá laäp trình


Trang 61
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Maøn hình soaïn thaûo ngoân ngöõ AHDL.

Choïn teân module, teân file, title.

ÖÙng duïng vi maïch soá laäp trình


Trang 62
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Maøn hình soaïn thaûo sô ñoà maïch logic

Treân maøn hình chính coù chöùa sô ñoà teân ”donghoso”.Beân phaûi
maøn hình coù 3 ñeà muïc leänh nhaèm muïc ñích kieåm tra, ñôn giaûn
vaø thieát laäp sô ñoà logic trong vi maïch.

ÖÙng duïng vi maïch soá laäp trình


Trang 63
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

PHAÀN II

THI
COÂNG

ÖÙng duïng vi maïch soá laäp trình


Trang 64
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

I/ PHAÀN CÖÙNG

ÖÙng duïng cuûa vi maïch soá laäp trình hieän nay raát phoå bieán
trong caùc saûn phaåm coâng nghieäp cuõng nhö caùc saûn phaåm
trong sinh hoaït gia ñình nhö: maùy giaët, beáp ñieän töï ñoäng,… do
trình ñoä coøn haïn cheá neân em chæ aùp duïng moät öùng duïng
nhoû cuûa vi maïch soá laäp trình laø thi coâng maïch ñoàng hoà hieån
thò soá ñeå thaáy roõ nhöõng öu ñieåm cuûa PLD.
Sau ñaây em xin trình baøy sô ñoà nguyeân lyù, sô ñoà maïch in
vaø sô ñoà boá trí linh kieän cuûa maïch doàng hoà soá.

Sô ñoà nguyeân lyù

ÖÙng duïng vi maïch soá laäp trình


Trang 65
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Sô ñoà boá trí linh kieän

ÖÙng duïng vi maïch soá laäp trình


Trang 66
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Sô ñoà maïch in

ÖÙng duïng vi maïch soá laäp trình


Trang 67
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Giôùi thieäu vi maïch laäp trình cuûa coâng ty lattice.


Vi maïch iSP LSI 1016 laø vi maïch ñöôïc söû duïng ñeå laäp trình
maïch ñoàng hoà hieån thò soá:

6 5 4 3 2 1 44 43

7 3
8 9
9 3
1 8
0 3
1 7
3
1
1
IspLSI 1016 6
2 PLCC44 3
5
1
3 3
1 4
4 18 19 20 21 22 23 24 25 26 3
1 27 28 3

Vi maïch iSP LSI 1016 laø vi maïch coù caáu taïo töø caùc
coång logic laäp trình vôùi maät ñoä tích hôïp khoaûng 2.000
coång:
Thôøi gian trì hoaõn Tpd = 7,5 ns
Taàn soá hoaït ñoäng fmax = 125MHz
Vi maïch coù 44 chaân vôùi kieåu voû PLCC
Vi maïch coù 32 ngoõ vaøo/ra do ñoù coù theå ñöôïc duøng vôùi
nhieàu öùng duïng khaùc nhau trong cuøng moät board.

ÖÙng duïng vi maïch soá laäp trình


Trang 68
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Chöùc naêng caùc chaân cuûa IC isp LSI 1016

Soá thöù töï Chöùc naêng Soá thöù töï Chöùc naêng
chaân chaân
1 GND 23 GND
2 IN3 24 SOD/ IN 1
3 I/O 24 25 I/O 8
4 I/O 25 26 I/O 9
5 I/O 26 27 I/O 10
6 I/O 27 28 I/O 11
7 I/O 28 29 I/O 12
8 I/O 29 30 I/O 13
9 I/O 30 31 I/O 14
10 I/O 31 32 I/O 15
11 YO 33 Y2/ SCLK
12 Vcc (5V) 34 Vcc
13 IspEN/NC 35 Y1/ RESET
14 SDI/INO 36 IN2/ MODE
15 I/O 0 37 I/O 18
16 I/O 1 38 I/O 17
17 I/O 2 39 I/O 16
18 I/O 3 40 I/O 19
19 I/O 4 41 I/O 20
20 I/O 5 42 I/O 21
21 I/O 6 43 I/O 22
22 I/O 7 44 I/O 23

Nguyeân lyù hoaït ñoäng cuûa maïch.


Maïch ñieän goàm coù IC 4060 keát hôïp vôùi thaïch anh 4MHz,
ñieän trôû R2,R3 vaø tuï C8,C9 taïo thaønh maïch dao ñoäng cung caáp
hai tín hieäu 500ms vaø 1ms cho vi maïch laäp trình ñeå thöïc hieän
caùc chöùc naêng ñeám, giaûi maõ, vaø hieån thò led 7 ñoaïn baèng
phöông phaùp queùt. Maïch ñoàng hoà hieän soá coù 4 led: 2 led hieån
thò giôø vaø 2 led hieån thò phuùt.
IC74240 coù chöùc naêng ñeäm ñaûo keát hôïp vôùi ñieän trôû
R5.. R12 laøm maïch ñeäm ñeå hieån thò. Boán BJT coù chöùc naêng
ñieàu khieån queùt.
Hai nuùt nhaán S1 vaø S2 duøng ñeå ñieàu chænh giôø vaø phuùt.

Öu ñieåm cuûa maïch ñieän naøy laø:


- Coù ít linh kieän trong moät board do ñoù maïch ñieän ñôn giaûn
thuaän lôïi trong vieäc kieåm tra khi coù söï coá hö hoûng .
- Coâng suaát tieâu thuï cuûa maïch giaûm ñaùng keå do duøng
phöông phaùp queùt led.

Khuyeát ñieåm:

ÖÙng duïng vi maïch soá laäp trình


Trang 69
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

- Chöa taän duïng heát khaû naêng hoaït ñoäng cuûa vi maïch do
chæ söû duïng coù 13 ñöôøng vaøo ra.
- Do vi maïch laäp trình khan hieám treân thò tröôøng neân giaù
thaønh cao.
II/ PHAÀN MEÀM

Sô ñoà maïch logic cuûa maïch ñoàng hoà hieän soá.

ÖÙng duïng vi maïch soá laäp trình


Trang 70
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

ÖÙng duïng vi maïch soá laäp trình


Trang 71
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

ÖÙng duïng vi maïch soá laäp trình


Trang 72
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

module giai ma
title ‘giai ma’
‘’inputs
a,b,c,d pin 1,2,3,4;
‘’outputs
aa,bb,cc,dd,ee,ff,gg pin
5,6,7,8,9,10,11istype’com’;
equations
aa= !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # d&!c&!b&
bb = !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a ;
cc = !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # d&!c&!b&
dd = !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a ;
ee = !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # d&!c&!b&
ff = !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a ;
gg = !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # !
d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b& d&!c&!b&!a # !d&!c&!b&!a
# !d&!c&!b&!a # !d&!c&!b&!a # !d&!c&!b&!a # d&!c&!b&
end

ÖÙng duïng vi maïch soá laäp trình


Trang 73
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

module count 4
title ‘dem mode 4’
‘’constants
c,x,p = . c . , c. , . p . ;
‘’inputs
takt , up ,dn ,r , 19 pin 1, 2, 3, 4, 5 ;
‘’outputs
q0 ,q1 ,q2 ,q3 pin 6, 7, 8, 9 istype ‘reg’ ;
equations
(q0 . c , q1 . c , q2 . c , q3 . c ) =takt ;
‘’ count up count down load
9 reset
q0 := ( ( ( up # dn )$q0 #
19 )&!r;
q1 := ( ( ( up&q0) # (dn $! q0) )$q1
&!19 )&!r ;
q2 := ( ( (up&q0&q1) # (dn $!q0$!q1) )$q2 &!19
)&!r;
q3 := ( ( (up&q0&q1&q2) # (dn $!q0$!q1$!q2) )$q3 #!
19 )&!r;
end

ÖÙng duïng vi maïch soá laäp trình


Trang 74
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

PHAÀN III

KEÁT
LUAÄN

ÖÙng duïng vi maïch soá laäp trình


Trang 75
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

ÖÙng duïng vi maïch soá laäp trình


Trang 76
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

Keát luaän

Qua 8 tuaàn nhaän ñeà taøi, maëc duø kieán thöùc vaø taøi lieäu
coù haïn cheá nhöng em ñaõ coá gaéng hoaøn thaønh taäp luaän vaên
ñuùng thôøi haïn ñeà ra. Qua thöïc teá kieåm nghieäm, Em coù nhaän
xeùt veà öu khuyeát ñieåm cuûa ñeà taøi.

Öu ñieåm cuûa maïch laø:


- Maïch ñieän ñôn giaûn (chæ vôùi 3 IC soá trong maïch), deã
thöïc hieän, deã kieåm tra caùc linh kieän khi gaëp söï coá.
- Vi maïch laäp trình coù theå öùng duïng vaøo nhieàu coâng
vieäc khaùc nhau baèng caùch laäp trình cho vi maïch.
- Coâng cuï ñeå laäp trình ñôn giaûn, deã thöïc hieän.
- Laøm giaûm ñaùng keå soá löôïng IC trong moät board.
- Hoaït ñoäng cuûa vi maïch ñaûm baûo ñoä tin caäy, chính
xaùc.

Khuyeát ñieåm:
Do vi maïch laäp trình treân thò tröôøng coøn khan hieám neân
giaù thaønh khaù cao.

Höôùng phaùt trieån ñeà taøi.

Ñeå thaáy roõ nhöõng öu ñieåm cuûa vi maïch laäp trình, vôùi ñeà
taøi treân coù theå thöïc hieän caùc öùng duïng thieát thöïc trong caùc
yeâu caàu cuûa thöïc teá nhö thi coâng moät maïch ñieän coù nhieàu
chöùc naêng vöøa hieån thò giôø, coù chuoâng baùo giôø heïn tröôùc,
ñieàu khieån ñoùng ngaét caùc thieát bò töø xa...

Moät laàn nöõa em xin caûm ôn thaày TRAÀN VAÊN TROÏNG ñaõ
taän tình höôùng daãn cho em. Xin caûm ôn quí thaày coâ trong khoa
ñaõ daïy doã em vaø caùc baïn cuøng khoùa ñaõ giuùp ñôõ em trong
thôøi gian qua.

ÖÙng duïng vi maïch soá laäp trình


Trang 77
LUAÄN VAÊN TOÁT NGHIEÄP GVHD :
TRAÀN VAÊN TROÏNG

TAØI LIEÄU THAM KHAÛO

- Giaùo trình vi maïch soá laäp trình cuûa taùc giaû: Thaày
Traàn Vaên Troïng.

- Cô sôû kó thuaät ñieän töû soá Vuõ Ñöùc


Thoï dòch.

- Tra cöùu vi maïch soá TTL vaø CMOS.

- Vi maïch soá taäp 1 Nguyeãn Höõu


Phöông.

- Programmable Logic Designer' s Guide Roger C. Alford

ÖÙng duïng vi maïch soá laäp trình


Trang 78

You might also like