You are on page 1of 104

GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

BOÄ GIAÙO DUÏC VAØ ÑAØO TAÏO


TRÖÔØNG ÑAÏI HOÏC SÖ PHAÏM KYÕ THUAÄT
KHOA ÑIEÄN
BOÄ MOÂN ÑIEÄN KHÍ HOÙA CUNG CAÁP ÑIEÄN

** **

ÑOÀ AÙN TOÁT NGHIEÄP

ÑEÀ TAØI:

KHAÛO SAÙT VAØ THIEÁT KEÁ


HEÄ THOÁNG ÑIEÀU KHIEÅN
MAÙY IN HOA VAÊN TREÂN
KHAÊN DUØNG PLC TAÏI
COÂNG TY ASC CHARWIE

SINH VIEÂN THÖÏC HIEÄN: NGUYEÃN HÖÕU


THIEÄN

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 1


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

MAÕ SOÁ SV: 96102310


GIAÙO VIEÂN HÖÔÙNG DAÃN: VUÕ ÑOÃ CÖÔØNG

TP HOÀ CHÍ MINH, Thaùng 2 - 2001

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 2


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Boä Giaùo Duïc vaø Ñaøo Taïo Coäng Hoøa Xaõ Hoäi Chuû Nghóa
Vieät Nam
Tröôøng Ñaïi hoïc Sö Phaïm Kyõ Thuaät Ñoäc laäp - Töï do -
Haïnh phuùc
Thaønh phoá Hoà Chí Minh
-------- --------

KHOA ÑIEÄN – ÑIEÄN TÖÛ


BOÄ MOÂN ÑIEÄN KHÍ HOÙA – CUNG CAÁP ÑIEÄN
NHIEÄM VUÏ ÑOÀ AÙN TOÁT NGHIEÄP

Hoï vaø teân : NGUYEÃN HÖÕU THIEÄN


MSSV : 96102310
Nieân khoùa : 1996 - 2001
Ngaønh : ÑIEÄN KHÍ HOÙA – CUNG CAÁP ÑIEÄN
1. Teân ñeà taøi:
KHAÛO SAÙT VAØ THIEÁT KEÁ HEÄ THOÁNG ÑIEÀU KHIEÅN MAÙY IN HOA
VAÊN TREÂN KHAÊN DUØNG PLC TAÏI COÂNG TY ASC CHARWIE
2. Cô sôû ban ñaàu :
.......................................................................................................
.......................................................................................................
3. Noäi dung caùc phaàn thuyeát minh :
.......................................................................................................
.......................................................................................................
.......................................................................................................
.......................................................................................................
.......................................................................................................
4. Caùc baûn veõ:
.......................................................................................................
.......................................................................................................
Giaùo vieân höôùng daãn: VUÕ ÑOÃ CÖÔØNG
Ngaøy giao nhieäm vuï : 18 – 1 – 2001
Ngaøy hoaøn thaønh nhieäm vuï : 28 – 2 – 2001
Thoâng qua boä moân
Giaùo vieân höôùng daãn Ngaøy …… thaùng ……
naêm 2001
Chuû nhieäm boä
moân

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 3


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

NHAÄN XEÙT CUÛA GIAÙO VIEÂN HÖÔÙNG


DAÃN
Hoï vaø teân sinh vieân: NGUYEÃN HÖÕU THIEÄN
MSSV: 96102310
Giaùo vieân höôùng daãn: VUÕ ÑOÃ CÖÔØNG
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
............................................................................................................
Ngaøy thaùng naêm 2001
Giaùo vieân höôùng
daãn

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 4


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

NHAÄN XEÙT CUÛA GIAÙO VIEÂN DUYEÄT


Hoï vaø teân sinh vieân: NGUYEÃN HÖÕU THIEÄN
MSSV: 96102310
Giaùo vieân duyeät:
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
...........................................................................................................
Ngaøy thaùng naêm 2001
Giaùo vieân duyeät

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 5


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

LÔØI CAÛM TAÏ

Em xin chaân thaønh baøy toû loøng bieát ôn ñeán caùc Thaày
Coâ trong Khoa Ñieän tröôøng Ñaïi Hoïc Sö Phaïm Kyõ Thuaät
TP.HCM, ñaëc bieät laø caùc Thaày Coâ trong boä moân Ñieän Khí
Hoùa Cung Caáp Ñieän ñaõ truyeàn thuï nhöõng kieán thöùc quyù
baùu cho em trong nhöõng naêm hoïc qua.
Em xin chaân thaønh caûm ôn Thaày VUÕ ÑOÃ CÖÔØNG,
giaûng vieân Tröôøng Ñaïi Hoïc Sö Phaïm Kyõ Thuaät TP. HCM ñaõ
taän taâm höôùng daãn, cung caáp taøi lieäu vaø taïo moïi ñieàu
kieän thuaän lôïi ñeå em coù theå hoaøn thaønh taäp ñoà aùn naøy.
Xin chaân thaønh caûm ôn nhöõng ngöôøi baïn, nhöõng ngöôøi
thaân ñaõ giuùp ñôõ vaø ñoäng vieân trong quaù trình thöïc hieän
ñoà aùn vaø trong hoïc taäp.

Tröôøng Ñaïi Hoïc Sö Phaïm Kyõ


Thuaät TP.HCM
Sinh vieân thöïc hieän

NGUYEÃN HÖÕU THIEÄN

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 6


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

LÔØI NOÙI ÑAÀU


Nöôùc ta ñang trong coâng cuoäc coâng nghieäp hoùa hieän
ñaïi hoùa ñeå töøng böôùc baét kòp söï phaùt trieån trong khu vöïc
Ñoâng Nam AÙ vaø theá giôùi veà moïi maét kinh teá vaø xaõ hoäi.
Coâng nghieäp saûn xuaát haøng hoùa ñoùng vai troø quan troïng
trong vieäc phaùt trieån kinh teá. Vieäc töï ñoäng hoùa laø söï löïa
choïn khoâng traùnh khoûi trong moïi lónh vöïc nhaèm taïo ra saûn
phaåm coù chaát löôïng cao, taêng khaû naêng caïnh tranh maïnh
meõ treân thò tröôøng.
Cuøng vôùi söï cuûa caùc ngaønh saûn xuaát khaùc, ngaønh
deät vaø saûn xuaát haøng may maëc cuõng phaùt trieån maïnh,
caùc maët haøng vaûi ña daïng veà chuûng loaïi, maøu saéc hoa
vaên phong phuù.
Caùc trang thieát bò maùy moùc phuïc vuï trong coâng nghieäp
ôû nöôùc ta ña soá coøn laïc haäu song do nhu caàu saûn xuaát soá
maùy naøy vaãn ñöôïc khai thaùc. Vôùi nguoàn voán ñaàu tö môùi
haïn heïp do ñoù beân caïnh vieäc mua saém nhöõng trang thieát bò
môùi, hieän ñaïi caàn phaûi caûi taïo naâng caáp caùc heä thoáng
thieát bò maùy moùc cuõ ñeå ñaùp öùng cho vieäc saûn xuaát.
Vieäc naâng caáp caùc heä thoáng naøy nhaèm naâng cao möùc
ñoä töï ñoäng hoùa, taêng naêng suaát trong saûn xuaát. Böôùc
thöïc hieän vieäc caûi taïo naâng caáp moät heä thoáng maùy moùc
ñaàu tieân laø thay theá heä thoáng ñieàu khieån cuõ söû duïng rôle
baèng moät thieát bò ñieàu khieån coù theå laäp trình ñöôïc laø PLC
nhaèm laøm cho maïch ñieàu khieån cuûa heä thoáng goïn nheï,
hoaït ñoäng chính xaùc ñaùng tin caäy hôn vaø quan troïng nhaát
laø deã daøng thay ñoåi chöông trình ñieàu khieån khi coù yeâu
caàu. PLC laø moät thieát bò ñieàu khieån coâng nghieäp môùi ñaõ
vaø ñang ñöôïc söû duïng roäng raõi ôû Vieät Nam.
Vaán ñeà töï ñoäng hoùa trong coâng nghieäp ñeå giaûm bôùt
lao ñoäng chaân tay vaø naâng cao naêng suaát lao ñoäng, laø moät
trong nhöõng ñeà taøi ñöôïc caùc baïn sinh vieân, caùc thaày coâ ôû
nhöõng tröôøng kyõ thuaät quan taâm vaø nghieân cöùu nhieàu
nhaát. Vieäc khaûo saùt vaø söû duïng phaàn meàm laäp trình cho

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 7


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

PLC hoï SIMATIC S7 ñeå ñieàu khieån maùy in hoa vaên treân
khaên taém laø noäi dung cuûa taäp luaän vaên toát nghieäp maø
em trình baøy.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 8


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

MUÏC LUÏC
Trang
Chöông I:
A. Giôùi thieäu chung veà thieát bò ñieàu khieån laäp trình
PLC 1
B. Giôùi thieäu chung veà thieát bò ñieàu khieån laäp trình
PLC SIMATIC S7-200 2
1. Caáu truùc phaàn cöùng cuûa S7 – 200---------------------------2
2. Caáu truù boä nhôù------------------------------------------------------6
3. Thöïc hieän chöông trình-----------------------------------------------10
4. Caáu truùc chöông trình cuûa S7 – 200---------------------------11
Chöông II:
Ngoân ngöõ laäp trình cuûa S7 – 200
1. Phöông phaùp laäp trình-------------------------------------------------13
2. Caùc toaùn haïng giôùi haïn cho pheùp cuûa CPU 214---------15
3. Moät soá leänh cô baûn---------------------------------------------------16
Chöông III:
Qui trình coâng ngheä in khaên
1. Giôùi thieäu coâng ngheä in---------------------------------------------34
2. Caùc phaàn cuûa maùy in-----------------------------------------------36
3. Nguyeân taéc hoaït ñoäng cuûa maùy in---------------------------37
4. Caùc chöông trình hoaït ñoäng cuûa maùy in---------------------37
Chöông IV:
Chöông trình ñieàu khieån heä thoáng maùy in hoa vaên
duøng PLC
1. Caùc yeâu caàu coâng ngheä cuûa heä thoáng maùy in------40
2. Qui ñònh moät soá tieáp ñieåm vaø cuoän daây trong chöông
trình ñieàu khieån----------------------------------------------------------40
3. Löu ñoà giaûi thuaät caùc chöông trình-----------------------------42
4. Chöông trình ñieàu khieån daïng LAD--------------------------------50
5. Chöông trình ñieàu khieån daïng STL--------------------------------67
KEÁT LUAÄN

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 9


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

PHAÀN NOÄI DUNG

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 10


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

KEÁT LUAÄN
Qua 6 tuaàn thöïc hieän ñoà aùn, tuy thôøi gian töông ñoái
ngaén nhöng em ñaõ heát söùc coá gaéng ñeå coù theå thu thaäp
nhöõng thoâng tin vaø caùc vaán ñeà lieân quan ñeán ñoà aùn
vaø cuoái cuøng ñaõ hoaøn thaønh ñuùng thôøi gian quy ñònh.
Cuõng qua ñoà aùn naøy em ñaõ hoïc hoûi theâm ñöôïc nhieàu
kieán thöùc vaø kinh nghieäm quyù baùu veà ngheà nghieäp cuûa
mình trong töông lai. Hieåu roõ nhöõng khoù khaên caàn phaûi
giaûi quyeát khi baét tay vaøo nghieân cöùu caùc vaán ñeà khoa
hoïc, caùch boá trí thôøi gian moät caùch hôïp lyù khoa hoïc thì
môùi coù theå hoaøn thaønh.
Tuy nhieân vì lyù do khaùch quan neân em khoâng theå thöïc
hieän laøm moâ hình cho noäi dung ñoà aùn theâm phong phuù.
Do thôøi gian vaø ñieàu kieän coøn haïn cheá do ñoù nhöõng
thieáu soùt laø ñieàu khoâng theå traùnh khoûi, raát mong ñöôïc söï
goùp yù cuûa Thaày Coâ vaø caùc baïn sinh vieân.
Moät laàn nöõa em chaân thaønh caûm ôn quí Thaày, Coâ
trong Khoa Ñieän vaø thaày VUÕ ÑOÃ CÖÔØNG ñaõ nhieät tình
höôùng daãn, cuøng taát caû caùc baïn ñaõ giuùp em hoaøn
thaønh ñoà aùn naøy.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 11


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

TAØI LIEÄU THAM KHAÛO

• Töï ñoäng hoùa vôùi SIMATIC S7 – 200 _ Dr.-Ing NGUYEÃN


DOAÕN PHÖÔÙC
Dr.-Ing PHAN XUAÂN MINH
NXB Noâng Nghieäp Haø Noäi, 1997
• Kyõ thuaät ñieâu khieån laäp trình (SPS - PLC) _ NGOÂ
QUANG HAØ
TRAÀN VAÊN TROÏNG
Tröôøng Ñaïi Hoïc Sö Phaïm Kyõ Thuaät
• Caùc taøi lieäu tham khaûo veà qui trình coâng ngheä heä
thoáng maùy in cuûa coâng ty ASC CHARWIE
• Caùc luaän vaên toát nghieäp cuûa caùc khoùa tröôùc tröôøng
Ñaïi Hoïc Sö Phaïm Kyõ Thuaät.

CHÖÔNG I
A. GIÔÙI THIEÄU CHUNG VEÀ THIEÁT BÒ ÑIEÀU KHIEÅN
LAÄP TRÌNH PLC
Trong coâng nghieäp saûn xuaát, ñeå ñieàu khieån moät daây
chuyeàn, moät thieát bò maùy moùc coâng nghieäp … ngöôøi ta
thöïc hieän keát noái caùc linh kieän ñieàu khieån rôøi (rôle, timer,
contactor …) laïi vôùi nhau tuyø theo möùc ñoä yeâu caàu thaønh
moät heä thoáng ñieän ñieàu khieån. Coâng vieäc naøy khaù phöùc
taïp trong thi coâng, söûa chöõa baûo trì do ñoù giaù thaønh cao.
Khoù khaên nhaát laø khi caàn thay ñoåi moät hoaït ñoäng naøo
ñoù.
Moät heä thoáng ñieàu khieån öu vieät maø chuùng ta phaûi
choïn ñöôïc ñieàu khieån cho moät maùy saûn xuaát caàn phaûi hoäi
ñuû caùc yeâu caàu sau: giaù thaønh haï, deã thi coâng, söûa chöõa,
chaát löôïng laøm vieäc oån ñònh linh hoaït … Töø ñoù heä thoáng
ñieàu khieån coù theå laäp trình ñöôïc PLC (Programable Logic
Control) ra ñôøi ñaõ giaûi quyeát ñöôïc vaán ñeà treân.
Thieát bò ñieàu khieån laäp trình ñaàu tieân ñaõ ñöôïc nhöõng
nhaø thieát keá cho ra ñôøi naêm 1968 (Coâng ty General Moto -

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 12


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Myõ). Tuy nhieân, heä thoáng naøy coøn khaù ñôn giaûn vaø coàng
keành, ngöôøi söû duïng gaëp nhieàu khoù khaên trong vieäc vaän
haønh heä thoáng. Vì vaäy caùc nhaø thieát keá töøng böôùc caûi
tieán heä thoáng ñôn giaûn, goïn nheï, deã vaän haønh, nhöng vieäc
laäp trình cho heä thoáng coøn khoù khaên, do luùc naøy khoâng
coù caùc thieát bò laäp trình ngoaïi vi hoã trôï cho coâng vieäc laäp
trình.
Ñeå ñôn giaûn hoùa vieäc laäp trình, heä thoáng ñieàu khieån
laäp trình caàm tay (programmable controller handle) ñaàu tieân
ñöôïc ra ñôøi vaøo naêm 1969. Trong giai ñoaïn naøy caùc heä
thoáng ñieàu khieån laäp trình (PLC) chæ ñôn giaûn nhaèm thay
theá heä thoáng Relay vaø daây noái trong heä thoáng ñieàu khieån
coå ñieån. Qua quaù trình vaän haønh, caùc nhaø thieát keá ñaõ
töøng böôùc taïo ra ñöôïc moät tieâu chuaån môùi cho heä thoáng,
tieâu chuaån ñoù laø: daïng laäp trình duøng giaûn ñoà hình thang.
Trong nhöõng naêm ñaàu thaäp nieân 1970, nhöõng heä thoáng PLC
coøn coù theâm khaû naêng vaän haønh vôùi nhöõng thuaät toaùn
hoå trôï (arithmetic), “vaän haønh vôùi caùc döõ lieäu caäp nhaät”
(data manipulation). Do söï phaùt trieån cuûa loaïi maøn hình duøng
cho maùy tính (Cathode Ray Tube: CRT), neân vieäc giao tieáp giöõa
ngöôøi ñieàu khieån ñeå laäp trình cho heä thoáng caøng trôû neân
thuaän tieän hôn. Ngoaøi ra caùc nhaø thieát keá coøn taïo ra kyõ
thuaät keát noái vôùi caùc heä thoáng PLC rieâng leû thaønh moät
heä thoáng PLC chung, taêng khaû naêng cuûa töøng heä thoáng
rieâng leû. Toác ñoä xöû lyù cuûa heä thoáng ñöôïc caûi thieän, chu
kyø queùt (scan) nhanh hôn laøm cho heä thoáng PLC xöû lyù toát
vôùi nhöõng chöùc naêng phöùc taïp, soá löôïng coång ra/vaøo lôùn.
Moät PLC coù ñaày ñuû caùc chöùc naêng nhö: boä ñeám, boä
ñònh thôøi, caùc thanh ghi (register) vaø taäp leänh cho pheùp thöïc
hieän caùc yeâu caàu ñieàu khieån phöùc taïp khaùc nhau. Hoaït
ñoäng cuûa PLC hoaøn toaøn phuï thuoäc vaøo chöông trình naèm
trong boä nhôù, noù luoân caäp nhaät tín hieäu ngoõ vaøo, xöû lyù
tín hieäu ñeå ñieàu khieån ngoõ ra.
Nhöõng ñaëc ñieåm cuûa PLC:
- Thieát bò choáng nhieãu.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 13


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

- Coù theå keát noái theâm caùc modul ñeå môû roäng ngoõ
vaøo/ra.
- Ngoân ngöõ laäp trình deã hieåu.
- Deã daøng thay ñoåi chöông trình ñieàu khieån baèng
maùy laäp trình hoaëc maùy tính caù nhaân.
- Ñoä tin caäy cao, kích thöôùc nhoû.
- Baûo trì deã daøng.
Do caùc ñaëc ñieåm treân, PLC cho pheùp ngöôøi ñieàu haønh
khoâng maát nhieàu thôøi gian noái daây phöùc taïp khi caàn thay
ñoåi chöông trình ñieàu khieån, chæ caàn laäp chöông trình môùi
thay cho chöông trình cuõ.
Vieäc söû duïng PLC vaøo caùc heä thoáng ñieàu khieån ngaøy
caøng thoâng duïng, ñeå ñaùp öùng yeâu caàu ngaøy caøng ña
daïng naøy, caùc nhaø saûn xuaát ñaõ ñöa ra haøng loaït caùc daïng
PLC vôùi nhieàu möùc ñoä thöïc hieän ñuû ñeå ñaùp öùng caùc yeâu
caàu khaùc nhau cuûa ngöôøi söû duïng.
Ñeå ñaùnh giaù moät boä PLC ngöôøi ta döïa vaøo 2 tieâu
chuaån chính: dung löôïng boä nhôù vaø soá tieáp ñieåm vaøo/ra
cuûa noù. Beân caïnh ñoù cuõng caàn chuù yù ñeán caùc chöùc
naêng nhö: boä vi xöû lyù, chu kyø xung clock, ngoân ngöõ laäp
trình, khaû naêng môû roäng soá ngoõ vaøo/ra.

B. Giôùi thieäu thieát bò ñieàu khieån laäp trình PLC


SIMATIC S7 – 200.
1. Caáu truùc phaàn cöùng cuûa S7 – 200 CPU 214.
PLC vieát taét cuûa Programmable Logic Control, laø thieát bò
ñieàu khieån logic laäp trình ñöôïc, cho pheùp thöïc hieän linh hoaït
caùc thuaät toaùn ñieàu khieån thoâng qua moät ngoân ngöõ laäp
trình.
S7 – 200 laø thieát bò ñieàu khieån khaû trình loaïi nhoû cuûa
haõng Siemens, coù caáu truùc theo kieåu modul vaø coù caùc
modul môû roäng. Caùc modul naøy söû duïng cho nhieàu öùng
duïng laäp trình khaùc nhau. Thaønh phaàn cô baûn cuûa S7 – 200
laø khoái vi xöû lyù CPU 212 hoaëc CPU 214. Veà hình thöùc beân

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 14


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

ngoaøi, söï khaùc nhau cuûa hai loaïi CPU naøy nhaän bieát ñöôïc
nhôø soá ñaàu vaøo/ra vaø nguoàn cung caáp.
- CPU 212 coù 8 coång vaøo, 6 coång ra vaø coù khaû
naêng ñöôïc môû roäng theâm baèng 2 modul môû roäng.
- CPU 214 coù 14 coång vaøo, 10 coång ra vaø coù khaû
naêng ñöôïc môû roäng theâm baèng 7 modul môû roäng.
S7 – 200 coù nhieàu loaïi modul môû roäng khaùc nhau.

CPU 214 bao goàm:


- 2048 töø ñôn (4K byte) thuoäc mieàn nhôù ñoïc/ghi non-
volatile ñeå löu chöông trình (vuøng nhôù coù giao dieän
vôùi EEPROM).
- 2048 töø ñôn (4K byte) kieåu ñoïc/ghi ñeå löu döõ lieäu,
trong ñoù 512 töø ñaàu thuoäc mieàn nhôù non-volatile.
- 14 coång vaøo vaø 10 coång ra logic.
- Coù 7 modul ñeå môû roäng theâm coång vaøo/ra bao
goàm luoân caû modul analog.
- Toång soá coång vaøo/ra cöïc ñaïi laø 64 coång vaøo vaø
64 coång ra.
- 128 Timer chia laøm 3 loaïi theo ñoä phaân giaûi khaùc
nhau: 4 Timer 1ms, 16 Timer 10ms vaø 108 Timer 100ms.
- 128 boä ñeám chia laøm 2 loaïi: chæ ñeám tieán vaø vöøa
ñeám tieán vöøa ñeám luøi.
- 688 bit nhôù ñaëc bieät duøng ñeå thoâng baùo traïng
thaùi vaø ñaët cheá ñoä laøm vieäc.
- Caùc cheá ñoä ngaét vaø xöû lyù ngaét bao goàm: ngaét
truyeàn thoâng, ngaét theo söôøn leân hoaëc xuoáng,
ngaét thôøi gian, ngaét cuûa boä ñeám toác ñoä cao vaø
ngaét truyeàn xung.
- 3 boä ñeám toác ñoä cao vôùi nhòp 2 KHz vaø 7KHz.
- 2 boä phaùt xung nhanh cho daõy xung kieåu PTO hoaëc
kieåu PWM.
- 2 boä ñieàu chænh töông töï.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 15


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

- Toaøn boä vuøng nhôù khoâng bò maát döõ lieäu trong


khoaûng thôøi gian 190 giôø khi PLC bò maát nguoàn
nuoâi.
Caùc
coång ra
SF Q1.0
RUN I0.0 I1.0 Q0.0
SIEMENS I0.1 Q0.1 Q1.1
STOP I.11
I0.2 I1.2 Q0.2
I0.3 I1.3 Q0.3
I0.4 I1.4 Q0.4
SIMATIC I0.5 I1.5 Q0.5
S7 - 200 I0.6 Q0.6
I0.7 Q0.7

Caùc coång Coång truyeàn


Hình 1. Boä ñieàu khieån laäp trình
vaøo ñöôïc S7 – 200, CPU 214
thoâng
● Moâ taû caùc ñeøn baùo treân S7 – 200, CPU 214
SF (ñeøn ñoû) Ñeøn ñoû SF baùo hieäu heä thoáng bò hoûng.
Ñeøn SF saùng leân khi PLC bò hoûng hoùc.
RUN (ñeøn xanh) Ñeøn xanh RUN chæ ñònh PLC ñang ôû
cheá ñoä laøm vieäc vaø thöïc hieän chöông
trình ñöôïc naïp trong maùy.
STOP (ñeøn vaøng) Ñeøn vaøng STOP chæ ñònh PLC ñang ôû
cheá ñoä döøng. Döøng chöông trình ñang
thöïc hieän laïi.
Ix.x (ñeøn xanh) Ñeøn xanh ôû coång vaøo chæ ñònh traïng
thaùi töùc thôøi cuûa coång Ix.x (x.x = 0.0 ÷
1.5). Ñeøn naøy baùo hieäu traïng thaùi cuûa
tín hieäu theo giaù trò logic cuûa coång.
Qy.y (ñeøn xanh)Ñeøn xanh ôû coång ra baùo hieäu traïng thaùi
töùc thôøi cuûa coång Qy.y (y.y = 0.0 ÷ 1.1).
Ñeøn naøy baùo hieäu traïng thaùi cuûa tín
hieäu theo giaù trò logic cuûa coång.
Coång truyeàn thoâng
S7 – 200 söû duïng coång truyeàn thoâng noái tieáp RS485 vôùi
phích noái 9 chaân ñeå phuïc vuï cho vieäc gheùp noái vôùi thieát bò
laäp trình hoaëc vôùi caùc traïm PLC khaùc. Toác ñoä truyeàn cho
maùy laäp trình kieåu PPI laø 9600 baud. Toác ñoä truyeàn cung
caáp cuûa PLC theo kieåu töï do laø 300 ñeán 38.400.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 16


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

5 4 3 2
Hình 2. Sô ñoà chaân
cuûa coång
9 8 7 truyeàn thoâng

Chaân Giaûi thích Chaân Giaûi thích


1 Ñaát 6 5 VDC (ñieän trôû trong 100 )
2 24 VDC 7 24 VDC (120mA toái ña)
3 Truyeàn vaø nhaän döõ lieäu 8 Truyeàn vaø nhaän döõ
lieäu
4 Khoâng söû duïng 9 Khoâng söû duïng
5 Ñaát

Ñeå gheùp noái S7 – 200 vôùi maùy laäp trình PG702 hoaëc vôùi
caùc loaïi maùy laäp trình thuoäc hoï PG7xx coù theå söû duïng
moät caùp noái thaúng MPI. Caùp ñoù ñi keøm theo maùy laäp trình.
Gheùp noái S7 – 200 vôùi maùy tính PC qua coång RS-232 caàn
coù caùp noái PC/PPI vôùi boä chuyeån ñoåi RS232/RS485.

Coâng taéc choïn cheá ñoä laøm vieäc cuûa PLC


Coâng taéc choïn cheá ñoä laøm vieäc naèm phía treân, beân
caïnh caùc coång ra cuûa S7 – 200 coù ba vò trí cho pheùp choïn
caùc cheá ñoä laøm vieäc khaùc nhau cho PLC.
- RUN cho pheùp PLC thöïc hieän chöông trình trong boä nhôù.
PLC S7 – 200 seõ rôøi khoûi cheá ñoä RUN vaø chuyeån sang
cheá ñoä STOP neá trong maùy coù söï coá hoaëc trong
chöông trình gaëp leänh STOP, thaäm chí ngay caû khi coâng
taéc ôû cheá ñoä RUN. Neân quan saùt traïng thaùi thöïc taïi
cuûa PLC theo ñeøn baùo.
- STOP cöôõng böùc PLC döøng thöïc hieän chöông trình ñang
chaïy vaø chuyeån sang cheá ñoä STOP. ÔÛ cheá ñoä STOP
PLC cho pheùp hieäu chænh laïi chöông trình hoaëc naïp
moät chöông trình môùi.
- TERM cho pheùp maùy laäp trình töï quyeát ñònh moät trong
caùc cheá ñoä laøm vieäc cho PLC hoaëc ôû cheá ñoä RUN
hoaëc ôû cheá ñoä STOP.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 17


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Chænh ñònh töông töï


Ñieàu chænh töông töï (1 boä trong CPU 212 vaø 2 trong CPU
214) cho pheùp ñieàu chænh caùc bieán caàn phaûi thay ñoåi vaø
söû duïng trong chöông trình. Nuùm chænh analog ñöôïc laép ñaët
döôùi naép ñaäy beân caïnh caùc coång ra. Thieát bò chænh ñònh
coù theå quay 270o.

Pin vaø nguoàn nuoâi boä nhôù


Nguoàn nuoâi duøng ñeå môû roäng thôøi gian löu giöõ cho
caùc döõ lieäu coù trong boä nhôù. Nguoàn pin töï ñoäng ñöôïc
chuyeån sang traïng thaùi tích cöïc neáu nhö dung löôïng tuï nhôù
bò caïn kieät vaø noù phaûi thay theá vaøo vò trí ñoù ñeå döõ
lieäu trong boä nhôù khoâng bò maát ñi.

2. Caáu truùc boä nhôù


a. Phaân chia boä nhôù:
Boä nhôù cuûa S7 – 200 ñöôïc chia thaønh 4 vuøng vôùi moät tuï
coù nhieäm vuï duy trì döõ lieäu trong moät khoaûng thôøi gian
nhaát ñònh khi maát nguoàn. Boä nhôù cuûa S7 – 200 coù tính
naêng ñoäng cao, ñoïc vaø ghi ñöôïc trong toaøn vuøng, loaïi tröø
phaàn bit nhôù ñaëc bieät ñöôïc kí hieäu SM (Special Memory)
chæ coù theå truy nhaäp ñeå ñoïc.
Vuøng chöông trình: laø mieàn nhôù ñöôïc söû duïng ñeå löu
caùc leänh chöông trình. Vuøng naøy thuoäc kieåu non-volatile
ñoïc/ghi ñöôïc.
Vuøng tham soá: laø mieàn löu giöõ caùc tham soá nhö: töø
khoùa, ñòa chæ traïm … cuõng nhö vuøng chöông trình, vuøng
tham soá thuoäc kieåu non-volatile ñoïc/ghi ñöôïc.
Vuøng döõ lieäu: duøng ñeå caát caùc döõ lieäu cuûa chöông
trình bao goàm caùc keát quaû caùc pheùp tính, haèng soá ñöôïc
ñònh nghóa trong chöông trình, boä ñeäm truyeàn thoâng …
moät phaàn cuûa vuøng nhôù naøy thuoäc kieåu non-volatile.
Vuøng ñoái töôïng: Timer, boä ñeám, boä ñeám toác ñoä cao
vaø caùc coång vaøo/ra töông töï ñöôïc ñaët trong vuøng nhôù

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 18


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

cuoái cuøng. Vuøng naøy khoâng kieåu non-volatile nhöng


ñoïc/ghi ñöôïc.

EEPROM Mieàn nhôù


ngoaøi

Chöông Chöông Chöông


trình trình trình
Tham soá Tham soá Tham soá

Döõ lieäu Döõ lieäu Döõ lieäu

b. Vuøng
Vuøng döõ
ñoái lieäu:
töôïng Hình 3. Boä nhôù trong vaø ngoaøi
Vuøng döõ lieäu laø moät vuøng nhôù
cuûa S7 –ñoäng.
200 Noù coù theå
ñöôïc truy nhaäp theo töøng bit, töøng byte, töøng töø ñôn hoaëc
töøng töø keùp vaø ñöôïc söû duïng laøm mieàn löu tröõ döõ lieäu
cho caùc thuaät toaùn caùc haøm truyeàn thoâng, laäp baûng
caùc haøm dòch chuyeån, xoay voøng thanh ghi, con troû ñòa
chæ …
Vuøng döõ lieäu laïi ñöôïc chia thaønh caùc mieàn nhôù nhoû
vôùi caùc coâng duïng khaùc nhau. Chuùng ñöôïc kyù hieäu
baèng caùc chöõ caùi ñaàu cuûa teân tieáng Anh, ñaëc tröng cho
töøng coâng duïng cuûa chuùng nhö sau:
V - Variable memory.
I - Input image regigter.
O - Output image regigter.
M - Internal memory bits.
SM - Speacial memory bits.
Taát caû caùc mieàn naøy ñeàu coù theå truy nhaäp ñöôïc
theo töøng bit, töøng byte, töøng töø ñôn (word-2byte) hoaëc töø
keùp (2 word).
Hình 4. Moâ taû vuøng döõ lieäu cuûa CPU 214
7 6 5 4 3 2 1 0 7 6 5 4 3 2 1 0
Mieàn V (ñoïc/ghi) V0 I0.x (x=0÷7) Vuøng ñeäm
  coång vaøo I
V4095 I7.x (x=0÷7) (ñoïc/ghi)

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 19


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Vuøng nhôù noäi M M0.x Q0.x Vuøng ñeäm


(ñoïc/ghi) (x=0÷7) (x=0÷7) coång ra Q
  (ñoïc/ghi)
M31.x Q7.x
Vuøng nhôù ñaëc bieät
SM0.x SM30.xVuøng nhôù ñaëc
SM (chæ ñoïc) (x=0÷7) (x=0÷7 bieät (ñoïc/ghi)
 
SM29.x SM85.x

Ñòa chæ truy nhaäp ñöôïc qui öôùc theo coâng thöùc:
- Truy nhaäp theo bit: Teân mieàn (+) ñòa chæ byte (+)•(+)
chæ soá bit. Ví duï V150.4 chæ bit 4 cuûa byte 150 thuoäc
mieàn V.
- Truy nhaäp theo byte: Teân mieàn (+) B (+) ñòa chæ cuûa
byte trong mieàn. Ví duï VB150 chæ 150 thuoäc mieàn V.
- Truy nhaäp theo töø: Teân mieàn (+) W (+) ñòa chæ byte
cao cuûa töø trong mieàn. Ví duï VW150 chæ töø ñôn goàm
2 byte150 vaø 151 thuoäc mieàn V, trong ñoù byte 150 coù
vai troø byte cao trong töø.
15 14 13 12 11 10 9 8 7 6 5 4 3 2 1 0
VB150 VB150 (byte cao) VB151 (byte thaáp)

- Truy nhaäp theo töø keùp: Teân mieàn (+) D (+) ñòa chæ
byte cao cuûa töø trong mieàn. Ví duï VD150 chæ töø keùp
goàm 4 byte150, 151, 152 vaø 153 thuoäc mieàn V, trong
ñoù byte 150 coù vai troø byte cao vaø byte 153 laø thaáp
trong töø keùp.
63 32 31 16 15 87
0
VB150 VB151 VB152 VB153
VD150
o Taát caû caùc byte thuoäc vuøng döõ lieäu ñeàu coù theå
truy nhaäp ñöôïc baèng con troû. Con troû ñöôïc ñònh
nghóa trong mieàn V hoaëc caùc thanh ghi AC1, AC2 vaø
AC3. Moãi con troû ñòa chæ chæ goàm 4 byte (töø keùp).

c. Vuøng ñoái töôïng:


SVTH: NGUYEÃN HÖÕU THIEÄN Trang 20
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Vuøng ñoái töôïng ñöôïc söû duïng ñeå löu giöõ döõ lieäu cho
caùc ñoái töôïng laäp trình nhö caùc giaù trò töùc thôøi, giaù trò ñaët
tröôùc cuûa boä ñeám, hay Timer. Döõ lieäu kieåu ñoái töôïng bao
goàm cuûa thanh ghi cuûa Timer, boä ñeám, boä ñeám toác ñoä
cao, boä ñeäm vaøo/ra töông töï vaø caùc thanh ghi Accumulator
(AC).
Kieåu ñöôïc ñoái töôïng bò haïn cheá raát nhieàu vì caùc döõ
lieäu kieåu ñoái töôïng chæ ñöôïc ghi theo muïc ñích caàn söû duïng
cuûa ñoái töôïng ñoù.

Hình 5. Vuøng nhôù ñoái töôïng ñöôïc phaân chia nhö sau:
CPU214
15 0 bit
Timer (ñoïc/ghi) T0 T0
 
T127 T127
C0 C0
Boä ñeám (ñoïc/ghi)
 
C27 C127

Boä ñeäm coång vaøo AW0


töông töï (chæ ñoïc) 
AW30

AQW0
Boä ñeäm coång ra 
töông töï (chæ ghi) AQW30
31 23 8 0
Thanh ghi Accumulator AC0 (khoâng coù khaû naêng laøm con
(ñoïc/ghi) troû)
AC1
AC2
AC3

Boä ñeám toác ñoä cao HSC0


(ñoïc/ghi) HSC1 (chæ coù trong CPU 214)
HSC2 (chæ coù trong CPU 214)

d. Môû roäng ngoõ vaøo/ra:


SVTH: NGUYEÃN HÖÕU THIEÄN Trang 21
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Coù theå môû roäng ngoõ vaøo/ra cuûa PLC baèng caùch
gheùp noái theâm vaøo noù caùc modul môû roäng veà phía beân
phaûi cuûa CPU (CPU 214 nhieàu nhaát 7 modul), laøm thaønh moät
moùc xích, bao goàm caùc modul coù cuøng kieåu.
Caùc modul môû roäng soá hay rôøi raïc ñeàu chieám choã
trong boä ñeäm, töông öùng vôùi soá ñaàu vaøo/ra cuûa caùc
modul.

Sau ñaây laø moät ví duï veà caùch ñaët ñòa chæ cho caùc
modul môû roäng treân
CPU 214:

CPU214 MODUL 0 MODUL 1 MODUL 2 MODUL 3 MODUL 4


(4vaøo/4r (8 vaøo) (3vaøo (8 ra) (3vaøo
a) analog analog
/1ra /1ra
analog) analog)
I0.0 I2.0 I3.0 AIW0 Q3.0 AIW8
Q0.0 I2.1 I3.1 AIW2 Q3.1 AIW10
I0.1 I2.2 I3.2 AIW4 Q3.2 AIW12
Q0.1 I2.3 I3.3 Q3.3
I0.2 Q0.2 I3.4 AQW0 Q3.4 AQW4
I0.3 Q2.0 I3.5 Q3.5
Q0.3 Q2.1 I3.6 Q3.6
I0.4 Q2.2 I3.7 Q3.7
Q0.4 Q2.3
I0.5
Q0.5
I0.6
Q0.6
I0.7
Q0.7
I1.1
Q1.0
I1.2
Q1.1
I1.3
I1.4
I1.5

3. Thöïc hieän chöông trình:

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 22


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

PLC thöïc hieän chöông trình theo chu trình laëp. Moãi voøng
laëp ñöôïc goïi laø moät voøng queùt (scan). Moãi voøng queùt ñöôïc
baét ñaàu baèng gian ñoaïn ñoïc döõ lieäu töø caùc coång vaøo
vuøng ñeäm aûo, tieáp theo laø gian ñoaïn thöïc hieän chöông trình.
Trong töøng voøng queùt, chöông trình ñöôïc thöïc hieän baèng
leänh ñaàu tieân vaø keát thuùc baèng leänh keát thuùc (MEND).
Sau giai ñoaïn thöïc hieän chöông trình laø gian ñoaïn truyeàn
thoâng noäi boä vaø kieåm tra loãi. Voøng queùt ñöôïc keát thuùc
baèng giai ñoaïn chuyeån caùc noäi dung cuûa boä ñeäm aûo tôùi
caùc coång ra.

4. Chuyeån döõ 1. Nhaäp döõ lieäu


lieäu töø boä ñeäm töø ngoaïi vi vaøo
aûo ra ngoaïi vi boä ñeäm aûo

3. Truyeàn thoâng 2. Thöïc hieän


vaø töï kieåm tra chöông trình
loãi 6. Chöông trình thöïc hieän theo voøng queùt (scan) trong
Hình
S7 – 200.

Nhö vaäy, taïi thôøi ñieåm thöïc hieän leänh vaøo/ra, thoâng
thöôøng leänh khoâng laøm vieäc maø chæ thoâng qua boä ñeäm
aûo cuûa coång trong vuøng nhôù tham soá. Vieäc truyeàn thoâng
giöõa boä ñeäm aûo vôùi ngoaïi vi trong caùc giai ñoaïn 1 vaø 4 do
CPU quaûn lyù. Khi gaëp leänh vaøo/ra ngay laäp töùc thì heä
thoáng seõ cho döøng moïi coâng vieäc khaùc, ngay caû chöông
trình xöû lyù ngaét, ñeå thöïc hieän leänh naøy moät caùch tröïc
tieáp vôùi coång vaøo/ra.
Neáu söû duïng caùc cheá ñoä xöû lyù ngaét, chöông trình con
töông öùng vôùi töøng tín hieäu ngaét ñöôïc soaïn thaûo vaø caøi
ñaët nhö moät boä phaän cuûa chöông trình. Chöông trình xöû lyù
ngaét chæ ñöôïc thöïc hieän trong voøng queùt khi xuaát hieän tín
hieäu baùo ngaét vaø coù theå xaûy ra ôû baát cöù ñieåm naøo
trong voøng queùt.

4. Caáu truùc chöông trình cuûa S7 – 200

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 23


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Coù theå laäp trình cho S7 – 200 baèng caùch söû duïng moät
trong nhöõng phaàn meàm sau ñaây:
- STEP 7 – Micro/DOS
- STEP 7 – Micro/WIN
Nhöõng phaàn meàm naøy ñeàu coù theå caøi ñaët ñöôïc treân
caùc maùy laäp trình hoï PG7xx vaø caùc maùy tính caù nhaân (PC).
Caùc chöông trình cho S7 – 200 phaûi coù caáu truùc bao goàm
chöông trình chính (main program) vaø sau ñoù ñeán caùc chöông
trình con vaø caùc chöông trình xöû lyù ngaét ñöôïc chæ ra sau
ñaây:
- Chöông trình chính ñöôïc keát thuùc baèng leänh keát
thuùc chöông trình (MEND)
- Chöông trình con laø moät boä phaän cuûa chöông trình.
Caùc chöông trình con phaûi ñöôïc vieát sau leänh keát
thuùc chöông trình chính, ñoù laø leänh MEND.
- Caùc chöông trình xöû lyù ngaét laø moät boä phaän
cuûa chöông trình. Neáu caàn söû duïng chöông trình xöû
lyù ngaét phaûi vieát sau leänh keát thuùc chöông trình
chính MEND.
Caùc chöông trình con ñöôïc nhoùm laïi thaønh moät nhoùm
ngay sau chöông trình chính. Sau ñoù ñeán caùc chöông trình xöû
lyù ngaét. Baèng caùch vieát nhö vaäy, caáu truùc chöông trình
ñöôïc roõ raøng vaø thuaän tieän hôn trong vieäc ñoïc chöông trình
sau naøy. Coù theå töï do troän laãn caùc chöông trình con vaø
chöông trình xöû lyù ngaét ñaèng sau chöông trình chính.

Main Program Thöïc hieän trong


 moät voøng queùt
MEND

SBR 0 chöông trình Thöïc hieän khi ñöôïc


con thöù nhaát chöông trình chính
 goïi
RET
SBR n chöông trình
con thöù n+1

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 24
RET
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

INT 0 chöông trình xöû lyù ngaét Thöïc hieän khi coù tín
thöù nhaát hieäu baùo ngaét

RET
INT n chöông trình xöû lyù
ngaét thöù n+1

RET
Hình 7. Caáu truùc chöông trình cuûa S7 – 200

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 25


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Hình 8: Hình aûnh thöïc teá cuûa PLC SIMATIC S7 – 200

Hình 9: Hình aûnh thöïc teá cuûa moät modul analog

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 26


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

CHÖÔNG II
NGOÂN NGÖÕ LAÄP TRÌNH CUÛA S7 – 200

1. Phöông phaùp laäp trình:


S7 – 200 bieåu dieãn moät maïch logic cöùng baèng moät daõy
caùc leänh laäp trình. Chöông trình bao goàm moät daõy caùc leänh.
S7 – 200 thöïc hieän chöông trình baét ñaàu töø leänh laäp trình
ñaàu tieân vaø keát thuùc ôû leänh cuoái trong moät voøng. Moät
voøng nhö vaäy ñöôïc goïi laø voøng queùt.
Moät voøng (scan cycle) queùt ñöôïc baét ñaàu baèng vieäc
ñoïc traïng thaùi cuûa ñaàu vaøo, vaø sau ñoù thöïc hieän chöông
trình. Scan cycle keát thuùc baèng vieäc thay ñoåi traïng thaùi ñaàu
ra. Tröôùc khi baét ñaàu moät voøng queùt tieáp theo S7 – 200 thöïc
thi caùc nhieäm vuï beân trong vaø nhieäm vuï truyeàn thoâng. Chu
trình thöïc hieän chöông trình laø chu trình laëp.
Caùch laäp trình cho S7 – 200 noùi rieâng vaø cho caùc PLC
cuûa Siemens noùi chung döïa treân hai phöông phaùp laäp trình cô
baûn: Phöông phaùp hình thang (Ladder Logic vieát taét laø LAD)
vaø phöông phaùp lieät keâ leänh (Statement List vieát taét laø STL).
Neáu chöông trình ñöôïc vieát theo kieåu LAD, thieát bò laäp
trình seõ töï taïo ra moät chöông trình theo kieåu STL töông öùng.
Nhöng ngöôïc laïi khoâng phaûi moïi chöông trình ñöôïc vieát theo
kieåu STL cuõng coù theå chuyeån ñöôïc sang LAD.
Ñònh nghóa veà LAD: LAD laø moät ngoân ngöõ laäp trình baèng
ñoà hoïa. Nhöõng thaønh phaàn cô baûn döøng trong LAD
töông öùng vôùi caùc thaønh phaàn cuûa baûng ñieàu khieån
baèng rôle. Trong chöông trình LAD caùc phaàn töû cô baûn
duøng ñeå bieåu dieãn leänh logic nhö sau:
- Tieáp ñieåm: laø bieåu töôïng (symbol) moâ taû caùc tieáp
ñieåm cuûa rôle. Caùc tieáp ñieåm ñoù coù theå laø thöôøng
môû┤├ hoaëc thöôøng ñoùng ┤/├.
- Cuoän daây (coil): laø bieåu töôïng ─ ( ) ─moâ taû caùc rôle
ñöôïc maéc theo chieàu doøng ñieän cung caáp cho rôle.
- Hoäp (box): laø bieåu töôïng moâ taû caùc haøm khaùc nhau
noù laøm vieäc khi coù doøng ñieän chaïy ñeán hoäp.
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 27
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Nhöõng daïng haøm thöôøng ñöôïc bieåu dieãn baèng hoäp


laø caùc boä ñònh thôøi gian (Timer), boä ñeám (Counter)
vaø caùc haøm toaùn hoïc. Cuoän daây vaø caùc hoäp phaûi
ñöôïc maéc ñuùng chieàu doøng ñieän.
- Maïng LAD: laø ñöôøng noái caùc phaàn töû thaønh moät
maïch hoaøn thieän, ñi töø ñöôøng nguoàn beân traùi sang
ñöôøng nguoàn beân phaûi. Ñöôøng nguoàn beân traùi laø
daây noùng, ñöôøng nguoàn beân phaûi laø daây trung hoøa
hay laø ñöôøng trôû veà nguoàn cung caáp (ñöôøng nguoàn
beân phaûi thöôøng khoâng ñöôïc theå hieän khi duøng
chöông trình tieän duïng STEP7-Micro/DOS hoaëc STEP7-
Micro/WIN). Doøng ñieän chaïy töø beân traùi qua caùc tieáp
ñieåm ñeán caùc cuoän daây hoaëc caùc hoäp trôû veà beân
phaûi nguoàn.
Ñònh nghóa veà STL: phöông phaùp lieät keâ leänh (STL) laø
phöông phaùp theå hieän chöông trình döôùi daïng taäp hôïp
caùc caâu leänh. Moãi caâu leänh trong chöông trình, keå caû
nhöõng leänh hình thöùc, bieåu dieãn moät chöùc naêng cuûa
PLC.
Ñònh nghóa veà ngaên xeáp logic (logic stack):
S0 Stack 0 – bit ñaàu tieân hay bit treân cuøng cuûa
ngaên xeáp
S1 Stack 1 – Bit thöù hai cuûa ngaên xeáp
S2 Stack 2 – Bit thöù ba cuûa ngaên xeáp
S3 Stack 3 – Bit thöù tö cuûa ngaên xeáp
S4 Stack 4 – Bit thöù naêm cuûa ngaên xeáp
S5 Stack 5 – Bit thöù saùu cuûa ngaên xeáp
S6 Stack 6 – Bit thöù baûy cuûa ngaên xeáp
S7 Stack 7 – Bit thöù taùm cuûa ngaên xeáp
S8 Stack 8 – Bit thöù chín cuûa ngaên xeáp
Ñeå taïo ra moät chöông trình daïng STL, ngöôøi laäp trình
caàn phaûi hieåu roõ phöông thöùc söû duïng 9 bit cuûa ngaên xeáp
logic cuûa S7 – 200. Ngaên xeáp logic laø moät khoái goàm 9 bit
choàng leân nhau. Taát caû caùc thuaät toaùn lieân quan ñeán
ngaên xeáp ñeàu chæ laøm vieäc vôùi bit ñaàu tieân hoaëc vôùi bit
ñaàu tieân vaø bit thöù hai cuûa ngaên xeáp. Giaù trò logic môùi

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 28


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

ñeàu coù theå ñöôïc göûi (hoaëc ñöôïc noái theâm) vaøo ngaên
xeáp. Khi phoái hôïp hai bit ñaàu tieân cuûa ngaên xeáp, thì ngaên
xeáp seõ ñöôïc keùo leân moät bit.
Ví duï veà Ladder Logic vaø Statement List:
LAD STL
LD I0.0
I0.0 Q1.0
──┤├───( ) = Q1.0

Heä leänh cuûa S7 – 200: ñöôïc chia laøm ba nhoùm


- Caùc leänh maø khi thöïc hieän thì laøm vieäc ñoäc laäp
khoâng phuï thuoäc vaøo giaù trò logic cuûa ngaên xeáp.
- Caùc leänh chæ thöïc hieän khi bit ñaàu tieân cuûa ngaên
xeáp coù giaù trò logic baèng 1.
- Caùc nhaõn leänh ñaùnh daáu trong vò trí taäp leänh.
2. Caùc toaùn haïng giôùi haïn cho pheùp cuûa CPU 214
Phöông phaùp truy nhaäp Giôùi haïn cho pheùp cuûa
toaùn haïng cuûa CPU 214
V (0.0 ñeán 4095.7)
Truy nhaäp theo bit I (0.0 ñeán 7.7)
(ñòa chæ byte, chæ soá bit) Q (0.0 ñeán 7.7)
M (0.0 ñeán 31.7)
SM (0.0 ñeán 85.7)
T (0 ñeán 7.7)
C (0.0 ñeán 7.7)
VB (0 ñeán 4095)
Truy nhaäp theo byte IB (0 ñeán 7)
MB (0 ñeán 31)
SMB (0 ñeán 85)
AC (0 ñeán 3)
Haèng soá
VW (0 ñeán 4094)
Truy nhaäp theo töø ñôn T (0 ñeán 127)
(word) C (0 ñeán 127)
(ñòa chæ byte cao) IW (0 ñeán 6)
QW (0 ñeán 6)
MW (0 ñeán 30)
SMW (0 ñeán 84)
AC (0 ñeán 3)
AIW (0 ñeán 30)
AQW (0 ñeán 30)
Haèng soá

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 29


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
VD (0 ñeán 4092)
Thuy nhaäp theo töø keùp ID (0 ñeán 4)
(ñòa chæ byte cao) QD (0 ñeán 4)
MD (0 ñeán 28)
SMD (0 ñeán 82)
AC (0 ñeán 3)
HC (0 ñeán 2)
Haèng soá

3. Moät soá leänh cô baûn:


a. Leänh vaøo/ra:
ª LOAD (LD): Leänh LD naïp giaù trò logic cuûa moät tieáp
ñieåm vaøo trong bit ñaàu tieân cuûa ngaên xeáp, caùc
giaù trò coøn laïi trong ngaên xeáp bò ñaåy luøi xuoáng
moät bit.
ª LOAD NOT (LDN): Leänh LD naïp giaù trò logic cuûa moät
tieáp ñieåm vaøo trong bit ñaàu tieân cuûa ngaên xeáp,
caùc giaù trò coøn laïi trong ngaên xeáp bò ñaåy luøi
xuoáng moät bit.

Tröôùc LD Tröôùc LDN


Sau Sau
C0 m C0 ~m
C1 C0 C1 C0
C2 C1 C2 C1
C3 C2 C3 C2
C4 C3 C4 C3
C5 C4 C5 C4
C6 C5 C6 C5
C7 C6 C7 C6
C8 C7 C8 C7
Bò ñaåy ra khoûi Bò ñaåy
ra khoûi
ngaên xeáp ngaên
xeáp

Caùc daïng khaùc nhau cuûa leänh LD, LDN cho LAD nhö sau:
LAD Moâ taû Toaùn haïng
n Tieáp ñieåm thöôøng môû seõ n: I, Q, M,
┤├ ñoùng neáu n=1 SM, (bit) T, C
n Tieáp ñieåm thöôøng ñoùng seõ
┤\├ môû khi n=1
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 30
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

n Tieáp ñieåm thöôøng môû seõ n:1


┤I├ ñoùng töùc thôøi khi n=1
n Tieáp ñieåm thöôøng ñoùng seõ
┤\I├ môû töùc thôøi khi n=1

Caùc daïng khaùc nhau cuûa leänh LD, LDN cho STL nhö sau:
LAD Moâ taû Toaùn haïng
LD n Leänh naïp giaù trò logic cuûa ñieåm n: I, Q, M, SM,
n vaøo bit ñaàu tieân trong ngaên (bit) T, C
xeáp.
LDN n Leänh naïp giaù trò logic nghòch
ñaûo cuûa ñieåm n vaøo bit ñaàu
tieân trong ngaên xeáp.
LDI n Leänh naïp töùc thôøi giaù trò logic n:1
cuûa ñieåm n vaøo bit ñaàu tieân
trong ngaên xeáp.
LDNI n Leänh naïp töùc thôøi giaù trò logic
nghòch ñaûo cuûa ñieåm n vaøo bit
ñaàu tieân trong ngaên xeáp.

ª OUTPUT (=): leänh sao cheùp noäi dung cuûa bit ñaàu tieân
trong ngaên xeáp vaøo bit ñöôïc chæ ñònh trong leänh. Noäi
dung ngaên xeáp khoâng bò thay ñoåi.

Moâ taû leänh OUTPUT baèng LAD nhö sau:


LAD Moâ taû Toaùn haïng
n Cuoän daây ñaàu ra ôû traïng n:I,Q,M,SM,T,
─( ) thaùi kích thích khi coù doøng C
ñieàu khieån ñi qua (bit)
n Cuoän daây ñaàu ra ñöôïc kích n: Q (bit)
─( I ) thích töùc thôøi khi coù doøng
ñieàu khieån ñi qua

b. Caùc leänh ghi/xoùa giaù trò cho tieáp ñieåm:


ª SET (S)
ª RESET (R): Leänh duøng ñeå ñoùng vaø ngaét caùc ñieåm
giaùn ñoaïn ñaõ ñöôïc thieát keá. Trong LAD, logic
ñieàu khieån doøng ñieän ñoùng hay ngaét caùc

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 31


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

cuoän daây ñaàu ra. Khi doøng ñieàu khieån


ñeán caùc cuoän daây thì caùc cuoân daây
ñoùng hoaëc môû caùc tieáp ñieåm. Trong STL,
leänh truyeàn traïng thaùi bit ñaàu tieân cuûa
ngaên xeáp ñeán caùc ñieåm thieát keá. Neáu bit
naøy coù giaù trò baèng 1, caùc leänh S hoaëc R
seõ ñoùng ngaét tieáp ñieåm hoaëc moät daõy
caùc tieáp ñieåm (giôùi haïn töø 1 ñeán 255).
Noäi dung cuûa ngaên xeáp khoâng bò thay ñoåi
bôûi caùc leänh naøy.

Moâ taû leänh S (Set) vaø R (Reset) baèng LAD:


LAD Moâ taû Toaùn haïng
S bit n Ñoùng moät maûng goàm n S-bit: I, Q,
──( S ) caùc tieáp ñieåm keå töø ñòa M,SM,T, C,V (bit)
chæ S-bit n (byte): IB, QB,
Ngaét moät maûng goàm n caùc MB, SMB, VB,AC,
S bit n tieáp ñieåm keå töø S-bit. Neáu haèng soá, *VD,
──( R ) S-bit laïi chæ vaøo Timer hoaëc *AC
Counter thì leänh seõ xoaù bit
ñaàu ra cuûa Timer/Counter ñoù.
S bit n Ñoùng töùc thôøi moät maûng S-bit: Q (bit)
──( S I ) goàm n caùc tieáp ñieåm keå n(byte):IB,QB,
töø ñòa chæ S-bit MB, SMB, VB,AC,
S bit n Ngaét töùc thôøi moät maûng haèng soá, *VD,
──( R I ) goàm n caùc tieáp ñieåm keå *AC
töø ñòa chæ S-bit

Moâ taû leänh S (Set) vaø R (Reset) baèng STL:


STL Moâ taû Toaùn haïng
S S-bit n Ghi giaù trò logic vaøo moät S-bit: I, Q,
maûng goàm n bit keå töø ñòa M,SM,T, C,V (bit)
chæ S-bit
R S-bit n Xoùa moät maûng goàm n bit
keå töø ñòa chæ S-bit. Neáu S-
bit laïi chæ vaøo Timer hoaëc
Counter thì leänh seõ xoaù bit
ñaàu ra cuûa Timer/Counter ñoù.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 32


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SI S-bit n Ghi töùc thôøi giaù trò logic vaøo S-bit: Q (bit)
moät maûng goàm n bit keå töø n
ñòa chæ S-bit (byte):IB,QB,MB,
RI S-bit n Xoùa töùc thôøi moät maûng SMB, VB,AC,
goàm n bit keå töø ñòa chæ S- haèng soá, *VD,
bit. *AC

c. Caùc leänh logic ñaïi soá Boolean:


Caùc leänh tieáp ñieåm ñaïi soá Boolean cho pheùp taïo laäp
caùc maïch logic (khoâng coù nhôù). Trong LAD caùc leänh naøy
ñöôïc bieåu dieãn thoâng qua caáu truùc maïch, maéc noái tieáp hay
song song caùc tieáp ñieåm thöôøng ñoùng hay caùc tieáp ñieåm
thöôøng môû. Trong STL coù theå söû duïng leänh A (And) vaø O (Or)
cho caùc haøm hôû hoaëc caùc leänh AN (And Not), ON (Or Not) cho
caùc haøm kín. Giaù trò cuûa ngaên xeáp thay ñoåi phuï thuoäc
vaøo töøng leänh.
Ngoaøi nhöõng leänh laøm vieäc tröïc tieáp vôùi tieáp
ñieåm, S7 – 200 coøn coù 5 leänh ñaëc bieät bieåu dieãn cho caùc
pheùp tính cuûa ñaïi soá Boolean cho caùc bit trong ngaên xeáp,
ñöôïc goïi laø leänh stack logic. Ñoù laø caùc leänh ALD (And Load),
OLD (Or Load), LPS (Logic Push), LRD (Logic Read) vaø LPP (Logic
Pop). Leänh stack logic ñöôïc duøng ñeå toå hôïp, sao chuïp hoaëc
xoaù caùc meänh ñeà logic. LAD khoâng coù boä ñeám daønh cho
Stack logic. STL söû duïng caùc leänh stack logic ñeå thöïc hieän
phöông trình toång theå coù nhieàu bieåu thöùc con.
L Moâ taû Toaùn
eän haïng
h
ALD Leänh toå hôïp giaù trò cuûa bit ñaàu tieân vaø Khoâng
thöù hai cuûa ngaên xeáp baèng pheùp tính coù
logic AND. Keát quaû ghi laïi vaøo bit ñaàu tieân.
Giaù trò coøn laïi cuûa ngaên xeáp ñöôïc keùo
leân moät bit.
OLD Leänh toå hôïp giaù trò cuûa bit ñaàu tieân vaø Khoâng
thöù hai cuûa ngaên xeáp baèng pheùp tính coù
logic OR. Keát quaû ghi laïi vaøo bit ñaàu tieân.
Giaù trò coøn laïi cuûa ngaên xeáp ñöôïc keùo
leân moät bit.
LPS Leänh Logic Push (LPS) sao chuïp giaù trò cuûa Khoâng

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 33


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

bit ñaàu tieân vaøo bit thöù hai trong ngaên coù
xeáp. Giaù trò coøn laïi bò ñaåy xuoáng moät bit.
Bit cuoái cuøng bò ñaåy ra khoûi ngaên xeáp.
LRD Leänh sao cheùp giaù trò cuûa bit thöù hai vaøo Khoâng
bit ñaàu tieân trong ngaên xeáp.Caùc giaù trò coù
coøn laïi cuûa ngaên xeáp giöõ nguyeân vò trí
LPP Leänh keùo ngaên xeáp leân moät bit. Giaù trò Khoâng
cuûa bit sau ñöôïc chuyeån cho bit tröôùc. coù

ª AND (A) Leänh A vaø O phoái hôïp giaù trò logic cuûa moät
tieáp ñieåm n vôùi
ª OR (O) giaù trò bit ñaàu tieân cuûa ngaên xeáp. Keát
quaû pheùp tính ñöôïc ñaët laïi vaøo bit ñaàu tieân
trong ngaên xeáp. Giaù trò cuûa caùc bit coøn laïi
trong ngaên xeáp khoâng bò thay ñoåi.
Taùc ñoäng cuûa caùc pheùp tính A (And) vaø O (Or)

Tröôùc Tröôùc
(And) Sau m =C0 ٨n (Or) Sau m =C0 ٧n
C0 m C0 m
C1 C1 C1 C1
C2 C2 C2 C2
C3 C3 C3 C3
C4 C4 C4 C4
C5 C5 C5 C5
C6 C6 C6 C6
C7 C7 C7 C7
C8 C8 C8 C8
ª AND LOAD (ALD)
ª OR LOAD (OR) : Leänh ALD vaø OLD thöïc hieän pheùp tính
logic And vaø Or giöõa hai bit ñaàu tieân cuûa
ngaên xeáp. Keát quaû cuûa logic naøy seõ
ñöôïc ghi laïi vaøo bit ñaàu trong ngaên xeáp.
Noäi dung coøn laïi cuûa ngaên xeáp ñöôïc
keùo leân moät bit.
Tröôùc ALD Tröôùc
Taùc
Sau ñoäng cuûa leänh ALD vaø OLD
OLD VAØO ngaên xeáp nhö
Sau
sau:
C0 m C0 m
C1 C2 C1 C1
C2 C3 C2 C2
m =C0 ٨C1 m =C0 ٧C1
C3 C4 C3 C3
C4 C5 C4 C4
C5 C6 C5 C5
SVTH:
C6NGUYEÃN HÖÕU
C7 THIEÄN C6 C6 Trang 34
C7 C8 C7 C7
C8 C8 C8
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

ª LOGIC PUSH (LPS)


ª LOGIC READ (LRD)
ª LOGIC POP (LPP): Leänh LPS, LRD vaø LPP laø nhöõng
leänh thay ñoåi noäi dung bit ñaàu tieân
cuûa ngaên xeáp. Leänh LPS sao cheùp
noäi dung bit ñaàu tieân vaøo bit thöù hai
trong ngaên xeáp, noäi dung ngaên xeáp
sau ñoù bò ñaåy xuoáng moät bit. Leänh
LRD laáy giaù trò bit thöù hai ghi vaøo bit
ñaàu tieân cuûa ngaên xeáp, noäi dung
ngaên xeáp sau ñoù ñöôïc keùo leân moät
bit. Leänh LPP keùo ngaên xeáp leân moät
bit.

d. Caùc leänh tieáp ñieåm ñaëc bieät ┤ NOT ├ ┤ P ├


┤N ├
Coù theå duøng caùc leänh tieáp ñieåm ñaëc bieät ñeå phaùt
hieän söï chuyeån tieáp traïng thaùi cuûa xung (söôøn xung) vaø
ñaûo laïi traïng thaùi cuûa doøng cung caáp (giaù trò ñænh cuûa
ngaên xeáp). LAD söû duïng caùc tieáp ñieåm ñaëc bieät naøy ñeå
taùc ñoäng vaøo doøng cung caáp. Caùc tieáp ñieåm ñaëc bieät
khoâng coù toaùn haïng rieâng cuûa chính chuùng vì theá phaûi
ñaët chuùng phía tröôùc cuoän daây hoaëc hoäp ñaàu ra. Tieáp
ñieåm chuyeån tieáp döông/aâm (caùc leänh söôøn tröôùc vaø
söôøn sau) coù nhu caàu veà boä nhôù bôûi vaäy ñoái vôùi CPU 214
coù theå söû duïng nhieàu nhaát laø 256 leänh.

e. Caùc leänh so saùnh

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 35


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Khi laäp trình, neáu caùc quyeát ñònh veà ñieàu khieån ñöôïc
thöïc hieän döïa treân keát quaû cuûa vieäc so saùnh thì coù theå
söû duïng leänh so saùnh theo byte, Word hay Dword cuûa S7 – 200.
LAD söû duïng leänh so saùnh ñeå so saùnh caùc giaù trò cuûa
byte, word hay Dword (giaù trò thöïc hoaëc nguyeân). Nhöõng leänh
so saùnh thöôøng laø: so saùnh nhoû hôn hoaëc baèng (<=); so
saùnh baèng (==) vaø so saùnh lôùn hôn hoaëc baèng (>=).
Khi so saùnh giaù trò cuûa byte thí khoâng caàn phaûi ñeå yù
ñeán daáu cuûa toaùn haïng, ngöôïc laïi khi so saùnh caùc töø hay
töø keùp vôùi nhau thì phaûi ñeå yù ñeán daáu cuûa toaùn haïng laø
bit cao nhaát trong töø hoaëc töø keùp. Ví duï 7FFF > 8000 vaø
7FFFFFFF > 80000000.
LAD Moâ taû Toaùn haïng
n1 n2 Tieáp ñieåm ñoùng khi n1, n2(byte): VB,
─┤==B├─
n1=n2 IB, QB, MB, SMB,
n1 n2
─┤==I├─ B = byte AC, Const, *VD,
n1 n2 I = Integer = Word *AC
─┤==D├─ D = Double Integer
n1 n2 R = Real
─┤==R├─
n1 n2 Tieáp ñieåm ñoùng khi n1, n2(word): VW,
─┤>=B├─
n1≥ n2 T, C, QW, MW,
n1 n2
─┤>=I├─ B = byte SMW, AC, AIW,
n1 n2 I = Integer = Word haèng soá, *VD,
─┤>=D├─ D = Double Integer *AC
n1 n2 R = Real
─┤>=R├─
n1 n2 Tieáp ñieåm ñoùng khi n1, n2(Dword):
─┤<=B├─
n1≤ n2 VD, ID, QD, MD,
n1 n2
─┤<=I├─ B = byte SMD, AC, HC,
n1 n2 I = Integer = Word haèng soá, *VD,
─┤<=D├─ D = Double Integer *AC
n1 n2 R = Real
─┤<=R├─

Trong STL nhöõng leänh so saùnh thöïc hieän pheùp so saùnh


byte, töø hay töø keùp. Caên cöù vaøo kieåu so saùnh (<=, ==,
>=), keát quaû cuûa pheùp so saùnh coù giaù trò baèng 0 (neáu
ñuùng) hoaëc baèng 1 (neáu sai) neân noù coù theå söû duïng keát
hôïp cuøng caùc leänh LD, A, O. Ñeå taïo ra ñöôïc caùc pheùp so
saùnh maø S7 – 200 khoâng coù leänh so saùnh töông öùng nhö: so
saùnh khoâng baèng nhau (<>), so saùnh nhoû hôn (<) hoaëc so
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 36
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

saùnh lôùn hôn (>), coù theå taïo ra ñöôïc nhôø keát hôïp leänh NOT
vôùi caùc leänh ñaõ coù (==, >=, <=)

f. Leänh nhaûy vaø leänh goïi chöông trình con


Caùc leänh cuûa chöông trình, neáu khoâng coù nhöõng
leänh ñieàu khieån rieâng, seõ ñöôïc thöïc hieän theo thöù töï töø
treân xuoáng döôùi trong moät voøng queùt. Leänh ñieàu khieån
chöông trình cho pheùp thay ñoåi thöù töï thöïc hieän leänh. Chuùng
cho pheùp chuyeån thöù töï thöïc hieän, ñaùng leõ ra laø leänh tieáp
theo, tôùi moät leänh baát cöù naøo khaùc cuûa chöông trình, trong
ñoù nôi ñieàu khieån chuyeån ñeán ñöôïc ñaùnh daáu tröôùc baèng
moät nhaõn chæ ñích. Thuoäc nhoùm leänh ñieàu khieån chöông
trình goàm: leänh nhaûy, leänh gïoïi chöông trình con. Nhaõn chæ
ñích, hay goïi ñôn giaûn laø nhaõn, phaûi ñöôïc ñaùnh daáu tröôùc
khi thöïc hieän nhaûy hay leänh goïi chöông trình con.
Vieäc ñaët nhaõn cho leänh nhaûy phaûi naèm trong chöông
trình. Nhaõn cuûa chöông trình con, hoaëc cuûa chöông trình xöû lyù
ngaét ñöôïc khai baùo ôû ñaàu chöông trình. Khoâng theå duøng
leänh nhaûy JMP ñeå chuyeån ñieàu khieån töø chöông trình chính
vaøo moät vaøo moät nhaõn baát kyø trong chöông trình con hoaëc
trong chöông trình xöû lyù ngaét. Töông töï nhö vaäy cuõng khoâng
theå töø moät chöông trình con hay chöông trình xöû lyù ngaét
nhaûy vaøo baát cöù moät nhaõn naøo naèm ngoaøi caùc chöông
trình ñoù.
Leänh goïi chöông trình con laø leänh chuyeån ñieàu khieån
ñeán chöông trình con. Khi chöông trình con thöïc hieän caùc pheùp
tính cuûa mình thì vieäc ñieàu khieån laïi ñöôïc chuyeån trôû veà
leänh tieáp theo trong chöông trình chính ngay sau leänh goïi
chöông trình con. Töø moät chöông trình con coù theå goïi ñöôïc
moät chöông trình con khaùc trong noù, coù theå goïi nhö vaäy
nhieàu nhaát laø 8 laàn trong S7 – 200. Ñeä qui (trong moät chöông
trình con coù leänh goïi ñeán chính noù) veà nguyeân taéc khoâng
bò caám song phaûi chuù yù ñeán giôùi haïn treân.
Neáu leänh nhaûy hay leänh goïi chöông trình con ñöôïc
thöïc hieän thì ñænh ngaên xeáp luoân coù giaù trò logic baèng 1.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 37


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Bôûi vaäy trong chöông trình con caùc leänh coù ñieàu khieån ñöôïc
thöïc hieän nhö caùc leänh khoâng ñieàu kieän. Sau caùc leänh LBL
(ñaët nhaõn) vaø SBR, leänh LD trong STL seõ bò voâ hieäu hoùa.
Khi moät chöông trình con ñöôïc goïi, toaøn boä noäi dung
cuûa ngaên xeáp seõ ñöôïc caát ñi, ñænh cuûa ngaên xeáp nhaän
moät giaù trò môùi laø 1, caùc bit khaùc coøn laïi cuûa ngaên xeáp
nhaän giaù trò logic 0 vaø chöông trình ñöôïc chuyeån tieáp ñeán
chöông trình con ñaõ ñöôïc goïi. Khi thöïc hieän xong chöông trình
con vaø tröôùc khi ñieàu khieån ñöôïc chuyeån trôû laïi chöông trình
ñaõ goïi noù, noäi dung ngaên xeáp ñaõ ñöôïc caát giöõ tröôùc ñoù
seõ ñöôïc chuyeån trôû laïi ngaên xeáp.
Noäi dung cuûa thanh ghi AC khoâng ñöôïc caát giöõ khi goïi
chöông trình con, nhöng khi moät chöông trình xöû lyù ngaét ñöôïc
goïi, noäi dung cuûa thanh ghi AC seõ ñöôïc caát giöõ tröôùc khi
thöïc hieän chöông trình xöû lyù ngaét vaø naïp laïi khi chöông trình
xöû lyù ngaét ñaõ ñöôïc thöïc hieän xong. Bôûi vaäy chöông trình
xöû lyù ngaét coù theå töï do söû duïng boán thanh ghi AC cuûa S7 –
200.
ª JMP, CALL
ª LBL, SBR : Leänh nhaûy JMP vaø leänh goïi chöông trình
con SBR cho pheùp chuyeån ñieàu khieån töø vò
trí naøy ñeán moät vò trí khaùc trong chöông
trình. Cuù phaùp leänh nhaûy vaø leänh goïi
chöông trình con trong LAD vaø STL ñeàu coù
toaùn haïng laø nhaõn chæ ñích (nôi nhaûy ñeán,
nôi chöùa chöông trình con)

LAD STL Moâ taû Toaùn haïng


n Leänh nhaûy thöïc hieän n:
─( JMP ) JMP Kn vieäc chuyeån ñieàu
khieån ñeán nhaõn n CPU 212:
trong moät chöông 0÷63
trình. CPU 214:
Leänh khai baùo nhaõn 0÷255
LBL: JMP Kn n trong moät chöông
trình.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 38


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

n Leänh goïi chöông trình n:


─( CALL ) CALL Kn con, thöïc hieän pheùp
chuyeån ñieàu khieån CPU 212:
ñeán chöông trình con 0÷15
coù nhaõn n. CPU 214:
SBR Kn Leänh gaùn nhaõn cho 0÷255
SBR: moät chöông trình con.
n Leänh trôû veà chöông
CRET trình ñaõ goïi chöông
─( CRET) trình con coù ñieàu Khoâng coù
kieän (bit ñaàu cuûa
ngaên xeáp coù giaù trò
logic baèng 1)
Leänh trôû veà chöông
─( RET) RET trình ñaõ goïi chöông
trình con khoâng ñieàu
kieän.

g. Caùc leänh can thieäp vaøo thôøi gian voøng queùt


MEND, END, STOP, NOP, WDR
Caùc leänh naøy ñöôïc duøng ñeå keát thuùc chöông trình ñang
thöïc hieän, vaø keùo daøi moät khoaûng thôøi gian cuûa moät
voøng queùt.
Trong LAD vaø STL chöông trình phaûi ñöôïc keát thuùc baèng
leänh keát thuùc khoâng ñieàu kieän MEND. Coù theå söû duïng
leänh keát thuùc coù ñieàu kieän END tröôùc leänh keát thuùc
khoâng ñieàu kieän.
Leänh STOP keát thuùc chöông trình, noù chuyeån ñieàu khieån
chöông trình ñeán cheá ñoä STOP. Neáu nhö gaëp leänh STOP trong
chöông trình chính, hoaëc trong chöông trình con thì chöông trình
ñang ñöôïc thöïc hieän seõ keát thuùc ngay laäp töùc.
Leänh roãng NOP khoâng coù taùc duïng gì trong vieäc thöïc
hieän chöông trình. Caàn löu yù leänh NOP phaûi ñöôïc ñaët beân
trong chöông trình chính, chöông trình con hoaëc trong chöông trình
xöû lyù ngaét.
Leänh WDR seõ khôûi ñoäng laïi ñoàng hoà quan saùt
(watchdog timer), vaø chöông trình tieáp tuïc ñöôïc thöïc hieän trong
voøng queùt ôû cheá ñoä quan saùt neân caån thaän khi söû duïng
leänh WDR.
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 39
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Vieäc chuyeån coâng taéc cöùng cuûa S7 – 200 vaøo vò trí


STOP hoaëc thöïc hieän leänh STOP trong chöông trình seõ laø
nguyeân nhaân ñaët ñieàu khieån vaøo cheá ñoä döøng trong
khoaûng thôøi gian 1,4s …

h. Caùc leänh ñieàu khieån Timer


Timer laø boä taïo thôøi gian giöõa tín hieäu ra neân trong
ñieàu khieån vaãn thöôøng ñöôïc goïi laø khaâu treã. Neáu kyù hieäu
tín hieäu (logic) vaøo laø x(t) vaø thôøi gian treã taïo ra baèng Timer
laø thì tín hieäu ñaàu ra cuûa Timer ñoù seõ laø x(t – )
S7 – 200 coù 64 boä Timer (vôùi CPU 212) hoaëc 128 Timer
(vôùi CPU 214) ñöôïc chia laøm hai loaïi khaùc nhau laø:
- Timer taïo thôøi gian treã khoâng coù nhôù (On-Delay
Timer), kyù hieäu laø TON.
- Timer taïo thôøi gian treã coù nhôù (Retentive On-Delay
Timer), kyù hieäu laø TONR.
Hai kieåu Timer cuûa S7 – 200 (TON vaø TONR) phaân bieät
vôùi nhau ôû phaûn öùng cuûa noù ñoái vôùi traïng thaùi ñaàu vaøo.
Caû hai Timer kieåu TON vaø TONR cuøng baét ñaàu taïo thôøi
gian treã tín hieäu keå töø thôøi ñieåm coù söôøn leân ôû tín hieäu
ñaàu vaøo, töùc laø khi tín hieäu ñaàu vaøo chuyeån traïng thaùi
logic töø 0 leân 1, ñöôïc goïi laø thôøi gian Timer ñöôïc kích, vaø
khoâng tính khoaûng thôøi gian khi ñaàu vaøo coù giaù trò logic 0
vaøo thôøi gian treã tín hieäu ñaët tröôùc.
Khi ñaàu vaøo coù giaù trò logic baèng 0, TON töï ñoäng reset
coøn TONR thì khoâng töï ñoäng reset. Timer TON ñöôïc duøng ñeå
taïo thôøi gian treã trong moät khoaûng thôøi gian (mieàn lieân
thoâng), coøn vôùi TONR thôøi gian treã seõ ñöôïc taïo ra trong
nhieàu khoaûng thôøi gian khaùc nhau.
Timer TON vaø TONR bao goàm 3 loaïi vôùi ba ñoä phaân giaûi
khaùc nhau, ñoä phaân giaûi 1ms, 10ms vaø 100ms. Thôøi gian treã
ñöôïc taïo ra chính laø tích cuûa ñoä phaân giaûi cuûa boä Timer
ñöôïc choïn vaø giaù trò ñaët tröôùc cho Timer. Ví duï Timer coù ñoä
phaân giaûi 10ms vaø giaù trò ñaët tröôùc laø 50 thì thôøi gian treã
seõ laø = 500ms.
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 40
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

Timer cuûa S7 – 200 coù nhöõng tính chaát cô baûn sau:


- Caùc boä Timer ñöôïc ñieàu khieån bôûi moät coång vaøo
vaø giaù trò ñeám töùc thôøi. Giaù trò ñeám töùc thôøi
cuûa Timer ñöôïc nhôù trong thanh ghi 2 byte (goïi laø T-
word) cuûa Timer, xaùc ñònh khoaûng thôøi gian treã keå
töø khi Timer ñöôïc kích. Giaù trò ñaët tröôùc cuûa caùc
boä Timer ñöôïc kyù hieäu trong LAD vaø STL laø PT. Giaù
trò ñeám töùc thôøi cuûa thanh ghi T-word thöôøng xuyeân
ñöôïc so saùnh vôùi giaù trò ñaët tröôùc cuûa Timer.
- Moãi boä Timer, ngoaøi thanh ghi 2 byte T-word löu giaù trò
ñeám töùc thôøi, coøn coù moät bit kyù hieäu laø T-bit,
chæ thò traïng thaùi logic ñaàu ra. Giaù trò logic cuûa bit
naøy phuï thuoäc vaøo keát quaû so saùnh giöõa giaù trò
ñeám töùc thôøi vôùi giaù trò ñaët tröôùc.
- Trong khoaûng thôøi gian tín hieäu x(t) coù giaù trò logic 1,
giaù trò ñeám töùc thôøi trong T-word luoân ñöôïc caäp
nhaät vaø thay ñoåi taêng daàn cho ñeán khi noù ñaït giaù
trò cöïc ñaïi. Khi giaù trò ñeám töùc thôøi lôùn hôn hay
baèng giaù trò ñaët tröôùc, T-bit coù giaù trò logic 1.

Ñoä phaân giaûi caùc loaïi Timer cuûa S7 – 200, CPU 214
Leänh Ñoä phaân Giaù trò cöïc CPU 214
giaûi ñaïi
1 ms 32,767 s T32 vaø T96
TON 10 ms 327,67 s T33 ÷ T36, T97 ÷
T100
100 ms 3276,7 s T32 ÷ T96, T101 ÷
T127
1 ms 32,767 s T0 vaø T64
TONR 10 ms 327,67 s T1 ÷ T4, T65 ÷ T68
100 ms 3276,7 s T5 ÷ T31, T69 ÷ T95

Cuù phaùp khai baùo söû duïng Timer nhö sau:


LAD Moâ taû Toaùn haïng
Khai baùo Timer soá hieäu xx kieåu Txx (word)
TON— TON ñeå taïo thôøi gian treã tính CPU214:32÷
Txx töø khi ñaàu vaøo IN ñöôïc kích. 63

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 41


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

— IN Neáu nhö giaù trò ñeám töùc thôøi 96÷1


lôùn hôn hoaëc baèng giaù trò ñaët 27
— PT tröôùc PT thì T-bit coù giaù trò logic PT: VW, T,
baèng 1. coù theå reset Timer kieåu (word) C, IW,
TON baèng leänh R hoaëc baèng QW,MW,SMW
giaù trò logic 0 taïi ñaàu vaøo IN. ,
AC,AIW,haèn
gsoá
Khai baùo Timer soá hieäu xx kieåu Txx (word)
TONR— TONR ñeå taïo thôøi gian treã tính CPU214: 0
Txx töø khi ñaàu vaøo IN ñöôïc kích. ÷31
— IN Neáu nhö giaù trò ñeám töùc thôøi 64
lôùn hôn hoaëc baèng giaù trò ñaët ÷95
— PT tröôùc PT thì T-bit coù giaù trò logic PT: VW, T,
baèng 1. Chæ coù theå reset Timer (word) C, IW,
kieåu TON baèng leänh R cho T-bit. QW,MW,SMW
,
AC,AIW,haèn
gsoá
Khi söû duïng Timer kieåu TONR, giaù trò ñeám töùc thôøi
ñöôïc löu laïi vaø khoâng bò thay ñoåi trong khoaûng thôøi gian khi
tín hieäu ñaàu vaøo coù logic 0. Giaù trò cuûa T-bit khoâng ñöôïc
nhôù maø hoaøn toaøn phuï thuoäc vaøo keát quaû so saùnh giöõa
giaù trò ñeám töùc thôøi vaø giaù trò ñaët tröôùc.
Khi reset moät boä Timer, T-word vaø T-bit cuûa noù ñoàng
thôøi ñöôïc xoùa vaø coù giaù trò baèng 0, nhö vaäy giaù trò ñeám
töùc thôøi ñöôïc ñaët veà 0 vaø tin hieäu ñaàu ra cuõng coù traïng
thaùi logic baèng 0.

i. Caùc leänh ñieàu khieån Counter


Counter laø boä ñeám thöïc hieän chöùc naêng ñeám söôøn
xung trong S7 – 200. Caùc boä ñeám cuûa S7 – 200 ñöôïc chia laøm
hai loaïi: boä ñeám tieán (CTU) vaø boä ñeám tieán/luøi (CTUD).
Boä ñeám tieán CTU ñeám soá söôøn leân cuûa tín hieäu logic
ñaàu vaøo, töùc laø ñeám soá laàn thay ñoåi traïng thaùi logic töø 0
leân 1 cuûa tín hieäu. Soá xung ñeám ñöôïc, ñöôïc ghi vaøo thanh
ghi 2 byte cuûa boä ñeám, goïi laø thanh ghi C-word.
Noäi dung cuûa thanh ghi C-word, goïi laø giaù trò ñeám töùc
thôøi cuûa boä ñeám, luoân ñöôïc so saùnh vôùi giaù trò ñaët tröôùc

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 42


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

cuûa boä ñeám, ñöôïc kyù hieäu laø PV. Khi giaù trò ñeám töùc thôøi
baèng hoaëc lôùn hôn giaù trò ñaët tröôùc naøy thì boä ñeám baùo
ra ngoaøi baèng caùch ñaët giaù trò logic 1 vaøo moät bit ñaëc bieät
cuûa noù, goïi laø C-bit. Tröôøng hôïp giaù trò ñeám töùc thôøi nhoû
hôn giaù trò ñaët tröôùc C-bit coù giaù trò logic laø 0.
Khaùc vôùi caùc boä Timer, caùc boä ñeám CTU vaø CTUD
ñeàu coù chaân noái vôùi tín hieäu ñieàu khieån xoùa ñeå thöïc
hieän vieäc ñaët laïi cheá ñoä khôûi phaùt ban ñaàu (reset) cho boä
ñeám, ñöôïc kyù hieäu baèng chöõ caùi R trong LAD, hay ñöôïc qui
ñònh laø traïng thaùi logic cuûa bit ñaàu tieân cuûa ngaên xeáp
trong STL. Boä ñeám ñöôïc reset khi tín hieäu xoaù naøy coù möùc
logic laø 1 hoaëc khi leänh R (reset) ñöôïc thöïc hieän vôùi C-bit. Khi
boä ñeám ñöôïc reset, caû C-word vaø C-bit ñeàu nhaän giaù trò 0.
Boä ñeám tieán/luøi CTUD ñeám tieán khi gaêp söôøn leân
cuûa xung vaøo coång ñeám tieán, kyù hieäu laø CU hoaëc bit thöù
3 cuûa ngaên xeáp trong STL, vaø ñeám luøi khi gaëp söôøn leân
cuûa xung vaøo coång ñeám luøi, kyù hieäu laø CD trong LAD hoaëc
bit thöù 2 cuûa ngaên xeáp trong STL.
Boä ñeám tieán CTU coù mieàn giaù trò ñeám töùc thôøi töø 0
ñeán 32.767. Boä ñeám tieán/luøi CTUD coù mieàn giaù trò ñeám
töùc thôøi töø –32.768 ñeán 32.767.

LAD Moâ taû Toaùn haïng


CTU – Khai baùo boä ñeám tieán theo Cxx : (word)
Cxx söôøn leân cuûa CU. Khi giaù trò CPU 214: 0 ÷47
ñeám töùc thôøi C-word Cxx lôùn 80
—CU hôn hoaëc baèng giaù trò ñaët ÷127
—PV tröôùc PV, C-bit (Cxx) coù giaù trò PV :(word): VW,
logic baèng 1. Boä ñeám ñöôïc reset T,C,IW,QW,MW,
—R
khi ñaàu vaøo R coù giaù trò logic SMW, AC, AIW,
baèng 1. Boä ñeám ngöøng ñeám haèngsoá,*VD,*
khi C-word Cxx ñaït ñöôïc giaù trò AC
cöïc ñaïi.
Khai baùo boä ñeám tieán/luøi, Cxx : (word)
CTUD – ñeám tieán theo söôøn leân cuûa CPU 214: 48
Cxx CU, ñeám luøi theo söôøn leân cuûa ÷79
—CU CD. Khi giaù trò ñeám töùc thôøi C-

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 43


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

—PV word Cxx lôùn hôn hoaëc baèng giaù PV :(word): VW,
—CD trò ñaët tröôùc PV, C-bit (Cxx) coù T,C,IW,QW,MW,
—R giaù trò logic baèng 1. Boä ñeám SMW, AC, AIW,
ngöøng ñeám tieán khi C-word Cxx haèngsoá,*VD,*
ñaït ñöôïc giaù trò cöïc ñaïi 32.767 AC
vaø ngöøng ñeám luøi khi C-word
Cxx ñaït ñöôïc giaù trò cöïc ñaïi –
32.768. CTUD reset khi ñaàu vaøo R
coù giaù trò logic baèng 1.

j. Caùc leänh di chuyeån noäi dung oâ nhôù


Caùc leänh di chuyeån thöïc hieän vieäc di chuyeån hoaëc
sao cheùp soá lieäu töø vuøng naøy sang vuøng khaùc trong boä
nhôù.
Trong LAD vaø STL leänh dòch chuyeån thöïc hieän vieäc di
chuyeån hay sao cheùp noäi dung moät byte, moät töø ñôn, hoaëc
moät töø keùp töø vuøng naøy sang vuøng khaùc trong boä nhôù.
Leänh trao ñoåi noäi dung vuûa hai byte trong moät töø ñôn
thöïc hieän vieäc chuyeån noäi dung cuûa byte thaáp sang byte cao
vaø ngöôïc laïi chuyeån noäi dung cuûa byte cao sang byte thaáp
cuûa töø ñoù.
ª MOV_B (LAD) Leänh sao cheùp noäi dung cuûa byte IN
sang byte OUT
ª MOVB (STL)
ª MOV_W (LAD) Leänh sao cheùp noäi dung cuûa töø ñôn IN
sang OUT
ª MOVW (STL)
ª MOV_DW (LAD) Leänh sao cheùp noäi dung cuûa töø keùp
IN sang OUT
ª MOVD (STL)
ª MOV_R (LAD) Leänh sao cheùp moät soá thöïc töø IN (4byte)
sang
ª MOVR (STL) OUT (4byte)
Cuù phaùp leänh dòch chuyeån nhö sau:

LAD STL Toaùn haïng

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 44


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

MOV_B IN : VB, IB, QB, MB, SMB,


AC,
— EN MOVB IN (byte) haèng soá, *VD, *AC
— IN OUT— OUT OUT: VB, IB, QB, MB, SMB,
AC,
(byte) *VD, *AC
MOV_W IN : VB, IB, QB, MB, SMB,
AC,
— EN MOVW IN OUT (töø ñôn) haèng soá, *VD,
— IN OUT— *AC
OUT: VB, IB, QB, MB, SMB,
AC,
(töø ñôn) *VD, *AC

MOV_DW IN : VB, IB, QB, MB, SMB,


AC,
— EN MOVD IN OUT (töø keùp) haèng soá, *VD,
— IN OUT— *AC
OUT: VB, IB, QB, MB, SMB,
AC,
(töø keùp) *VD, *AC

MOV_R IN : VB, IB, QB, MB, SMB,


AC,
— EN MOVR IN OUT (töø keùp) haèng soá, *VD,
— IN OUT— *AC
OUT: VB, IB, QB, MB, SMB,
AC,
(töø keùp) *VD, *AC

k. Söû duïng boä ñeám toác ñoä cao:


Boä ñeám toác ñoä cao ñöôïc söû duïng ñeå theo doõi vaø ñieàu
khieån caùc quaù trình coù toác ñoä cao maø PLC khoâng theå
khoáng cheá ñöôïc do bò haïn cheá veà thôøi gian cuûa voøng
queùt.
Trong CPU 214 coù ba boä ñeám toác ñoä cao ñöôïc ñaùnh soá
laàn löôït laø: HSC0, HSC1 vaø HSC2. Nguyeân taéc hoaït ñoäng
cuûa boä ñeám toác ñoä cao cuõng töông töï nhö caùc boä ñeám
thoâng thöôøng khaùc, töùc laø ñeám theo söôøn leân cuûa tín
hieäu ñaàu vaøo. Soá ñeám ñöôïc seõ ñöôïc heä thoáng ghi nhôù
vaøo moät oâ nhôù ñaëc bieät kieåu töø keùp vaø ñöôïc goïi laø giaù
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 45
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

trò ñeám töùc thôøi kyù hieäu laø CV. Khi giaù trò ñeám töùc thôøi
baèng giaù trò ñaët tröôùc thì boä ñeám phaùt ra moät tín hieäu
baùo ngaét. Giaù trò ñaët tröôùc laø moät soá nguyeân 32 bit ñöôïc
löu trong moät oâ nhôù kieåu töø keùp, kyù hieäu laø PV.
Choïn cheá ñoä laøm vieäc cho Boä ñeám toác ñoä cao baèng
leänh HDFE vaø chæ coù theå kích boä ñeám sau khi ñaõ khai baùo
cheá ñoä laøm vieäc baèng leänh HSC.
Nguyeân lyù laøm vieäc cuûa caùc boä ñeám toác ñoä cao:
o HSC0: Taàn soá ñeám cöïc ñaïi cho pheùp cuûa HSC0 laø 2
KHz. Boä ñeám HSC0 söû duïng moät coång vaøo laø I0.0 vaø
chæ coù moät cheá ñoä laøm vieäc duy nhaát laø ñeám tieán
hoaëc luøi soá caùc söôøn leân cuûa tín hieäu ñaàu vaøo taïi
ngoõ vaøo I0.0
HSC0 söû duïng töø keùp SMD38 ñeå löu giaù trò ñeám töùc thôøi
CV, giaù trò ñaët tröôùc PV ñöôïc ghi vaøo töø keùp SMD42 (caû
hai giaù trò PV vaø CV laø nhöõng soá nguyeân 32 bit coù daáu).
Chieàu ñeám tieán/luøi cuûa HSC0 ñöôïc qui ñònh bôûi traïng
thaùi cuûa bit SM37.3 nhö sau: SM37.3 = 0 ñeám luøi theo
söôøn leân cuûa I0.0
= 1 ñeám tieán theo söôøn leân cuûa I0.0
Caùc böôùc khai baùo söû duïng HSC0 (neân thöïc hieän taïi
voøng queùt ñaàu tieân):
- Naïp giaù trò ñieàu khieån phuø hôïp cho SMB37.
- Xaùc ñònh cheá ñoä laøm vieäc cho boä ñeám baèng
leänh HDEF (do HSC0 coù moät cheá ñoä laøm vieäc neân
leänh xaùc ñònh seõ laø: HDEF K0 K0)
- Naïp giaù trò töùc thôøi ban ñaàu vaø giaù trò ñaët tröôùc
vaøo SMD38 vaø SMD42.
- Khai baùo söû duïng cheá ñoä ngaét vaøo ra vaø kích tín
hieäu baùo ngaét HSC0 baèng leänh ATCH.
- Kích boä ñeám baèng leänh HSC K0

o HSC1: taàn soá ñeám cöïc ñaïi taïi ngoõ vaøo laø 7KHz
HSC1 laø moät boä ñeám linh hoaït, söû duïng boán ñaàu vaøo
I0.6, I0.7, I1.0 vaø I1.1 vôùi 12 cheá ñoä laøm vieäc khaùc nhau.
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 46
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

HSC1 söû duïng töø keùp SMD48 ñeå löu giaù trò ñeám töùc thôøi
CV, giaù trò ñaët tröôùc PV ñöôïc ghi vaøo töø keùp SMD52 (caû
hai giaù trò PV vaø CV laø nhöõng soá nguyeân 32 bit coù daáu).
Khaùc vôùi HSC0, HSC1 coù ba khaû naêng ñeám:
- Ñeám tieán hoaëc luøi theo söôøn leân cuûa I0.6 (cheá
ñoä 0, 1, 2, 3, 4, 5)
- Ñeám tieán theo söôøn leân cuûa I0.6 vaø luøi theo söôøn
leân cuûa I0.7 (cheá ñoä 6, 7, 8)
- Ñeám tieán hoaëc luøi soá laàn leäch giaù trò logic giöõa
hai coång I0.6 vaø I0.7, töùc laø soá laøn pheùp tính logic
XOR cuûa I0.6 vaø I0.7 coù keát quaû laø 1 (cheá ñoä 6,
7, 8)
Chieàu ñeám (tieán hay luøi) trong cheá ñoä 0, 1, 2 ñöôïc quy ñònh
bôûi bit SM47.3 nhö sau: SM47.3 = 0 ñeám luøi theo söôøn
leân cuûa I0.6
= 1 ñeám tieán theo söôøn leân cuûa I0.6
vaø trong cheá ñoä 3, 4, 5 bôûi ñaàu vaøo I0.7 nhö sau:
I0.7 = 0 ñeám luøi theo söôøn leân cuûa I0.6
= 1 ñeám tieán theo söôøn leân cuûa I0.6
HSC1 coù hai taàn soá ñeám. Trong caùc cheá ñoä 0÷8 taàn soá
ñeám baèng taàn soá thay ñoåi traïng thaùi tín hieäu ñaàu vaøo laø
7KHz, rieâng trong cheá ñoä 9, 10, 11 tuøy theo söï khai baùo söû
duïng maø taàn soá ñeám coù theå baèng hoaëc coù theå gaáp 4
laàn taàn soá bieán thieân traïng thaùi keát quaû pheùp tính XOR
giöõa I0.6 vaø I0.7. Do ñoù trong cheá ñoä 9, 10, 11 taàn soá ñeám
cöïc ñaïi cho pheùp cuûa HSC1 seõ laø 28KHz.
Caáu truùc byte SMB47 ñöôïc goïi laø byte ñieàu khieån cuûa
HSC1 nhö sau
SM47. Kieåu reset cho tín hieäu xoaù taïi I0.0 (cheá ñoä
0 1,2,4,5,7,8,10,11)
SM47. Kieåu kích cho tín hieäu khôûi ñoäng taïi I1.1 (cheá ñoä
1 2,5,8,11)
SM47. Taàn soá ñeám cuûa HSC1 (cheá ñoä 9,10,11)
2
SM47. Chieàu ñeám: 0 - ñeám luøi, 1 - ñeám tieán
3
SM47. Cho pheùp ñoåi chieàu ñeám: 0-khoâng cho pheùp, 1-
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 47
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

4 cho pheùp
SM47. Cho pheùp söûa ñoåi giaù trò ñaët tröôùc: 0-khoâng
5 cho pheùp, 1-cho pheùp
SM47. Cho pheùp söûa ñoåi giaù trò töùc thôøi: 0-khoâng cho
6 pheùp, 1-cho pheùp
SM47. 1-cho pheùp kích HSC1, 0-cho pheùp huyû HSC1
7

Caùc böôùc khai baùo söû duïng HSC1 (neân thöïc hieän taïi
voøng queùt ñaàu tieân):
- Naïp giaù trò ñieàu khieån phuø hôïp cho SMB47 (ví duï
16#F8=248)
- Xaùc ñònh cheá ñoä laøm vieäc cho boä ñeám baèng leänh
HDEF.
- Naïp giaù trò töùc thôøi ban ñaàu vaøo SMD48 vaø giaù trò
ñaët tröôùc vaøo SMD52.
- Khai baùo söû duïng cheá ñoä ngaét vaøo/ra vaø kích tín
hieäu baùo ngaét HSC0 baèng leänh ATCH.
- Kích boä ñeám baèng leänh HSC.
Khi söû duïng HSC1 cuøng vôùi cheá ñoä ngaét vaøo/ra, caùc tín
hieäu baùo ngaét sau ñaây seõ ñöôïc phaùt:
- Baùo ngaét khi CV=PV neáu tín hieäu baùo ngaét kieåu 13
ñöôïc khai baùo.
- Baùo ngaét khi coù tín hieäu baùo thay ñoåi chieàu ñeám
töø I0.7, neáu tín hieäu baùo ngaét kieåu 14 ñöôïc khai
baùo.
- Baùo ngaét khi HSC1 bò reset bôûi I1.0, neáu tín hieäu
baùo ngaét kieåu 15 ñöôïc khai baùo.
o HSC2: HSC2 coù nguyeân lyù laøm vieäc gioáng nhö HSC1.
HSC1 vaø HSC2 laøm vieäc ñoäc laäp, khoâng aûnh höôûng
nhau. Caùc ngoõ vaøo I0.6, I0.7, I1.0, I1.1 cuûa HSC1 ñöôïc
thay theá baèng I1.2, I1.3, I1.4 vaø I1.5 trong HSC2.
Caáu truùc byte SMB57 ñöôïc goïi laø byte ñieàu khieån cuûa
HSC2, nhö sau:
SM57. Kieåu reset cho tín hieäu xoùa taïi I1.4 (cheá ñoä
0 1,2,4,5,7,8,10,11)
SM57. Kieåu kích cho tín hieäu khôûi ñoäng taïi I1.5 (cheá ñoä
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 48
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

1 2,5,8,11)
SM57. Taàn soá ñeám cuûa HSC2 (cheá ñoä 9,10, vaø 11)
2
SM57. Chieàu ñeám: 0 - ñeám luøi, 1 - ñeám tieán.
3
SM57. Cho pheùp ñoåi chieàu ñeám: 0 - khoâng cho pheùp, 1 –
4 cho pheùp
SM57. Cho pheùp söûa ñoåi giaù trò ñaët tröôùc: 0 -khoâng cho
5 pheùp, 1–cho pheùp
SM57. Cho pheùp söûa ñoåi giaù trò ñeám töùc thôøi:0 -khoâng
6 cho pheùp, 1-cho pheùp
SM57. 1 – cho pheùp kích HSC2, 0 – cho pheùp huûy HSC2
7

HSC2 coù ba khaû naêng ñeám gioáng nhö HSC1 vaø taàn soá
ñeám trong caùc cheá ñoä cuõng gioáng nhö HSC1.

 Thuû tuïc khai baùo söû duïng boä ñeám toác ñoä cao:
Khai baùo söû duïng caùc boä ñeám HSC0, HSC1 vaø HSC2 neân
ñöôïc thöïc hieän taïi voøng queùt ñaàu tieân, khi maø bit SM0.1 coù
giaù trò logic laø 1. Thuû tuïc khai baùo toát nhaát laø moät chöông
trình con vaø chöông trình con ñoù ñöôïc goïi baèng leänh CALL
trong voøng queùt ñaàu.
Caùc coâng vieäc cuûa chöông trình con khai baùo söû duïng
Boä ñeám toác ñoä cao bao goàm:
 Naïp giaù trò veà kieåu hoaït ñoäng phuø hôïp cho byte ñieàu
khieån. Ví duï nhö khi khai baùo kieåu hoaït ñoäng cho HSC1
vôùi:
 Tín hieäu xoùa ngoaøi tích cöïc khi coù logic laø 1 thì
phaûi ghi 0 vaøo SM47.0
 Tín hieäu kích (start) ngoaøi tích cöïc khi coù logic laø 1
thì ghi 0 vaøo SM47.1
 Taàn soá ñeám baèng taàn soá cuûa tín hieäu vaøo thì ghi
0 vaøo SM47.2
 Ñeám tieán theo söôøn leân cuûa tín hieäu vaøo thì ghi 1
vaøo SM47.3
 Cho pheùp ñoåi chieàu ñeám thì ghi 1 vaøo SM47.4

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 49


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

 Cho pheùp thay ñoåi giaù trò daët tröôùc thì ghi 1 vaøo
SM47.5
 Cho pheùp thay ñoåi giaù trò ñeám töùc thôøi thì ghi 1
vaøo SM47.6
 Cho pheùp kích HSC1 thì ghi 1 vaøo SM47.7
 Xaùc ñònh cheá ñoä laø vieäc cho boä ñeám baèng leänh
HDEF. Ví duï nhö muoán xaùc ñònh cheá ñoä laøm vieäc soá 3
cho HSC1 thì thöïc hieän leänh sau trong STL: HDEF
K1 K3
 Naïp giaù trò ñeám töùc thôøi ban ñaàu vaø giaù trò ñaët
tröôùc. Ví duï naïp giaù trò ñeám töùc thôøi ban ñaàu laø 0 vaø
giaù trò ñaët tröôùc laø 3 cho HSC1 thì thöïc hieän leänh sau
trong STL:
MOVD K0 SMD48 giaù trò ñeám töùc thôøi ban
ñaàu laø0.
MOVD K3 SMD52 giaù trò ñaët tröôùc laø 3.
 Khai baùo söû duïng cheá ñoä ngaét vaøo/ra vaø kích tín hieäu
baùo ngaét. Ví duï nhö söû duïng HSC1 laøm tín hieäu baùo
ngaét vaøo/ra maõ hieäu 13 (khi CV=PV) vaø maõ hieäu 14
(khi ñoåi chieàu ñeám) vôùi caùc chöông trình xöû lyù ngaét
töông öùng coù nhaõn laø 0 vaø 1 thì thöïc hieän caùc leänh
sau trong STL:
ATCH K0 K13
ATCH K1 K14
 Kích boä ñeám vôùi kieåu laøm vieäc ñaõ ghi trong byte ñieàu
khieån baèng leänh HSC. Ví duï nhö kích boä ñeám HSC1 theo
SMB47 baèng caùch thöïc hieän leänh sau trong STL:
HSC K1

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 50


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

CHÖÔNG III
QUI TRÌNH COÂNG NGHEÄ IN KHAÊN

1. Giôùi thieäu coâng ngheä in


Coâng ngheä in laø quaù trình chuyeån hình aûnh, maøu saéc
hoa vaên leân vaûi, ñaây chính laø khaâu quyeát ñònh tính thaåm
myõ cuûa maët haøng. Vì vaäy noù ñoøi hoûi caàn ñaït ñoä chính
xaùc, saéc neùt, khoâng bò leäch maøu, coù tính myõ thuaät … Töø
boán maøu cô baûn, khi ta tieán haønh choàng maøu thì seõ coù
ñöôïc raát nhiaàu maøu khaùc nhau.
Coâng ngheä in goàm caùc khaâu cô baûn sau:
 Thieát keá maãu, veõ kieåu: khi coù maãu hoa vaên theo
yeâu caàu, ta tieán haønh veõ kieåu baèng maùy vi tính, sau ñoù
taùch maøu chi tieát hoa vaên ñoù thaønh caùc chi tieát rieâng bieät
goïi laø phim maãu. Khi ta choàng taát caû caùc chi tieát rieâng
naøy laïi ta coù ñöôïc maãu hoa vaên thieát keá. Sau khi ñaõ coù
maãu cuûa töøng maøu ta tieán haønh chuïp caùc maãu ñoù leân
khuoân löôùi. Nhôø vaøo caùc chi tieát naøy ta seõ quyeát ñònh soá
khuoân löôùi laøm ra. Moãi khuoân ta chæ in ñöôïc moät maøu.
 Laøm khuoân löôùi: khuoân löôùi laø khuoân mang maãu
moät chi tieát hoa vaên, laø thieát bò chuyeån tröïc tieáp hình aûnh,
maøu saéc hoa vaên leân saûn phaåm. Moãi khuoân löôùi chæ in
ñöôïc moät maøu chi tieát. Khuoân löôùi laø moät khung hình chöõ
nhaät, treân khuoân coù caêng moät taám vaûi löôùi goïi laø löôùi
in. Löôùi in laø moät loaïi vaûi ñaëc bieät coù theå cho möïc in thaám
qua deã daøng, löôùi in raát quan troïng noù aûnh höôûng tröïc tieáp
ñeán chaát löôïng in. Khuoân löôùi ñöôïc traùng moät lôùp keo
gielatin, ñaët phim maãu leân khuoân roài ñem chuïp treân ñeøn
chuïp, sau ñoù mang ñi röûa, nhöõng phaàn chi tieát in seõ raõ troâi
theo nöôùc coøn nhöõng choã khoâng in seõ coøn laïi keo. Nhöõng
choã bò bòt kín baèng keo laø nhöõng choã khoâng caàn in, coøn
nhöõng choã troáng chính laø hình aûnh cuûa caùc chi tieát hoa
vaên. Khi in möïc in seõ thaám qua nhöõng choã troáng vaø baét
vaøo saûn phaåm.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 51


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

 Khaâu in: khi khuoân löôùi ñöôïc laøm xong,


vieäc ñaàu tieân laø phaûi gaén caùc khuoân löôùi ñoù

Hình 10: Hình veõ heä thoáng maùy in


vaøo khung cuûa maùy in. Sau ñoù tuyø theo yeâu caàu
thöïc teá maø ta choïn chöông trình in vaø khôûi
ñoäng maùy in chaïy theo chöông trình ñaõ choïn.
Caùc chöông trình in goàm coù: In moät laàn vaø
In hai laàn.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 52


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 53


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

2. Caùc phaàn cuûa maùy in:


a. Beä in (baøn in): coù vai troø quan troïng trong vieäc baûo
ñaûm cho hình aûnh hoa vaên ñaït ñoä neùt, ñoä chính xaùc
khi in. Beä in laø moät caùi baøn thaät phaúng, khoâng coù
choã loàâi loõm. Trong quaù trình in, baêng chuyeàn seõ töïa
saùt leân beà maët baøn in. Phía döôùi beä in coù gaén moät
nam chaâm ñieän, nam chaâm ñieän coù theå di chuyeån
doïc theo beä in nhôø vaøo hai pittoâng daàu ñaët hai beân.
Nam chaâm naøy duøng ñeå huùt dao gaït laøm cho dao gaït
ñeø saùt vaøo maët löôùi in.
b. Baêng chuyeàn: baêng chuyeàn laø moät daïng vaûi cao su,
coù nhieàu lôùp ñeå ñaït ñöôïc ñoä meàm. Lôùp treân cuøng
cuûa baêng chuyeàn coù ñoä nhaùm thaät mòn, ñöôïc traùng
moät lôùp keo moûng ñeå taêng ñoä baùm cuûa khaên caàn
in vaøo baêng chuyeàn trong quaù trình in. Do ñoù khi baêng
chuyeàn dòch chuyeån khaên caàn in cuõng dòch chuyeån
theo.
c. Khung in: phía treân baêng chuyeàn laø khung in. Khung in
cuûa maùy in coù caùc ngaøm ñeå gaén khuoân löôùi, phía
döôùi khung in coù gaén caùc pittoâng daàu ñeå naâng haï

khung.
Hình 11: Hình moâ taû vò trí
cuûa khung in

d. Dao gaït: dao gaït laø coâng cuï ñeå ñaåy, pheát möïc maøu
laøm cho möïc thaám qua löôùi in chuyeån maøu leân vaät
caàn in. Dao gaït laø moät truï troøn, baèng saét theùp (ñeå
nam chaâm coù theå huùt ñöôïc) ñaët naèm ngang, beân

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 54


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

ngoaøi boïc moät lôùp cao su moûng. Khi nam chaâm huùt,
dao gaït seõ ñeø saùt leân maët löôùi in, nam chaâm di
chuyeån seõ keùo dao gaït di chuyeån.

3. Nguyeân taéc hoaït ñoäng cuûa maùy in:


Truyeàn ñoäng cuûa maùy in nhôø vaøo caùc ñoäng cô xoay
chieàu khoâng ñoäng boä, ñoäng cô moät chieàu, ñoäng cô bôm
daàu … Khi coù tín hieäu ñieàu khieån taùc ñoäng caùc ñoäng cô
naøy seõ hoaït ñoäng theo chöông trình ñieàu khieån.
Baêng chuyeàn ñöôïc keùo baèng moät ñoäng cô moät chieàu,
treân truïc ñoäng cô coù gaén moät boä caûm bieán toác (Rotary
Encoder). Boä caûm bieán toác ñoä naøy seõ ñeám soá voøng quay
cuûa ñoäng cô, ñoäng cô quay moät voøng Rotery Encoder seõ cho
ra 2048 xung. Truyeàn ñoäng naâng haï khung, di chuyeån cuûa
nam chaâm gaït dao ñöôïc ñieàu khieån baèng caùc pittoâng daàu.
Nguyeân taéc hoaït ñoäng cuûa maùy in nhö sau:
- Gaén khuoân löôùi vaøo khung in, sau ñoù ñieàu chænh
xaùc khung in vaøo vò trí ñaët khaên treân baêng
chuyeàn nhôø vaøo caùc vít ñieàu chænh. Caùc khung
tieáp theo cuõng phaûi ñöôïc caân chænh chính xaùc
theo ñuùng vò trí in treân khaên ñeå caùc chi tieát in
khoâng bò leäch nhau. Baêng chuyeàn cuõng phaûi
döøng thaät chính xaùc theo vò trí aán ñònh.
- Baêng chuyeàn mang theo khaên caàn in di chuyeån
ñeán baøn in roài döøng laïi.
- Khung in ñöôïc haï xuoáng saùt maët khaên.
- Möïc in ñöôïc raûi ñeàu leân khuoân löôùi.
- Nam chaâm ñieän döôùi beä in ñöôïc caáp ñieän, huùt
dao dao gaït treân khuoân löôùi saùt vaøo beà maët
khuoân löôùi.
- Ñoäng cô bôm daàu neùn daàu vaøo pittoâng ñaåy nam
chaâm tröôït doïc theo baøn in. Khi nam chaâm tröôït,
keùo theo dao gaït tröôït treân beà maët khuoân löôùi,
gaït möïc thaám qua khuoân löôùi xuoáng khaên caàn in.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 55


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

- Khung ñöôïc naâng leân vaø baêng chuyeàn tieáp tuïc di


chuyeån ñeán khung in thöù hai, vaø hoaït ñoäng laïi
dieãn ra tieáp tuïc nhö treân.

4. Caùc chöông trình hoaït ñoäng cuûa maùy in:

a. Chöông trình gaén khuoân löôùi:


Tröôùc khi cho maùy in hoaït ñoäng theo chöông trình ñöôïc
choïn thì ta phaûi gaén caùc khuoân löôùi vaøo khung maùy in,
böôùc naøy goïi laø gaén löôùi. Phöông phaùp nhö sau:
- Naâng khung: muoán baêng chuyeàn dòch chuyeån thì
khung in phaûi ñöôïc naâng leân.
- Dòch baêng: baêng chuyeàn ñöôïc dòch chuyeån vaø
döøng laïi khi boä ñeám ñeám ñeán 307200 töùc baèng
ñoä daøi töø vò trí khung in thöù nhaát ñeán khung in
thöù hai.
- Haï khung: khung maùy in ñöôïc haï xuoáng ñeå gaén
khuoân löôùi vaøo.
- Gaén khuoân: tröôùc tieân ta phaûi gaén khuoân löôùi
thöù nhaát vaøo vò trí khuoân ñaàu tieân. Ñeå ñaûm
baûo vieäc gaén khuoân thöù hai ñöôïc chính xaùc, ta
vaïch phaán taïi boán loã ñònh vò ôû caùc goùc khuoân
thöù nhaát xuoáng baêng chuyeàn. Caên cöù vaøo boán
vaïch phaán treân baêng chuyeàn ta gaén khuoân löôùi
thöù hai theo caùc vaïch ñoù. Laøm töông töï cho caùc
khuoân coøn laïi, nhö theá khuoân thöù nhaát vaø caùc
khuoân coøn laïi seõ khôùp nhau.

b. Chöông trình röûa baêng chuyeàn:


Trong quaù trình in, neáu nhö daàu nhôùt hay möïc in rôi
vaõi xuoáng baêng chuyeàn thì seõ laøm baån khaên khi ñaët
khaên leân baêng chuyeàn, do ñoù chöông trình röûa baêng
nhaèm lau röûa baêng chuyeàn saïch seõ tröôùc khi in khi ñoù seõ
khoâng laøm baån khaên.
Chöông trình röûa baêng chuyeàn goàm caùc böôùc sau:

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 56


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

- Naâng khung: khung ñöôïc naâng leân ñeå baêng


chuyeàn coù theå chuyeån ñoäng.
- Khi khung ñaõ ñöôïc naâng leân, baêng chuyeàn di
chuyeån vaø ñoäng cô röûa hoaït ñoäng ñeå röûa. Ñoäng
cô röûa vaø baêng chuyeàn hoaït ñoäng cho ñeán khi coù
tín hieäu döøng môùi ngöng.

c. Chöông trình in moät laàn:


Chöông trình in moät laàn thöïc hieän vieäc in leân saûn
phaåm, dao gaït seõ gaït ngang qua khuoân löôùi ñaåy pheát möïc
in leân saûn phaåm roài dao döøng laïi. Chöông trình in moät laàn
seõ thöïc hieän lieân tuïc theo voøng laëp cho ñeán khi coù tín
hieäu döøng.
Chöông trình in moät laàn coù caùc böôùc sau:
- Naâng khung (neáu khung chöa ñöôïc naâng).
- Baêng chuyeàn mang theo khaên caàn in di chuyeån
ñeán roài döøng laïi taïi vò trí khung.
- Haï khung xuoáng.
- Gaït dao (tuøy theo vò trí dao naèm beân traùi hay phaûi
maø dao seõ ñöôïc gaït sang phaûi hay traùi).
- Quay laïi böôùc ñaàu tieân.

d. Chöông trình in hai laàn:


Chöông trình in naøy töông töï nhö chöông trình in moät
laàn, nhöng dao gaït seõ gaït hai laàn. Chöông trình chæ ñöôïc
thöïc hieän khi maãu chi tieát khoâng ñoøi hoûi choàng maøu
quaù khít nhau, vì khi gaït dao hai laàn coù theå laøm leäch khaên
in xeâ dòch vaø lôùp möïc in seõ daày hôn in moät laàn.
Chöông trình goàm caùc böôùc:
- Naâng khung (neáu khung chöa ñöôïc naâng).
- Baêng chuyeàn mang theo khaên caàn in di chuyeån
ñeán roài döøng laïi taïi vò trí khung.
- Haï khung xuoáng.
- Gaït dao töø phaûi sang traùi roài gaït dao töø traùi sang
phaûi.
- Quay laïi böôùc ñaàu tieân.
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 57
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

e. Chöông trình döøng:


Chöông trình döøng khi coù tín hieäu goïi döøng thì seõ
döøng caùc chöông trình khaùc laïi vaø Reset keùo dao gaït veà phía
phaûi khung roài döøng taát caû laïi.

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 58


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

CHÖÔNG IV:
CHÖÔNG TRÌNH ÑIEÀU KHIEÅN HEÄ THOÁNG
MAÙY IN HOA VAÊN DUØNG PLC

1. Caùc yeâu caàu coâng ngheä cuûa heä thoáng maùy in:
Khung maùy in khoâng ñöôïc pheùp naâng leân khi dao ñang
gaït. Trong caùc chöông trình in neáu dao ñang naèm beân traùi thì
seõ ñöôïc gaït sang phaûi vaø ngöôïc laïi.
Baêng chuyeàn khoâng ñöôïc dòch chuyeån khi khung ñaõ ñöôïc
haï xuoáng vaø khoâng ñöôïc haï khung khi baêng chuyeån ñang
dòch chuyeån.
Khi ñoäng cô keùo baêng chuyeàn quay ñöôïc moät voøng thì
töông öùng baêng chuyeàn dòch chuyeån ñöôïc 1 cm.
Tröôùc khi haï khung baêng chuyeàn dòch chuyeån vôùi 2 toác
ñoä nhanh, chaäm. Baêng chuyeàn dòch chuyeån nhanh khi soá
ñeám chöa ñaït 266240 vaø döøng laïi khi soá ñeám ñaït 307200.

2. Qui ñònh moät soá tieáp ñieåm vaø cuoän daây trong
chöông trình ñieàu khieån
a. Caùc nuùt aán goïi chöông trình:
• Röûa baêng I0.0
• Gaén löôùi I0.1
• In moät laàn I0.2
• In hai laàn I0.3
• Döøng I0.4
b. Caùc coâng taéc haønh trình
• Giôùi haïn khung naâng I1.2
• Giôùi haïn khung haï I1.3
• Giôùi haïn dao gaït beân traùi I2.0
• Giôùi haïn dao gaït nhanh beân traùi I2.1
• Giôùi haïn dao gaït beân phaûi I2.2
• Giôùi haïn dao gaït nhanh beân phaûi I2.3
c. Caùc ngoõ ra
• Naâng khung Q0.0
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 59
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

• Haï khung Q0.1


• Baêng chuyeàn chaïy nhanh Q0.2
• Baêng chuyeàn chaïy chaäm Q0.3
• Dao gaït sang traùi, nhanh Q0.4
• Dao gaït sang traùi, chaäm Q0.5
• Dao gaït sang phaûi, nhanh Q0.6
• Dao gaït sang phaûi, chaäm Q0.7
• Nam chaâm Q1.0
• Phanh haõm ñieän Q1.1
• Ñoäng cô röûa baêng chuyeàn Q2.0
Söû duïng boä ñeám HSC 1 vôùi caùc ngoõ vaøo I0.6, I0.7, I1.0, I1.1
I0.6 noái vaøo ngoõ ra cuûa Rotary Encoder

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 60


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

3. Löu ñoà giaûi thuaät caùc chöông trình

a. Chöông trình gaén löôùi:

START

HAÏ KHUNG

No
GIÔÙI HAÏN
KHUNG HAÏ

Yes

DELAY 1 GIAÂY

GAÏT DAO SANG


PHAÛI

No
GIÔÙI HAÏN
DAO BEÂN

Yes

DÖØNG

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 61


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

b. Chöông trình röûa baêng chuyeàn:

START

NAÂNG KHUNG

START

No
GIÔÙI HAÏN
KHUNG
NAÂNG KHUNG

Yes

BAÊNG CHUYEÀN
GIÔÙI HAÏN No
DÒCH KHUNG
CHUYEÅN

Yes

DELAY 3 GIAÂY
BAÊNG CHUYEÀN
DÒCH CHUYEÅN VÔÙI
TOÁC ÑOÄ NHANH

ÑOÄNG CÔ RÖÛA
HOAÏT ÑOÄNG

BOÄ ÑEÁM No
ÑEÁM ÑEÁN

COÙ TÍN No
Yes
HIEÄU

BAÊNG CHUYEÀN
Yes
DÒCH CHUYEÅN VÔÙI
c. Chöông trìnhTOÁC ÑOÄ CHAÄMin moät laàn:
DÖØNG

No
BOÄ ÑEÁM
ÑEÁM ÑEÁN

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 62


Yes

(1 ) (2 )
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

(1 ) (2 )

TAÙC ÑOÄNG VAØO


PHANH HAÕM

HAÏ KHUNG

GIÔÙI HAÏN No
KHUNG HAÏ

Yes

DALAY 1 GIAÂY

TAÙC ÑOÄNG VAØO


NAM CHAÂM

DELAY 1 GIAÂY

DAO GAÏT NHANH

GIÔÙI HAÏN No
DAO GAÏT
NHANH ?

SVTH: NGUYEÃN HÖÕU THIEÄN


Yes Trang 63

(3 ) (2
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

(3 (2
) )

DAO GAÏT CHAÄM


START

GIÔÙI No
NAÂNG KHUNG
HAÏN

Yes

DÖØNG DAO
GIÔÙI HAÏN No
KHUNG
NAÂNG

Yes
d. Chöông trình in hai laàn:
BAÊNG CHUYEÀN
DÒCH CHUYEÅN VÔÙI
SVTH: NGUYEÃN HÖÕUTOÁC ÑOÄ NHANH
THIEÄN Trang 64

I II III
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

I II III

No
BOÄ ÑEÁM
ÑEÁM ÑEÁN

Yes

BAÊNG CHUYEÀN
DÒCH CHUYEÅN VÔÙI
TOÁC ÑOÄ CHAÄM

BOÄ ÑEÁM No
ÑEÁM ÑEÁN

Yes

TAÙC ÑOÄNG VAØO


PHANH HAÕM

HAÏ KHUNG

GIÔÙI HAÏN No
KHUNG HAÏ

Yes
DALAY 1 GIAÂY

TAÙC ÑOÄNG VAØO


SVTH: NGUYEÃN HÖÕU THIEÄN
NAM CHAÂM Trang 65

IV III
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

IV III

DELAY 1 GIAÂY

DAO GAÏT NHANH


SANG TRAÙI

GIÔÙI HAÏN No
DAO GAÏT
NHANH ?

Yes

DAO GAÏT CHAÄM

No
GIÔÙI
HAÏN

Yes

DÖØNG DAO

DELAY 2 GIAÂY

DAO GAÏT NHANH


SANG PHAÛI
SVTH: NGUYEÃN HÖÕU THIEÄN Trang 66

V VI III
GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

V VI III

GIÔÙI HAÏN No
GAÏT DAO

Yes

DAO GAÏT CHAÄM,


SANG PHAÛI

No
GIÔÙI
HAÏN

Yes

DÖØNG DAO

e. Chöông trình döøng:

START

NGAÉT DÖØNG CAÙC


CHÖÔNG TRÌNH KHAÙC

DAO ÔÛ GIÔÙI No ÑÖA DAO VEÀ


HAÏN BEÂN GIÔÙI HAÏN BEÂN
PHAÛI? PHAÛI

Yes

DÖØNG

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 67


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

4. Chöông trình ñieàu khieån daïng LAD

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 68


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 69


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 70


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 71


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 72


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 73


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 74


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 75


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 76


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 77


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 78


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 79


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 80


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 81


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 82


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 83


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 84


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 85


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 86


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 87


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 88


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 89


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 90


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 91


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 92


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 93


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

5. Chöông trình ñieàu khieån daïng STL

NETWORK 1 //KHAI BAO SU DUNG BO DEM TOC DO CAO


LD SM0.1

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 94


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
R M0.0, 255
R T37, 10
MOVB 204, SMB47
HDEF 1, 0
MOVD +0, SMD48

NETWORK 2 //GOI CHUONG TRINH GAN KHUON LUOI


LDN M21.0
AN M21.2
AN M21.3
CALL 0

NETWORK 3 //GOI CHUONG TRINH RUA BANG CHUYEN


LDN M21.1
AN M21.2
AN M21.3
CALL 1

NETWORK 4 //GOI CHUONG TRINH IN 1 LAN


LDN M21.0
AN M21.1
AN M21.3
CALL 2

NETWORK 5 //GOI CHUONG TRINH IN 2 LAN


LDN M21.0
AN M21.1
AN M21.2
CALL 3

NETWORK 6 //GOI CHUONG TRINH DUNG


LD SM0.0
CALL 4

NETWORK 7 //DIEU KHIEN NANG KHUNG


LD M0.0
O M1.0
O M2.0
O M3.0
= Q0.0

NETWORK 8 //DIEU KHIEN HA KHUNG


LD M2.1
O M3.1
O M0.1
= Q0.1

NETWORK 9 //DIEU KHIEN BANG CHUYEN DI CHUYEN NHANH


LD M1.2

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 95


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
O M2.2
O M3.2
= Q0.2

NETWORK 10 //DIEU KHIEN BANG CHUYEN DI CHUYEN CHAM


LD M2.3
O M3.3
= Q0.3

NETWORK 11 //DIEU KHIEN DAO GAT SANG TRAI, NHANH


LD M2.4
O M3.4
= Q0.4

NETWORK 12 //DIEU KHIEN DAO GAT SANG TRAI, CHAM


LD M2.5
O M3.5
= Q0.5

NETWORK 13 //DIEU KHIEN DAO GAT SANG PHAI, NHANH


LD M0.6
O M2.6
O M3.6
O M4.6
= Q0.6

NETWORK 14 //DIEU KHIEN DAO GAT SANG PHAI, CHAM


LD M0.7
O M2.7
O M3.7
O M4.7
= Q0.7

NETWORK 15 //TAC DONG NAM CHAM


LD M10.0
O M12.0
O M13.0
O M14.0
= Q1.0

NETWORK 16 //TAC DONG PHANH HAM DONG CO


LD M12.1
O M13.1
= Q1.1

NETWORK 17
MEND

NETWORK 18 //CHUONG TRINH GAN LUOI

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 96


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
SBR 0

NETWORK 19 //NHAN NUT GOI CHUONG TRINH GAN KHUON LUOI


LD I0.1
S M21.1, 1

NETWORK 20 //HA KHUNG XUONG


LD M21.1
EU
O M0.1
AN I1.3
AN Q0.2
AN Q0.3
= M0.1

NETWORK 21 //DAO GAT NHANH SANG PHAI


LD M21.1
A I1.3
AN I2.2
EU
S M0.6, 1

NETWORK 22 //DAO GAT CHAM SANG PHAI


LD M21.1
A I1.3
A I2.3
EU
R M0.6, 1
S M0.7, 1

NETWORK 23 //DAO DUNG LAI


LD M21.1
A I1.3
A I2.2
EU
R M0.7, 1
R M10.0, 1
R T37, 1
R M21.1, 1

NETWORK 24
RET

NETWORK 25 //CHUONG TRINH RUA BANG CHUYEN


SBR 1

NETWORK 26 //NHAN NUT GOI CHUONG TRINH RUA BANG CHUYEN


LD I0.0
S M21.0, 1

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 97


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

NETWORK 27 //NANG KHUNG LEN


LD M21.0
EU
O M1.0
AN I1.2
AN Q0.2
AN Q0.3
= M1.0

NETWORK 28 //CHAY BANG CHUYEN


LD M21.0
LD I1.2
O M1.2
ALD
AN M1.0
= M1.2

NETWORK 29 //DELAY 3 GIAY (Truoc khi mo dong co rua)


LD M1.2
TON T38, +20

NETWORK 30 //DONG CO RUA HOAT DONG


LD M1.2
A T38
= Q2.0

NETWORK 31
RET

NETWORK 32 //CHUONG TRINH IN MOT LAN


SBR 2

NETWORK 33 //NHAN NUT GOI CHUONG TRINH IN 1 LAN


LD I0.2
S M21.2, 1

NETWORK 34 //NANG KHUNG


LD M21.2
EU
O M2.0
AN I1.2
AN Q0.2
AN Q0.3
= M2.0

NETWORK 35 //BANG CHUYEN DICH CHUYEN VOI TOC DO NHANH


LD M21.2
A I1.2

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 98


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
AN M2.0
EU
S M2.2, 1
S M20.0, 1

NETWORK 36 //KICH BO DEM


LD M20.0
HSC 1

NETWORK 37 //BANG CHUYEN DICH CHUYEN VOI TOC DO CHAM


LD M21.2
AD= SMD48, +266240
R M2.2, 1
S M2.3, 1

NETWORK 38 //TAC DONG VAO PHANH HAM DE DUNG BANG CHUYEN


LD M21.2
AD= SMD48, +307200
R M2.3, 1
S M12.1, 1

NETWORK 39 //DELAY 1 GIAY (Truoc khi ha khung)


LD M12.1
TON T39, +10
MOVD +0, SMD48
R M20.0, 1

NETWORK 40 //HA KHUNG


LD T39
O M2.1
AN I1.3
AN Q0.2
AN Q0.3
= M2.1

NETWORK 41 //DELAY 1 GIAY (Truoc khi tac dong nam cham)


LD M12.1
A I1.3
TON T40, +10

NETWORK 42 //TAC DONG NAM CHAM (de hut dao gat)


LD T40
EU
S M12.0, 1
S M20.2, 1

NETWORK 43 //DELAY 1 GIAY (Truoc khi gat dao)


LD M20.2
TON T41, +10

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 99


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp

NETWORK 44 //DAO GAT NHANH (Sang trai neu dao o ben phai va
nguoc lai)
LD M12.0
A T41
LPS
AN I2.2
AN M2.4
AN M2.5
= M2.6
LPP
AN I2.0
AN M2.6
AN M2.7
= M2.4

NETWORK 45 //DAO GAT CHAM (Sang phai)


LD M2.6
A I2.3
R M20.2, 1
S M2.7, 1

NETWORK 46 //DAO GAT CHAM (Sang trai)


LD M2.4
A I2.1
R M20.2, 1
S M2.5, 1

NETWORK 47 //DAO DUNG LAI


LD M21.2
LD I2.0
O I2.2
ALD
EU
R M2.7, 1
R M2.5, 1
R M12.0, 2
= M20.3

NETWORK 48 //NANG KHUNG LEN


LD M20.3
O M2.0
AN I1.2
AN M2.5
AN M2.7
AN Q0.2
AN Q0.3
= M2.0

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 100


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
NETWORK 49
LD M2.0
R T39, 2

NETWORK 50
RET

NETWORK 51 //CHUONG TRINH IN 2 LAN


SBR 3

NETWORK 52 //NHAN NUT GOI CHUONG TRINH IN 2 LAN


LD I0.3
S M21.3, 1

NETWORK 53 //NANG KHUNG


LD M21.3
EU
O M3.0
AN I1.2
AN Q0.2
AN Q0.3
= M3.0

NETWORK 54 //BANG CHUYEN DICH CHUYEN VOI TOC DO NHANH


LD M21.3
A I1.2
AN M3.0
EU
S M3.2, 1
S M20.4, 1

NETWORK 55 //KICH BO DEM


LD M20.4
HSC 1

NETWORK 56 //BANG CHUYEN DICH CHUYEN VOI TOC DO CHAM


LD M21.3
AD= SMD48, +266240
R M3.2, 1
S M3.3, 1

NETWORK 57 //TAC DONG VAO PHANH HAM DE DUNG BANG CHUYEN


LD M21.3
AD= SMD48, +307200
R M3.3, 1
S M13.1, 1

NETWORK 58 //DELAY 1 GIAY (Truoc khi ha khung)


LD M13.1

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 101


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
TON T42, +10
MOVD +0, SMD48
R M20.4, 1

NETWORK 59 //HA KHUNG


LD T42
O M3.1
AN I1.3
AN Q0.2
AN Q0.3
= M3.1

NETWORK 60 //DELAY 1 GIAY (Truoc khi tac dong nam cham)


LD M13.1
A I1.3
TON T43, +10

NETWORK 61 //TAC DONG NAM CHAM (de hut dao gat)


LD T43
EU
S M13.0, 1
S M20.6, 1

NETWORK 62 //DELAY 1 GIAY (Truoc khi gat dao)


LD M20.6
TON T44, +10

NETWORK 63 //DAO GAT NHANH IN LAN 1 (Gat sang trai)


LD M13.0
A T44
AN I2.0
AN M3.6
AN M3.7
= M3.4

NETWORK 64 //DAO GAT CHAM (Sang trai)


LD M3.4
A I2.1
R M20.6, 1
S M3.5, 1

NETWORK 65 //DAO DUNG LAI


LD M21.3
A I2.0
EU
R M3.5, 1
S M22.0, 1

NETWORK 66 //DELAY 2 GIAY (Truoc khi gat dao de in lan 2)

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 102


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
LD M22.0
TON T45, +20

NETWORK 67 //DAO GAT NHANH IN LAN 2 (Gat sang phai)


LD M13.0
A T45
AN I2.2
AN M3.4
AN M3.5
= M3.6

NETWORK 68 //DAO GAT CHAM (Sang phai)


LD M3.6
A I2.3
R M22.0, 1
S M3.7, 1

NETWORK 69 //DAO DUNG LAI


LD M21.3
A I2.2
EU
R M3.7, 1
R M13.0, 2
R M22.0, 1
= M20.7

NETWORK 70 //NANG KHUNG LEN


LD M20.7
EU
O M3.0
AN I1.2
AN M3.5
AN M3.7
AN Q0.2
AN Q0.3
= M3.0

NETWORK 71
LD M3.0
R T42, 2

NETWORK 72
RET

NETWORK 73 //CHUONG TRINH DUNG


SBR 4

NETWORK 74 //NHAN NUT CHUONG TRINH DUNG


LD I0.4

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 103


GVDH: VUÕ ÑOÃ CÖÔØNG Ñoà aùn toát nghieäp
EU
R M0.0, 248
S M31.7, 1

NETWORK 75 //DAO GAT NHANH SANG PHAI


LD M31.7
AN I2.2
= M4.6

NETWORK 76 //DAO GAT CHAM SANG PHAI


LD M4.6
A I2.3
EU
R M31.7, 1
S M4.7, 1

NETWORK 77 //DAO DUNG LAI


LD I2.2
R M4.7, 1
R M31.7, 1

NETWORK 78
RET

SVTH: NGUYEÃN HÖÕU THIEÄN Trang 104

You might also like