You are on page 1of 90

H slibrary ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.

all; entity sosanh is port ( vao1, vao2: in std_logic_vector(3 downto 0); ra: out std_logic_vector(2 downto 0) ); end entity; architecture behav of sosanh is begin process(vao1,vao2) begin if vao1>vao2 then ra(2)<='1'; else ra(2)<='0'; end if; if vao1=vao2 then ra(1)<='1'; else ra(1)<='0'; end if; if vao1< vao2 then ra(0)<='1'; else ra(0)<='0'; end if; end process; end architecture; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; entity test is end entity; architecture behav of test is component sosanh is port ( vao1, vao2: in std_logic_vector(3 downto 0); ra: out std_logic_vector(2 downto 0) ); end component; signal thv1: std_logic_vector(3 downto 0); signal thv2: std_logic_vector(3 downto 0);

signal thr: std_logic_vector(2 downto 0); begin process begin thv1<="0000";thv2<="0101"; wait for 100 ns; thv1<="0001";thv2<="0101"; wait for 100 ns; thv1<="0101";thv2<="0101"; wait for 100 ns; thv1<="1000";thv2<="0101"; wait for 100 ns;

end process; dut: component sosanh port map(vao1=>thv1,vao2=>thv2,ra=>thr); end architecture; quit -sim vcom sosanh.vhd vcom test.vhd vsim test add wave sim:/test/* run 1000

2.1 Khi qut mch flip flop 2.2 Cc loi flip flop 2.3 Flip flop khi c thm ng vo trc tip 2.1 Tng quan v flip flop (FF) FF l mch c kh nng lt li trng thi ng ra tu theo s tc ng thch hp ca ng vo, iu ny c ngha quan trng trong vic lu tr d liu trong mch v xut d liu ra khi cn.

C nhiu loi flip flop khc nhau, chng c s dng rng ri trong nhiu ng dng. Cc mch FF thng c k hiu nh sau

Hnh 3.1.11 K hiu FF Nu cc ng vo s quyt nh ng ra l ci g th ng ng h ck li ch ra rng khi no mi c s thay i . Chn Ck c th tc ng mc thp hay mc cao tu vo cu trc bn trong ca tng IC FF, do vi mt IC FF c nh th ch c mt kiu tc ng v ch mt m thi, v d vi IC 74112 ch c mt cch tc ng l xung Ck tc ng theo cnh xung. <v u trang> 2.2 Cc loi FF

Hnh 2.1.13 K hiu khi ca 4 loi FF ny bi cnh ln Ck a) FF SR (mch lt li t)

Hnh 3.1.14 Dng sng minh ho cho FF RS FF RS ny cnh ln khi s k hiu hnh tam gic s khi v du mi tn ln trong bng trng thi.

FF RS ny bng cnh xung tng t v c kh hiu thm hnh trn nh hay gch u Ck ch cnh xung k hiu khi v v du mi tn xung bng trng thi. b) FF JK FF JK b sung thm trng thi cho FF RS ( trnh trng thi cm)

Hnh 3.1.15 Dng sng minh ho cho FF JK Nhn thy u vo J, K iu khin trng thi ng ra theo ng nh cch m S R lm tr 1 im l khi J = K = 1 th trng thi cm c chuyn thnh trng thi ngc li ( vi J = K = 0 ). N cn gi l ch lt ca hot ng. T dng sng c th thy rng ng ra FF khng b nh hng bi sn xung ca xung ck cc u vo J K cng khng c tc ng tr khi xy ra tc ng ln ca Ck FF JK c th to thnh t FF SR c thm 2 u and c ng ra a v nh hnh :

Hnh 3.1.16 FF JK t FF SR Cn cu to bn trong ca FF JK kch bng cnh sn s nh sau :

Hnh 3.1.17 Cu trc mch ca FF JK c) FF T Khi ni chung 2 ng vo JK nh hnh di th s c FF T : ch c mt ng vo T, ng ra s b lt li trng thi ban u khi ng T tc ng v mi khi c cnh sn ln hay xung ca xung ck. K hiu khi v bng trng thi ca FF T nh sau :

=>

Hnh 3.1.18 K hiu khi ca FF T

Hnh 3.1.19 Dng sng minh ho cho hot ng ca FF T FF T c s dng chnh to mch m chia 2. Khi T ni ln mc 1 (Vcc) hay trng, xung kch ln lt a vo ng Ck. Nhn thy ng ra Q s lt trng thi mi ln ck xung hay ln. Tn s xung ng ra Q ch cn bng mt na tn s ng vo ck nu a Q ny ti cc tng FF sau na th ln lt tn s f s li c chia i. y l nguyn l chnh ca mch m s c xt n phn sau.

Hnh 3.1.19a FF T dng lm mch chia tn d) FF D Khi ni ng vo ca FF RS hay JK nh hnh th s c FF D : ch c 1 ng vo gi l ng vo data(d liu) hay delay(tr hon). Hot ng ca FF D rt n gin : ng ra s theo ng vo mi khi xung Ck tc ng cnh ln hay xung.

=>

Hnh 3.1.20 K hiu khi

Hnh 3.1.21 Dng sng minh ho cho hot ng ca FF D FF D thng l ni chuyn d liu t ng vo D n ng ra Q cung cp cho mch sau nh mch cng, ghi dch nn hn na ng vo D phi ch mt khong thi gian khi xung ck kch th mi a ra ng ra Q, do FF D cn c xem nh mch tr hon, ng D cn gi l delay. e) Mch cht D Cc FF ny bng mc u c th tr thnh mch cht khi chn ck cho mc tc ng lun. Thng dng nht l cht D. Mch c to bi FF D khi thay ng vo ng b bi ng vo cho php (enable : E) tc ng mc cao. Cu to k hiu v bng trng thi nh nhng hnh sau :

Hnh 3.1.22 K hiu khi v bng s tht ca cht D

Hnh 3.1.23 Cu to cht D <v u trang> 2.3 Flip flop khi c thm ng vo trc tip Nh thy cc FF xem xt trn khi cp in s c th xy dng ngay trng thi ca ng ra v n cn tu thuc vo cu trc ca mch v cc yu t ngu nhin khc. V l do ny 2 ng vo mi c thm vo xc nh chnh xc trng thi logic ra lc cp in (m ngun) hay bt c lc no mun, n hon ton c lp vi trng thi logic cc ng vo ng b J, K, R, S, D, T Hnh 3.124 K hiu FF SR v k c xung ng h ck, tcl chng ginh c thm ng Pr v Cl quyn u tin trc ht quyt nh ng ra. Chng c gi l ng vo trc tip (ng vo khng ng b) v t tn l Preset (Pr) c ngha l t trc v Clear (Cl) c ngha l xo Cn phi rng khng c php t chn Pr = Cl = 0 v khi Q = Q' =1 trng thi cm. Chn Pr, Cl khi ny khng c tc dng g, khng xc nh c trng thi ra. Do , nhiu mch FF ch c 1 ng Clear xo mch khi cn m khng c ng Pr; c FF th li khng c c 2 ng ny. V cu trc bn trong ca FF khi ny, 2 ng Pr v Cl s c a vo tng trung gian ca cc FF, nh trong cu to ca IC 74LS76. <v u trang>

3.1 Mch pht hin tun t cc d liu. 3.2 Mch bo ng khi tia sng b ct

3.3 Chia tn 3.4 Lu tr d liu song song 3.5 m 3.1 Mch pht hin tun t cc d liu. Vi 2 tn hiu vo cng mt lc A v B, xc nh tn hiu no vo trc, tn hiu no vo sau (chng hn ai bm chung trc), ta c th dng FF JK (cng NAND khng th xc nh c). Mch trn minh ho cho hot ng ca mch

Hnh 3.1.27 Mch pht hin tun t d liu A v B

FF JK c ng K thp, xo mch ng ra Q thp. By gi nu A a ti ng J m vo trc, th khi B vo sau ng ck s lm Q ln cao. Ngc li, nu A vo sau, th khi B vo trc (ng J khi ny vn thp) s vn ng ra Q thp <v u trang>

3.2 Mch bo ng khi tia sng b ct Hnh minh ho

Hnh 3.1.28 Mch bo ng khi tia sang b ct

Hot ng : o Bnh thng cng tc SW h ng R ca cht SR cao. Chm tia sng n transistor quang lm in tr gia cc B v E ca n gim (tu thuc cng nh sng ri vo). Do S, R ang cao nn ng ra ca cht vn gi nguyn trng thi trc (c xc nh lc m in hay cc yu t khc). xc nh trng thi ban u ta ng SW trong chc lt cho ng R thp. FF ang ch reset, do ng ra Q thp. Khi thit lp li ng ra th cn phi ngt mch bo ng ra(trnh bo ng gi). Khi thit lp xong mi ni li mch, R lc ny cao, ng ra Q thp Khi tia sng b ct, transistor quang c th dn yu hn hay ngt lun do ng S thp (do ni qua tr 47K), cht ch t, do ng ra Q ln cao kch thch mch bo ng hot ng (chng hn loa n, khi ny cng cn thm phn giao tip ti nh ni n bi s dng cng logic ca chng 1) Nu tia sng ch b ct trong chc lt thi (chng hn do c ngi i ngang qua) tc l ng S xung thp trong chc lt ri ln tr li th ng ra Q vn cao tc mch vn bo ng ko di. Mun tt mch bo ng i ta ng SW li R xung thp. Cht ch t li nn ra Q 0 mch bo ng ngt. Phn mch pht quang c th b kch hot bi tip im s chm ca (bo ng t nhp), cm bin d mc nc, nhit (bo ng qu nhit, qu mc nc cho php) <v u trang>

3.3 Chia tn 1 FF JK ch ch lt (J = K = 1). Nu xung vung tn s f c a ti chn Ck ca FF ny th mi cnh ln ca xung Ck, ng ra Q s lt trng thi v phi ch n cnh xung ck tip theo th Q mi lt tr li. Nh vy dng sng ng ra cng l 1 xung vung vi tn s ch cn mt na ca sng vo ng ck. Ta ni rng tn hiu c chia i tn s. Nu mc thm 1 FF th 2 ly xung ck t ng ra Q ca FF th 1 th tng t sng ra s c tn s cn 1 na ca sng ra tng FF u hay bng tn s ca sng a vo FF th nht

Hnh 3.1.29 Chia tn Vi cch mc FF nh trn, nu c n FF th tn s ca sng ra cui cng s ch cn l 1/2n. Thc ra, cch ni FF JK nh trn chnh l FF T. <v u trang> 3.4 Lu tr d liu song song Trong cc h thng s, d liu (s, m hay cc dng thng tin khc) thng c lu tr thnh mt nhm cc bit (m ASCII l nhm 7 bit, s BCD l nhm 4 bit). Do cc FF c mc thch hp s cho php d liu c lu tr v x l ng thi trn cc ng song song. Cch mc cc FF c minh ho nh hnh di:

Mi nhm d liu 3 bit c a ti ng vo ca 2 FF D. Xung ng h s lm cho c 3 FF hot ng ng b v ch khi ck ln cao, d liu mi c a ra ngoi. Nh vy khi ck cha tc ng cnh ln th d liu 3 bit c lu tr trong 3 FF D. Mt nhm cc FF D mc theo cch ny s to thnh thanh ghi dch cho php lu tr d liu song song, m ta s tm hiu k hn phn sau. Hnh 3.1.30 Lu tr d liu song song ( 3bit)

<v u trang> 3.5 m Mt ng dng rt quan trng khc ca FF l m. m l kh nng nh c s xung u vo, n l mt thao tc c bn quan trng v c s dng rng ri, t cc thit b o ch th s n cc my tnh in t s loi ln, gn nh tt c cc h thng s hin i u cng thy c mt n. Cch mc 2 FF JK nh hnh bn cho php m t 1 n 3 (dng m nh phn). Thc ra hot ng ca mch m cng tng t nh chia tn ni trn. Dng sng ng ra s t tr li sau mi 4 chu k xung kch ck u vo. Hnh 3.1.31 ng dng FF lm mch m <v u trang>

4.1 FF JK 4.2 FF D 4.3 Cht D 4.4 FF v cht CMOS

Cc c tnh k thut v in ca FF cng tng t nh ca cc IC cng logic, tu tng loi c khc nhau i cht, bn c th xem c th data sheet ca tng IC. y a ra mt s IC cha FF hay dng. Nh ni, ch c FF JK, FF D v cht D l c tch hp, FF RS b trng thi cm nn c thay th bi FF JK, FF T c to ra d dng khi ni chung 2 ng vo FF JK. Nu cn ta cng c th to ra cc loi trn t cng logic ri, tt nhin cc c tnh khng tt bng chng khi tch hp. 4.1 FF JK

74LS76 l 1 IC cha 2 FF JK trong cng mt v, ng ng h clock (k hiu l CP: Clock Pulse)tc ng cnh xung; n u c c 2 ng vo trc tip l Preset (k hiu chn l SD) v Clear (k hiu chn l CD), trong cu to mch nh hnh di, chng u c a vo tng nand u. Ngi c c th xem thm thng tin phn datasheet 74LS76

Hnh 3.1.32 K hiu khi v cu to bn trong ca 74LS76 Bng trng thi hot ng ca 74LS76

Mt s IC khc : o o o o 7473 74LS73 7476 : 2 FF JK cu to ch t, ck : 2 FF JK thng, ck tc : 2FF JK cu to ch t, ck tc ng cnh ln, c ng xo clear ng cnh xung, c ng xo clear tc ng cnh ln, c c preset v clear 74112/113/114: 2 FF JK ny cnh xung c preset v clear o 74276/LS276 : 4 FF JK dng ck ring v ny cnh xung, chn preset v clear li dng chung. o 74376/LS376 <v u trang> : 4 FF JK dng ck chung ny cnh xung, ch c clear chung

4.2 FF D 74LS74 l 1 IC cha 2 FF D trong cng 1 v, n c ng ng h (CP) tc ng cnh ln c a vo tng cht u; ng xo (CD) v ng t (SD) tc ng cnh xung, c a vo tng cht sau (nh hnh di). Ngi c c th xem thm thng tin datasheet ca 74LS74

Hnh 3.1.33 K hiu khi v cu trc mch ca 74LS74 Bng trng thi hot ng ca 74LS74

Mt s IC khc hay dng o 7474 o o : Hai ff D c ck ny cnh ln, c c preset v clear 74LS175 : 4 FF D c ck chung ny cnh ln, ch c clear dng chung 74LS374 : 8 FF D c ck ny cnh ln, khng c preset hay clear nhng c ng cho php ra chung. Cc ng ra 3 trng thi o o 74LS174 : 6 FF D c chung ng xo MR 74LS377/738/379 : 8/6/4 FF D c chung ng cho php E <v u trang>

4.3 Cht D C74LS375 gm 4 cht D cho php cht d liu 4 bit song song khi ng cho php E01 v E23 thp. E01 cho php cht d liu D0, D1; cn E02 cho php cht d liu D2, D3. Bn c th xem thm thng tin v IC ny datasheet 74LS375

Hnh 3.1.34 K hiu khi v chn ra ca cht D 74LS375

Hnh 3.1.35 Cu trc ca 1 mch cht trong IC 74LS375 Mt s IC khc hay dng o o o o 7475 : 4 cht D 74LS373 : 8 cht D c ng cho php ra chung, cc ng ra l loi ra 3 trng thi 74LS256 : 4 cht D cht a ch 4 bt song song chung ng E v CL 74LS259 : 8 cht D cht a ch 8 bit song song chung ng E v Cl <v u trang> 4.4 FF v cht CMOS l tt c cc loi FF v cht k trn u thuc h TTL v c bn c cu to t cc cng logic TTL xem xt phn l thuyt trn. Ngoi ra cng c cc loi FF v cht khc cu to t cng logic h CMOS. Chng thng c cu to ch t v dng thm cng truyn, do xung ck cng thng tc ng mc ln hay xung (t dng) thay v tc ng cnh ln hay xung. Cu to v bng hot ng ca 1 IC cht CMOS 74HC533 (8 cht trong sut o ra 3 trng thi) nh hnh di. Ngi c c th xem thm thng tin phn datasheet 74HC533

Hnh 3.1.36 K hiu khi v chn ra ca 74HC533 Trong :

Hot ng ca IC c tm tt theo bng sau, cn cu trc mch bn c th xem thm trong phn datasheet

Trong 0* l mc thp trong lc t trc khi LE chuyn t cao xung thp 1* l mc cao trong lc t trc khi LE chuyn t cao xung thp Mt s IC CMOS khc l : o o o o 74HC/HCT75 : 4 cht trong sut 74HC/HCT259 : cht nh a ch 8 bit 74HC/HCT173 : 4 FF D c reset, ra 3 trng thi cnh ln 74HC/HCT377 : 8 FF D c chung ng h v ng vo cho php <v u trang>

Cc khi nim c bn Flip-flop v cc vi mch in hnh Thanh ghi dch Mch m khng ng b Mch m ng b Mch m vng Thit k mch m ng dng mch m

1. Gii thiu phn trc ta c bit n cc loi FF. Chng u c th lu tr (nh 1 bit) v ch khi c xung ng b th bit mi truyn ti ng ra (o hay khng o). By gi nu ta mc nhiu FF ni tip li vi nhau th s nh c nhiu bit. Cc ng ra s phn hot ng theo xung nhp ck. C th ly ng ra tng tng FF (gi l cc ng ra song song) hay tng cui (ng ra ni tip). Nh vy mch c th ghi li d liu (nh) v dch chuyn n (truyn) nn mch c gi l ghi dch. Ghi dch cng c rt nhiu ng dng c bit trong my tnh, nh chnh ci tn ca n: lu tr d liu v dch chuyn d liu ch l ng dng ni bt nht 2. Cu to Ghi dch c th c xy dng t cc FF khc nhau v cch mc cng khc nhau nhng thng dng FF D, chng c tch hp sn trong 1 IC gm nhiu FF (to nn ghi dch n bit). Hy xem cu to ca 1 ghi dch c bn 4 bit dng FF D

Hnh 3.2.1 Ghi dch 4 bit c bn

3. Hot ng Thanh ghi, trc ht c xo (p xung CLEAR) t cc ng ra v 0. D liu cn dch chuyn c a vo ng D ca tng FF u tin (FF0). mi xung kch ln ca ng h ck, s c 1 bit c dch chuyn t tri sang phi, ni tip t tng ny qua tng khc v a ra ng Q ca tng sau cng (FF3). Gi s d liu a vo l 1001, sau 4 xung ck th ta ly ra bit LSB, sau 7 xung ck ta ly ra bit MSB.

Nu tip tc c xung ck v khng a thm d liu vo th ng ra ch cn l 0 (cc FF reset : t li v 0 ht. Do ta phi hng hay ghim d liu li. Mt cch lm l s dng 2 cng AND, 1 cng OR v 1 cng NOT nh hnh di y.

Hnh 3.2.2 Cho php cht d liu trc khi dch ra ngoi

D liu c a vo thanh ghi khi ng iu khin R/W control mc cao (Write). D liu ch c a ra ngoi khi ng iu khin mc thp (Read).

C nhiu cch chia loi thanh ghi dch (SR) - Theo s tng FF (s bit) : SR c cu to bi bao nhiu FF mc ni tip th c by nhiu bit (ra song song). Ta c SR 4 bit, 5 bit, 8 bit, 16 bit C th c SR nhiu bit hn bng cch mc nhiu SR vi nhau hay dng cng ngh CMOS (cc my tnh s dng SR nhiu bit) - Theo cch ghi dch c SISO vo ni tip ra ni tip SIPO vo ni tip ra song song PISO vo song song ra ni tip PIPO vo song song ra song song - Theo chiu dch c SR tri, phi, hay c 2 chiu - Theo mch ra c loi thng v 3 trng thi Loi vo ni tip ra song song v ra ni tip Loi va kho st mc 1 thuc loi ghi dch vo ni tip ra ni tip. y cng l cu trc ca mch ghi dch vo ni tip ra song song. D liu s c ly ra 4 ng Q ca 4 tng FF, v chung nhp ng h nn d liu cng c ly ra cng lc.

Hnh 3.2.3 Mch ghi dch vo ni tip ra song song Bng di y cho thy lm nh th no d liu c a ti ng ra 4 tng FF

Loi c np song song (vo song song) ra ni tip v song song By gi mun a d liu vo song song (cn gi l np song song) ta c th tn dng ng vo khng ng b Pr v Cl ca cc FF np d liu

cng mt lc vo cc FF. Nh vy c th dng thm 2 cng nand v mt cng not cho mi tng. Mch mc nh sau

H3.2.4a Mch ghi dch np song song Mch hot ng bnh thng khi np song song thp nh ni. Khi np song song WRITE = 1 cho php np

ABCD c a vo Pr v Cl t v xo Q0 = A, Q1 = B, Xung ck v ng vo ni tip khng c tc dng (v s dng ng khng ng b Pr v Cl) Mt cch khc khng s dng chn Pr v Cl c minh ho nh hnh di y.Cc cng nand c thm vo np cc bit thp D1, D2, D3. Ng WRITE/SHIFT dng cho php np ( mc thp) v cho php dch ( mc cao). D liu np v dch vn c thc hin ng b nh cc mch trc.

H3.2.4b Mch ghi dch np song song ra ni tip

Vi mch hnh 3.2.4b ng ra d liu l ni tip, ta cng c th ly ra d liu song song nh hnh 3.2.5, Cu trc mch khng khc so vi trn. D liu c a vo cng lc v cng ly ra cng lc (mch nh l tng m v hot ng khi c xung ck tc ng ln.

Hnh 3.2.5 Mch ghi dch vo song song ra song song Ghi dch 2 chiu Nh thy, cc mch ghi dch ni nhng phn trn u a d liu ra bn phi nn chng thuc loi ghi dch phi. c th dch chuyn d liu ngc tr li (dch tri) ta ch vic cho d liu vo ng D ca tng cui cng, ng ra Q c a ti tng k tip, . D liu ly ra tng u. dch chuyn c 2 chiu, c th ni mch nh hnh di y :

Hnh 3.2.6 Mch ghi dch cho php dch chuyn c 2 chiu Vi mch trn, cc cng NAND v ng cho php dch chuyn d liu tri hay phi. Bng di y minh ho cho mch trn : d liu s dch phi 4 ln ri dch tri 4 ln. l th t 4 bit ra b o ngc li so vi chng trn.

Nhn thy rng cc ghi dch m t trn u dng cc FF ri, ri phi thm nhiu cng logic ph to cc loi SR khc nhau. Trong thc t ghi dch c tch hp sn cc FF v ni sn nhiu ng mch bn trong; ngi s dng ch cn phi lm mt s ng ni bn ngoi iu khin cc ng cho php thi. Cc SR cng c tch hp sn cc chc nng nh va c th dch tri dch phi va vo ni tip va np song song. y l mt s ghi dch hay c dng : Lit k 7494 7495/LS95 7495/LS96 74164/LS164 74165/LS765 74166/LS166 74194/LS194 74195/LS195 74295/LS295 74395/LS295 74LS671/672 74LS673/674 : 4bit vo song song, ni tip; ra ni tip : 4 bit, vo song song/ni tip; ra song song; dch chuyn tri phi : 5 bit, vo ni tip/song song; ra song song ni tip : 8 bit vo song song ra ni tip : 8 bit, vo song song/ni tip; ra ni tip b tc : 8 bit; vo song song/ni tip; ra ni tip; c th np ng b : 4 bit vo song song/ni tip; ra song song; np ng b dch chuyn tri phi : 4 bit, vo song/ni tip; ra song song; tng u vo JK : nh 74194/LS194 nhng ra 3 trng thi : 4 bit vo song song; ra song song 3 trng thi : 4 bit c thm cht : 16 bit

Kho st ghi dch tiu biu 74/74LS95

Hnh 3.2.7 S chn ra 74LS95

Hnh 3.2.8 Cu trc bn trong ghi dch 74LS95 S cu to v bng hot ng ca IC nh hnh trn. Cc ch hot ng ca n nh sau : Np ni tip a d liu vo tng u Q0 t iu khin chn mc thp Khi c ck1 hay ck2 th d liu s ln lt np vo ghi dch v s c a ti cc tng sau Np song song D liu vo 4 ng ABCD a iu khin kiu ln cao Khi c ck1 hay ck2 th d liu s c np vo ng thi cc tng ca ghi dch cnh ln u ca xung ck.

Thanh ghi dch ng vai tr cc k quan trng trong vic lu tr, tnh ton s hc v logic. Chng hn trong cc b vi x l, my tnh u c cu to cc thanh ghi dch; trong vi iu khin (8051) cng c cc ghi dch lm nhiu chc nng hay nh trong nhn chia, ALU xt chng 2 ghi dch cng c cp n. y khng i vo chi tit m ch ni khi qut ngn gn v ng dng ca chng. 1. Lu tr v dch chuyn d liu y l ng dng c bn v ph bin nht ca chng. Ghi dch n bit s cho php lu tr c n bit d liu mt thi gian m chng no mch cn c cp in. Hay ni cch khc d liu khi dch chuyn c tr hon mt khong thi gian, n tu thuc vo : - S bit c th ghi dch (s tng FF cu to nn ghi dch)

- Tn s xung ng h 2. To k t hay to dng song iu khin Ta c th np vo ghi dch, theo cch np ni tip hay song song, mt m nh phn ca mt ch no (A, B, ...) hay mt dng sng no . Sau nu ta ni ng ra ni tip ca ghi dch vng tr li ng vo ni tip th khi c xung ck cc bit s dch chuyn vng quanh theo tc ca ng h. Cch ny c th iu khin sng tt ca cc n (sp xp trn vng trn hay cch no khc) Nh m phng sau l dng sng tt ca n led. Vi ti cng sut th cn mch giao tip cng sut nh thm trans, r le, SCR,... ni chng 1 cng s c dng. Cng c th to ra dng sng tn hiu tun hon cho mc ch th mch bng cch ny. Ta c th thay i dng sng bng cch thay i m s nh phn np cho ghi dch, v thay i tn s xung kch ck c cp t mch dao ng ngoi t 0 n 200MHz tu loi mch ghi dch.

Hnh 3.2.10 To dng sng iu khin bi ghi dch 3. Chuyn i d liu ni tip sang song song v ngc li Cc my tnh hay cc b vi x l khi giao tip vi nhau hay vi cc thit b ngoi thng trao i d liu dng ni tip khi gia chng c mt khong cch kh xa. Ngoi cch dng cc b dn knh tch knh 2 u truyn m ta ni chng 2 th ghi dch cng c th c dng. Cc ghi dch chuyn song song sang ni tip s thay th cho mch dn knh v cc ghi dch chuyn ni tip sang song song s thay th cho mch tch knh. Bn cnh ghi dch, cng cn phi c cc mch khc ng b, chng nhiu, r sai nhm thc hin qu trnh truyn ni tip hiu qu.

hnh 3.2.11 Truyn d liu ni tip 4. Bus truyn d liu By gi liu vi 8 ng d liu song song va nhn c t tch knh (cn gi l 1 byte), ta c th dng chung cho nhiu mch c khng? S d c yu cu l v trong my vi tnh c rt nhiu mch lin kt vi nhau bi cc ng d liu a ch gm nhiu bit d liu 8, 16, 32 m ta bit n n vi ci tn l bus. Vy bus chnh l cc ng d liu dng chung cho nhiu mch (chng hn bus gia cc vi x l, cc chp nh bn dn, cc b chuyn i tng t v s, Ch c mt ng bus m li dng chung cho nhiu mch, do trnh tranh chp gia cc mch th cn phi c mt b phn iu khin quyt nh cho php mch no c thng vi bus, cc mch khc b ct khi bus. Vy y thanh ghi hay cc b m 3 trng thi c dng Hnh di minh ho cho ng bus 8 bit ni gia vi x l vi b m 8 bit, bn phm, v b 8 nt nhn

Gi s rng c thit b u cn giao tip vi vi x l, nhng ch c mt ng truyn nu tt c ng lot a ln th c th b nh hng ln nhau gia cc d liu, v thng tin nhn c l khng chnh xc. Do y vi x l s quyt nh: chng hn n t ng OE1 cho php b m cho mch m a d liu ln bus cn chn OE2 v OE3 ngng lm d liu t bn phm v nt nhn b ngt (ch) tc ng ra cc b m hay thanh ghi 3 trng thi trng thi tng tr cao. Tng t khi vi x l cn giao tip vi cc mch khc. Vi tc x l hng trm hng ngn MHz th vic d liu phi ch l khng ng k do gia cc thit b giao tip vi nhau rt nhanh v dng nh ng thi. <v u trang> Cc khi nim c bn Flip-flop v cc vi mch in hnh Thanh ghi dch Mch m khng ng b Mch m ng b Mch m vng Thit k mch m ng dng mch m

1.1 m khng ng b theo h nh phn 1.2 m khng ng b khng theo h nh phn 1.3 Kho st mt s IC m khng ng b Trong nhng phn trc ta c bit n 2 loi mch tun t c bn l mch lt v mch ghi dch; v cng bit rng nhiu FF ni li vi nhau c th hot ng nh mt mch m hay thanh ghi (nh nhiu bit). Nhng mi ch l nhng mch nh c bn, phn ny s cp n chi tit hn cu to, hot ng v nhiu ng dng ca nhiu mch m khc nhau. Phn ln chng dng mch tch hp. H thng s ngy nay s dng kh nhiu loi mch m, c th dng m xung, m sn phm, m lm ng h, nh thi gian v r rng chng l cc mch logic nn chnh xc v d dng thit k hn nhiu so vi cc loi mch tng t. 1.1 m khng ng b theo h nh phn (chia 2) Mch m ln Hnh di y trnh by mt mch m gm 4 FF T mc ni tip. Cc ng vo T (hay J=K) ca c 4 tng FF u trng hay ni ln +Vcc. Xung cn m c a vo ng ck tc ng cnh xung ca tng FF u tin (n c th l mt chui xung vung c chu k khng c nh) Cc ng ra Q ln lt c ni ti ng vo m ck ca tng sau n (nu c). Chng c t tn l Q0 (LSB), Q1, Q2, Q3 (MSB)

Hnh 3.3.1 B m nh phn 4 bit khng ng b c bn

Hnh 3.3.2 Gin thi gian xung ca ng vo v cc ng ra b m : Mch s m nh th no? Mch m thng hot ng trng thi ban u l 0000 do mt xung tc ng mc thp s c p vo ng Cl ca cc tng FF t trng thi ng ra l 0000. Khi xung m ck tc ng cnh xung u tin th Q0 lt trng thi tc l Q0 = 1. cnh xung th 2 ca xung ck, Q0 li lt trng thi mt ln na, tc l Q0 = 0. Nh vy c sau mi ln tc ng ca ck Q0 li lt trng thi mt ln, sau 2 ln ck tc ng, Q0 lp li trng thi ban u, do nu xung ck c chu k l T v tn s l f th xung ng ra Q0 s c chu k l 2T v tn s cn 1/2f. Nh vy xung m ck c chia i tn s sau 1 tng FF. Do Q0 li tr thnh ng vo xung m ca FF th 2 (FF B) nn tng t tn nh vy fQ1 bng mt na fQ0. Vi 4 tng FF th fQ3 = 1/2fQ2 = 1/4fQ1 = 1/8fQ0 = 1/16f Nh vy vi 4 FF ta c 16 trng thi logic ng ra t 0000(010) xung m u tin n 1111 (1510) xung m th 16, tc l tr thp phn ra bng s xung m vo v v vy y l mch m nh phn 4 bit (c 4 tng FF, tn s c chia i sau mi tng) hay mch m chia 16 Mch c xp vo loi mch m ln v khi s xung m vo tng th s thp phn ra tng ng cng tng. Nhng rng ch c 16 trng thi ra nn xung m ck th 16 mch c t ng xo v 0 m li. Mun c nhiu trng thi ra hn th phi ni thm tng FF. Tng qut vi hot ng nh trn nu c n FF th s to ra 2n trng thi ng ra. S trng thi

ng ra hay s lng s m khc nhau cn c gi l Modulus (vit tt : Mod) do , mch m trnh by trn cn gi l mch m mod 16 Bng s tht ca mch m nh phn 4 bit nh sau : S xung vo Xo 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 M s ra sau khi c xung vo Q3 Q2 Q1 Q0 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 Tr thp phn ra 0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 0 1

Nhn vo gin xung v bng trng thi hot ng ca mch m ny ta thy rng khng phi lc no cc trng thi logic cc ng ra u thay i theo nhp xung m ck u vo nn y ch l mch m khng ng b. Gii m mch m Vi b m nh trn th c th lm c g ?

Chc chn l n c nhiu ng dng ri, hy xt qua mt s v d sau : phn mch gii m hin th led 7 on, mch m c ng dng to s m cho mch gii m t 0000(0) n 1010(910) Cn y l 1 ng dng n gin khc : yu cu c t ra l phi bit c mch m n mt s no (chng hn 5) ri hin th ra led. Bn c c th d dng ngh ngay n vic s dng cng logic to trng thi ng ra lm sng led t t hp trng thi logic ng vo khi mch m n 5. Cch mc s nh sau :

Hnh 3.3.3 Gii m mch m hin th ra led Vy l ta c mt tr chi in t n gin theo kiu may mn. Cho b m hot ng, ngi chi s nhn mt nt vo mt thi im bt k ngng cp xung m ck, mch m s dng li con s ang m n. Nu s ny lm n led sng th ngi chi s thng. Tt nhin hon chnh ta cn phi c mt mch dao ng cp xung ck cho mch m chy (bn c th to mch dao ng t cng logic hay mch cht kt hp vi linh kin th ng R, C nh ni phn trc). Mt ng dng n gin khc l dng mch m ny to khong xung vung iu khin ti (chng hn ng c chy hay m van x) trong khong thi gian 3s m t s 7 n s 10 Gii php gii bi ton trn l s dng cng logic to mch gii m s 0111(710) kch ng ra ln cao ri gii m s 1010(1010) kch ng ra xung thp tr li. Hai ng gii m ny c a vo ng Pr v Cl ca mch cht t ng ra ln mc cao khi Pr v xo n khi Cl. Mch thc hin kt ni nh sau :

Hnh 3.3.4 Gii m mch m iu khin ti Trong NAND1 s gii m s 7 cn Nand2 s gii m cho s 10. s m th 7 ca mch m ng ra Nand1 xung thp preset mch cht t Q ln cao. n khi m ti 10 th ng ra nand2 khi ny xung thp (tt nhin Nand1 tr li cao ri) thc hin xo ng ra Q lm Q xung thp. Khi mch m n 7 tr li th khong xung vung li xut hin. N c tnh chu k. Thi gian tn ti xung vung c quyt nh bi tn s (chu k) mch dao ng cp cho xung ck ca mch m, nu Tck = 1s th T = 3s. Do ta c th thay i f mch dao ng thay i khong thi gian iu khin ti. By gi bn hy thit k b tr chi ch cn dng 3 FF T (to 8 trng thi ra). Khi ngi chi nhn dng mch m s 5 hay s 10 th n led s sng. Mch m xung trc l mch m ln ln lt chia 2 tn s, s h 10 ra tng ng l t 0 n 15. Cng c khi cn mch m xung t 15 xung 0 chng hn, cch ni mch s nh th no? Hnh di trnh by cu trc mch m xung nh phn 4 bit. Ng ra Q ln lt ca tng trc s c ni n ng vo ck ca tng sau . Xung m ck vn tc ng mc thp

Hnh 3.3.5 Mch m xung 4 bit khng ng b

Cc ng ra v cch thc xo mch, a xung vo ging nh trc. Ng ra Q ca tng FF u di trng thi i cnh xung ca xung vo cc ng ra khc i trng thi cnh xung ca ng ra Q', tc l cnh ln ca ng ra Q0 ca FF k trc. Dng sng ng vo v cc ng ra cng vi mc logic sau mi xung vo v kt qu s m c trnh by nh hnh di y. rng sau xung ck u tin th mch se m ngay ln s m cao nht l 15 ri dn dn xung 14, cho ti 0 tng cng sau 15 xung ck v ti xung ck th 16 mch s t ng xo v 15 m xung tr li. Hnh di y trnh by c 2 dng sng ca mch m ln v xung bn c th so snh chng thy r hn nguyn l ca s m ln v m xung.

hnh 3.3.6 So snh dng sng m ln v m xung Hy ni dy 4 FF T to ra mch m ln, mch m xung chia 16, c ng ck tc ng mc cao. Hy thay FF T bng FF JK v thit k tng t Thm mt bc na l cng vi tng y FF ta s thit k mch c th m ln m xung u c. Nhn thy mch m ln hay xung l do ni t ng ra ca tng trc ti ng vo ck ca tng sau do y s phi dng mt cng OR cho 2

ng vo. Vic m ln xung c quyt nh bi mt ng iu khin chn ch ln hay xung. Cu trc ca mch s c thit k nh sau :

Hnh 3.3.7 Mch m ln hay xung Mun c c hai dng sng m ln v m xung nh hnh ta c th ly ra cng lc t cc ng o v khng o ca cc tng FF ging nh hnh sau :

Hnh 3.3.8 Mch m ly ra dng sng m ln v m xung Mch m t dng Cc mch m trc t ng quay vng (m ln ht 15 ri reset tr li m t u hay m xung n 0 th reset tr li m t 15 xung) nu tip tc cp xung Ck cho mch m. By gi c mt yu cu l mch s phi dng m mt con s no nh trc (chng hn 10). thc hin n ta phi tm cch dng FF u tin. Mt cch m chng ta dng phn tr chi may mn l ngng cp xung ck vo; nu mun mch t ng lm, c th dng cng logic t hp khng ch ng vo T (chung) ca tng u, cc ng vo cng logic s l cc m s m ca s ang m ti m mun dng. Hnh di y trnh by cch thc hin:

Hnh 3.3.9 Mch m t dng s m 10 < v u trang> 1.2 Mch m khng ng b khng theo h nh phn (chia 2) Vi mch m dng n FF mc ni tip th s mod (s trng thi logic ra) l 2n, v mch s m t 0 n 2n 1 (4 FF m ti 16 trng thi). Trong nhiu trng hp ta cn mch m c s mod khng theo 2n, chng hn m mod 10 (cn gi l mch m thp giai hay mch m chia 10) rt hay dng hin th kt qu m dng h 10, hay v d khc l trong ng h s cn mch m chia 6 v chia 12 hin th gi v pht hay bt k mch m chia mod n no.Thng th trong mch m ln s m tng theo th t lin tc t 0 n 2n 1ri quay v 0 m tr li. Nhng cng c th khng tng theo th t hay th t nhng khng lin tc min l s trng thi n. Tr li mch m t dng hnh trn : khi m ti mt s nh sn (s 10) mch s t dng, vy ta c th cho mch tip tc chy m tr li bng cch a ng ti chn clear thay v a ti chn J, K. Hnh mch m mod 10 c ni nh sau :

Hnh 3.3.10 Mch m mod 10 Cn l xung m ck th 10 khi s m va ln 10 th cc trng thi logic ng ra c a v khng ch ng Cl ngay do c th thy l s 10 khng kp hin ra phi chuyn v 0. Thc t th do thi gian tr hon

gia cc cng logic khong vi ns nn vn c s m 10 trong khong thi gian ny, ta ch quan tm ti nh hng ny khi cn i hi mch hot ng vi chnh xc cao nh trong my vi tnh chng hn. nh hng ca tr hon c th hin r hn qua gin xung sau

Hnh 3.3.11 Tr hon truyn ca mch m khng ng b mod 10 Thc t th cch thit k mch m khng theo h nh phn li dng ng clear nh trn khng c dng do: + Cc ng ra do c ni vi ti khc nhau nh hng n ng a v, ri tr hon truyn qua cc cng logic na s pht sinh xung nhn, cc tng FF s khng c xo ng thi + Hn na ng clear khng cn c t do xo mch lc mong mun. Do vy c mt cch to mch m trn l nghin cu s lin h gia cc trng thi cc ng ra ri th ni chng vi cc ng vo J, K ca tng no cho ti khi tho bng trng thi. Hy xem cch ni nh th no: Trc ht hy nhn vo gin xung ca mch m mod 16. Ti s m th 10 th mch phi reset tr li. - Ng ra Q0 khng thay i g d c c xo hay khng v n theo xung ck - Ng ra Q1 ti phi gi nguyn trng thi trong 2 chu k ca xung ck na do ng J, K phi mc 0 trong khong thi gian ny, ta c th ni t chn Q3 v J1, K1 v lc ny Q3ang mc 0 (n cng ln 1 sau khi b xo) - Ng ra Q2 ti lc xo vn 0 nn khng cn thay i g tng FF 2 - Ng ra Q3 khi xo phi tr li mc 0 ban u, lc ny Q1 cao, Q2 thp ng thi Q0 ang i xung, do c th ni Q0 ti ng ck ca FF 3 v ni cng and t Q1 v Q2

Kt qu ni mch nh sau:

hnh 3.3.12 Mch m mod 10 Cui cng kim tra li thy tho hot ng. Nhng cch ny xem ra kh rc ri v nh l on m. Thc ra n li rt hay, n c mt phng php thit k rt ng v bi bn ta s gp li phn thit k mch m ng b phn sau. < v u trang> 1.3 Kho st mt s IC m khng ng b C rt nhiu IC m khng ng b c h TTL v CMOS. y ch gii thiu mt s IC hay dng : 74LS293 Cu to gm 4 FF JK vi cc u ra Q0 (LSB), Q1, Q2, Q3(MSB), Q0 ring bit cho php mch hot ng linh hot. Cc u vo J, K u c ni mc cao bn trong. Mch c ti 2 u vo xung nhp CP (clock pulse) cng chnh l xung ck m ta bit) cho tng 0 v tng 1 d thit k nhiu ng dng. Hai ng vo khng ng b MR1 v MR2 (master reset) nu cng tc ng mc cao th s hot ng nh chn clear xo mch. S logic v s khi ca IC nh sau :

Hnh 3.3.13a K hiu khi v chn ra ca 74LS293

Hnh 3.3.13b Cu trc mch ca 74LS293 74LS293 l IC m khng ng b nhiu kiu bit ra tu cch mc dy. m mod 16 : Xung nhp vo s vo chn CP0; chn CP1 ni ti ng ra Q0; MR1, MR2 ni chung xung mass mch xo t ng

Hnh 3.3.13c 74LS293 m mod 16 m mod 10 Xung nhp vn vo chn CP0; chn CP1 ni ti Q0 cho s trng thi ln n 10, khi m n 10 Q1, Q3 ln mc cao nn c ni v MR1 v MR2 xo mch

Hnh 3.3.13d 74LS293 m mod 10 m mod 14 CP0, CP1 vn ni dy nh c khi m ti 14 th Q3Q2Q1Q0 l 1110 do phi ni Q3 ti MR1, Q2, Q1 ti MR2 qua cng nand.

Hnh 3.3.13e 74LS293 m mod 14 74LS90, 74LS92, 74LS93 3 IC trn cng cc lot ca n (LS, HC, ) cng rt hay dng. S mch v s chn nh hnh. Cng ging nh 74LS293 tng FF u kh c lp dng linh hot hn, mun m y s trng thi ca IC th cn phi ni ng ra Q0 ti ng vo B; hai ng reset thng ni AND xo mch m khi a ln cao. Khi m ln th cn phi cho 1 trong 2 ng ny ln cao trong chc lt (khong vi mi ns) ri a xung thp tr li. Ring 74LS90 c thm 2 ng reset 9 (R9(0) v R9(1)). Bnh thng mt trong hai hoc c 2 ng ny c gi thp, mun ng ra c s m l 9 th phi a c 2 ng ln cao.Nh vy ta c th dng 74LS93 lm mch m mod 10, mod 12 hay mod 16 ging nh 74LS293 trn. Cch mc dy bn c th d dng lm c.

Hnh 3.3.14a Chn ra IC m 74LS90 74LS92 74LS93

Hnh 3.3.14b k hiu khi ca 74LS90 74LS92 74LS93 Cn khi cn s mod ln ta c th dng 4020 (mod 16384 tc 14 tng FF) hay 4040 (mod 4096 tc 12 tng FF). Do dng nhiu tng FF v li thuc loi CMOS c nn tn s hot ng kh gii hn ch khong 2MHz. <v u trang> Cc khi nim c bn Flip-flop v cc vi mch in hnh Thanh ghi dch Mch m khng ng b Mch m ng b Mch m vng Thit k mch m ng dng mch m

1.1 M ho 8 ng sang 3 ng 1.2 M ho 10 ng sang 4 ng 1.3 M ho u tin M ha v gii m l nh th no? M ha v gii m khng c g xa l v l tt yu trong i sng chng ta. N c dng d nh, d t, d lm,l quy c chung cng c th ph bin cng c th b mt. Chng hn dng ch t tn cho 1 con ng, cho 1con ngi; dng s trong m s sinh vin, trong thi u th thao; quy c n xanh, , vng tng ng l cho php i,ng, dng trong giao thng; ri vit bc th s dng ch vit tt, k hiu ring gi b mt hay phc tp hn l phi m ho cc thng tin dng trong tnh bo, vv Thng tin c m ho ri th khi dng cng phi gii m n v ta ch gii c khi chp nhn, thc hin theo ng nhng quy c, iu kin c lin quan cht ch ti m ho. Trong mch s, tt nhin thng tin cng phi c m ho hay gii m dng s.Trong nhng mc ny, ta s xem xt c th cch thc, cu trc, ng dng ca m ho gii m s nh th no. Trong cc h thng s k c vin thng, my tnh; cc ng iu khin tu chn hay d liu c truyn i hay x l u phi dng s h 2 ch gm 1 v 0; c nhiu ng tn hiu ch c 1 bit nh ng iu khin m ngun cho mch mc 1; ri c nhiu ng a ch nhiu bit chng hn 110100 CPU xc nh a ch trong b nh; ri d liu dng hex gi xung my in cho in ra k t. Tt c cc t hp bit c gi l cc m s (code) hay m. V mch to ra cc m s gi l mch m ho (lp m: encoder). <v u trang> 1.1 M HO 8 NG SANG 3 NG Mch m ho 8 ng sang 3 ng cn gi l m ho bt phn sang nh phn (c 8 ng vo chuyn thnh 3 ng ra dng s nh phn 3 bit. Trong bt c lc no cng ch c 1 ng vo mc tch cc tng ng vi ch mt t hp m s 3 ng ra; tc l mi 1 ng vo s cho ra 1

m s 3 bit khc nhau. Vi 8 ng vo (I0 n I7) th s c 8 t hp ng ra nn ch cn 3 ng ra (Y2, Y1, Y0).

Hnh 2.1.1 Khi m ho 8 sang 3

Bng trng thi mch m ho 8 sang 3

T bng trn, ta c : Y0 = I1 + I3 + I5 + I7 Y1 = I2 + I3 + I6 + I7 Y2 = I4 + I5 + I6 +I7 Da vo 3 biu thc trn ta c th v c mch logic nh hnh di y :

Hnh 2.1.2 Cu trc mch m ho 8 sang 3 <v u trang>

1.2 MCH M HO 10 NG SANG 4 NG Xt mch hnh 2.1.3 Mch gm bn phm 10 phm nhn t SW0 n SW9. Cc phm thng h cc ng I0 n I9 thp do c in tr khong ni xung mass. Trong 1 thi im ch c 1 phm c nhn ng ln cao, cc ng khc u thp. Khi 1 phm no c nhn th s to ra 1 m nh phn tng ng v s lm sng led no ni vi bit 1 ca m s ra . M ny c th c b gii m sang led 7 on hin th. V d khi nhn phm SW2 m s to ra l 0010 v led hin th s 2. Nh vy mch s dng 1 b m ho 10 ng sang 4 ng hay cn gi l mch chuyn i m thp phn sang BCD.

Hnh 2.1.3 Mch m ho 10 sang 4 v n led hin th R rng vi 10 ng vo, 4 ng ra; y l 1 bi ton thit k mch logic t hp n gin s dng cc cng nand nh hnh di y :

Hnh 2.1.4 Cu trc mch m ho 10 sang 4 V y l bng s tht ca mch m ho 10 ng sang 4 ng

Trong thc t h thng s cn s dng rt nhiu loi m khc nhau nh m hex,np cho vi iu khin, m ASCII m ho t bn phm my tnh dng in k t ri n cc m phc tp khc dng cho truyn s liu trn mng my tnh, dng trong vin thng, qun s. Tt c chng u tun theo quy trnh chuyn i bi 1 b m ho tng ng. <v u trang> 1.3 MCH M HO U TIN Vi mch m ho c cu to bi cc cng logic nh hnh trn ta c nhn xt rng trong trng hp nhiu phm c nhn cng 1 lc th s khng th bit c m s s ra l bao nhiu. Do m bo rng khi 2 hay nhiu phm hn c nhn, m s ra ch tng ng vi ng vo c s cao nht c nhn, ngi ta s dng mch m ho u tin. R rng trong cu to logic s phi thm 1 s cng logic phc tp hn, IC 74LS147 l mch m ho u tin 10 ng sang 4 ng, n c tch hp sn tt c cc cng logic trong n. K hiu khi ca 74LS147 nh hnh 2.1.5 bn di:

Hnh 2.1.5 IC74LS147 Bng s tht ca 74LS147

Nhn vo bng s tht ta thy th t u tin gim t ng vo 9 xung ng vo 0. Chng hn khi ng vo 9 ang l 0 th bt chp cc ng khc (X) s BCD ra vn l 1001 (qua cng o na). Ch khi ng vo 9 mc 1 (mc khng tch cc) th cc ng vo khc mi c th c chp nhn, c th l ng vo 8 s u tin trc nu n mc thp. Vi mch m ho u tin 8 ng sang 3 ng, cng c IC tng ng l 74LS148. <v u trang>

2.1 Gii m 3 sang 8 2.2 Gii m 4 sang 10 2.3 Gii m BCD sang led 7 on Mch gii m l mch c chc nng ngc li vi mch m ho tc l nu c 1 m s p vo ng vo th tng ng s c 1 ng ra c tc ng, m ng vo thng t hn m ng ra. Tt nhin ng vo cho php phi c bt ln cho chc nng gii m. Mch gii m c ng dng chnh trong ghp knh d liu, hin th led 7 on, gii m a ch b nh. Hnh di l s khi ca mch gii m

2.1 Gii m 3 sang 8 Mch gii m 3 ng sang 8 ng bao gm 3 ng vo to nn 8 t hp trng thi, ng vi mi t hp trng thi c p vo s c 1 ng ra c tc ng. Bng s tht mch gii m 3 sang 8

Hnh 2.1.6 Khi gii m 3 sang 8 T bng s tht ta c th v c s mch logic ca mch gii m trn

Hnh 2.1.7 Cu trc mch gii m 3 sang 8 Rt gn hm logic s dng mch gii m : Nhiu hm logic c ng ra l t hp ca nhiu ng vo c th c xy dng t mch gii m kt hp vi mt s cng logic ng ra(mch gii m chnh l 1 mch t hp nhiu cng logic c MSI). Mch gii m c bit hiu qu hn so vi vic s dng cc cng logic ri trong trng hp c nhiu t hp ng ra. V d sau thc hin mch cng 3 s X, Y, Z cho tng l S v s nh l C thc hin bng mch gii m : Gi s mch cng thc hin chc nng logic nh bng sau :

X 0 0 0 0 T bng cho php ta xc nh c cc t hp logic ng vo S ri C mc cao 1 1 1 1

Y 0 0 1 1 0 0 1 1

Z 0 1 0 1 0 1 0 1

S 0 1 1 0 1 0 1 1

C 0 0 0 1 0 1 1 1

S(x, y, (1,2,4,7) C(x, y, (3,5,6,7)

z) z)

= =

Nh vy s cn 1 cng OR ni chung cc t hp logic th 1, 2, 4, 7 a ra ng S Tng t ng ra C cng cn 1 cng OR vi ng vo l t hp logic th 2, 5, 6, 7 Vy mch gii m thc hin bng logic trn s c mc nh sau :

Hnh 2.1.11 ng dng mch gii m lm mch cng <v u trang> 2.2 Mch gii m BCD sang thp phn Hnh 2.1.3 din t cho hot ng ca mch m ho nu phm 2 c nhn, ng A2 s c mc cao, m s ra l 0010. By gi ta c m s p ng vo gii m l 0010 th ng ra th 2 tng ng s c tc ng (gi s ni ti 1 n led th s lm n sng). 74LS42 l IC lm nhim v gii m 4 ng sang 10 ng. Cu to logic v bng hot ng ca n s minh ho r hn cho mch gii m ny :

Hnh 2.1.8 K hiu khi ca 74LS42

Hnh 2.1.9 Cu trc mch ca 74LS42, gii m 4 sang 10 Bng s tht ca 74LS42 l v c 4 ng vo nn s c 16 trng thi logic ng ra. y ch s dng 10 trng thi logic u, 6 trng thi sau khng dng. Vi mch gii m 4 sang 16 th s tn dng ht s trng thi ra. Mt im na l cc ng ra ca 7442 tc ng mc thp

V nguyn tc ta c th m ho t n ng sang m ng v ngc li gii m t m ng sang n ng, chc nng gia m ho v gii m khng r rt lm, chng u lm nhim v chuyn i t m ny sang m khc (nhng mch trn u ni n m h 2, thc ra cn nhiu loi m khc). Cng ch c mt s chng c tch hp sn trong IC nh 7441, 7442 l gii m BCD sang thp phn, 7443 l gii m tha 3 sang thp phn, Nhiu mch gii m cn c thm mch chu dng hay th cao hn mch logic TTL thng thng nn cn gi l mch gii m thc Mch sau minh ho cch kt hp mch m s hc chng sau vi mch gii m cung cp cc hot ng nh thi v nh th t, IC gii m thc 7445 c dng v ti l ng c c p ln dng ln ngoi sc cung cp ca cc IC gii m thng

Hnh 2.1.10 ng dng 74LS45 Hnh trn cho thy, mch m to ra 16 t hp trng thi cho mch m ho. Phi 4 chu k xung ck th Q3 mi xung thp, cho php ng c c cp ngun; cn n c m ch sau 8 chu k xung ck. Thi gian m ca ti l 1 chu k xung ck. Ta c th iu chnh thi gian ny t mch dao ng to xung ck. V nguyn tc hot ng ca mch m 74LS90 ta s tm hiu chng sau. <v u trang> 2.3 Gii m BCD sang led 7 on Mt dng mch gii m khc rt hay s dng trong hin th led 7 on l mch gii m BCD sang led 7 on. Mch ny phc tp hn nhiu so vi

mch gii m BCD sang thp phn ni phn trc bi v mch khi ny phi cho ra t hp c nhiu ng ra ln cao xung thp hn (tu loi n led anode chung hay cathode chung) lm cc on led cn thit sng to nn cc s hay k t. Led 7 on Trc ht hy xem qua cu trc v loi n led 7 on ca mt s n c cu to bi 7 on led c chung anode (AC) hay cathode (KC); c sp xp hnh s 8 vung (nh hnh trn) ngoi ra cn c 1 led con c t lm du phy thp phn cho s hin th; n c iu khin ring bit khng qua mch gii m. Cc chn ra ca led c sp xp thnh 2 hng chn gia mi hng chn l A chung hay K chung. Th t sp xp cho 2 loi nh trnh by di y.

Hnh 2.1.12 Cu trc v chn ra ca 1 dng led 7 on

Hnh 2.1.13 Led 7 on loi anode chung v cathod chung cng vi mch thc gii m n led hin th 1 s no th cc thanh led tng ng phi sng ln, do , cc thanh led u phi c phn cc bi cc in tr khong 180 n 390 ohm vi ngun cp chun thng l 5V. IC gii m s c nhim v ni

cc chn a, b,.. g ca led xung mass hay ln ngun (tu A chung hay K chung) Kho st 74LS47 Vi mch gii m trn ta c th dng 74LS47. y l IC gii m ng thi thc trc tip led 7 on loi Anode chung lun v n c cc ng ra cc thu h v kh nng nhn dng ln. S chn ca IC nh sau :

Hnh 2.1.15 K hiu khi v chn ra 74LS47 Trong A, B, C, D l cc ng vo m BCD RBI l ng vo xo dn sng LT l ng th n BI/RBO l ng vo xo hay ng ra xo rn a ti g l cc ng ra (cc thu h)

Hnh 2.1.16 Cu trc bn trong ca 74LS47 v dng s hin th

Hot ng ca IC c tm tt theo bng di y

Nhn thy cc ng ra mch gii m tc ng mc thp (0) th led tng ng sng Ngoi 10 s t 0 n 9 c gii m, mch cng cn gii m c 6 trng thi khc, y khng dng n (ghi ch 2) hot ng gii m xy ra bnh thng th chn LT v BI/RBO phi mc cao Mun th n led cc led u sng ht th ko chn LT xung thp (ghi ch 5) Mun xo cc s (tt ht led) th ko chn BI xung thp (ghi ch 3)

Khi cn gii m nhiu led 7 on ta cng c th ghp nhiu tng IC, mun xo s 0 v ngha trc th ni chn RBI ca tng u xung thp, khi ny chn ra RBO cng xung thp v c ni ti tng sau nu mun xo tip s 0 v ngha ca tng (ghi ch 4). Ring tng cui cng th RBI trng hay mc cao vn hin th s 0 cui cng V d : Hy xem mt ng dng ca mch gii m led 7 on :

Hnh 2.1.14 ng dng mch gii m 74LS47 Mch dao ng to ra xung kch cho mch m, ta c th iu chnh chu k xung mch m nhanh hay chm Mch m to ra m s m BCD mt cch t ng a ti mch gii m c th l cho m ln hay m xung Mch gii m s gii m BCD sang led 7 on hin th s m thp phn

By gi ta c th thay mch dao ng bng 1 b cm bin chng hn dng b thu pht led t ca vo nu mi ln c 1 ngi vo th b cm bin s to 1 xung kch kch cho mch m. Lu rng IC 7490 l IC m chia 10 khng ng b m ta s hc chng sau Nh vy vi ng dng ny ta c h thng m s ngi vo cng cng c th m sn phm qua bng truyn, tt nhin ch hn ch s ngi vo nhiu nht l 9. Khi ny hnh trn c trnh by dng mch c th nh sau :

Hnh 2.1.17 Minh ho ng dng 74LS47 trong mch hin th led 7 on Ta cng c th dng nhiu IC gii m thc 74LS47 gii m thc nhiu led 7 on.V cu trc logic v cc thng s ca IC, c th xem thm trong phn datasheet. Nhng IC gii m thc led 7 on khc Ngoi 74LS47 ra cn c mt s IC cng lm chc nng gii m thc led 7 on c k ra y :

Mt s IC cn c kh nng tng hp mch m, cht v gi m thc trong cng 1 v nh 74142, 74143, 74144 thm ch bao gm c led trong nh HP5082, TIL308. IC gii m thc loi CMOS H CMOS cng c cc IC gii m thc led 7 on tng ng, y gii thiu qua v 4511 4511 c kh nng thc, gii m v cht d liu cng 1 lc. Cc ng ra nh thy trn u tc ng mc cao nn 4511 dng cho gii m led 7 on loi K chung. Cc chn BI, LT cng c chc nng tng t nh bn 74LS47. c bit chn LE cho php cht d liu li khi n cao. V cu trc c sn mch thc 8421 trong n nn 4511 cn c th thc trc tip thc hay thc c ti ln hn nh n kh nng Hnh 2.1.18 K hiu khi v chn ra ca 4511 sng, tinh th lng, hunh quang chn khng Nhng ng dng chnh ca n l mch thc hin th trong cc b m, ng h DVM, thc hin th tnh ton my tnh, thc gii m trong cc b nh thi, ng h khc nhau Bng hot ng ca 4511 nh di y, chi tit v n bn c th xem trong phn datasheet.

<tr v u trang> Mch chuyn i m Mch a hp / gii a hp Mch so snh Php tnh s hc Pht v kim tra tnh chn / l

1.1 Mch dn knh 4 sang 1 1.2 Mt s IC dn knh hay dng 1.3 ng dng mch dn knh

Lm sao 8 ngi 1 u ni v nghe c 8 ngi u bn kia cng mt lc?. Ta khng th dng 8 ng dy kt ni cho 8 ng tn hiu c v tn km, b nhiu gia cc ng dy hay suy gim tn hiu trn ng dy c bit khi khong cch truyn xa ln hay c nhiu hn s ng cn truyn (16, 32, 100,). C 1 cch l ghp cc ng tn hiu li vi nhau gim bt s ng truyn v r rng bn nhn c cng phi tch ng nhn c tr li 8 ng tn hiu ban u nhng khng ln ln gia cc ng tn hiu ghp li th cn phi t cho mi ng mt m ring. Mch in t thc hin chc nng ghp nhiu ng li vi nhau c gi l mch dn knh cn mch in t s tch ng nhn c ra nhiu ng tn hiu ban u c gi l mch tch knh. Mch dn knh v tch knh ngy nay c s dng rt rng ri trong nhiu lnh vc hin i lin quan trc tip ti in t nh ghp tch knh in thoi, knh truyn hnh, truyn d liu ni tip, mng truyn internet, Vi tn s hot ng c ca cc IC mch s hng Mhz tr ln nn cho php ghp truyn c rt nhiu ng tn hiu v d liu i coi nh l ng thi. Phn ny ta s tm hiu v cc mch dn knh, tch knh dng IC s v nhng ng dng lin quan. Mch dn knh l g? Mch dn knh hay cn gi l mch ghp knh, a hp (MultiplexerMUX) l 1 dng mch t hp cho php chn 1 trong nhiu ng ng vo song song (cc knh vo) a ti 1 ng ra (gi l knh truyn ni tip). Vic chn ng no trong cc ng ng vo do cc ng chn quyt nh. Ta thy MUX hot ng nh 1 cng tc nhiu v tr c iu khin bi m s. M s ny l dng s nh phn, tu t hp s nh phn ny m bt k thi im no ch c 1 ng vo c chn v cho php a ti ng ra. Cc mch dn knh thng gp l 2 sang 1, 4 sang 1, 8 sang 1, Ni chung l t 2n sang 1. Mc di s ni n mch dn knh 4 sang 1 1.1 Mch dn knh 4 sang 1

Hnh 2.2.1 Mch dn knh 4 sang 1 v bng hot ng Mch trn c 2 ng iu khin chn l S0 v S1 nn chng to ra 4 trng thi logic. Mi mt trng thi ti mt thi im s cho php 1 ng vo I no qua truyn ti ng ra Y. Nh vy tng qut nu c 2n ng vo song song th phi cn n ng iu khin chn.

Cng ni thm rng, ngoi nhng ng nh trn, mch thng cn c thm ng G : c gi l ng vo cho php (enable) hay xung nh du (strobe). Mch t hp c th c 1 hay nhiu ng vo cho php v n c th tc ng mc cao hay mc thp. Nh mch dn knh trn, nu c thm 1 ng cho php G tc ng mc thp, tc l ch khi G = 0 th hot ng dn knh mi din ra cn khi G = 1 th bt chp cc ng vo song song v cc ng chn, ng ra vn gi c nh mc thp (c th mc cao tu dng mch) Nh vy khi G = 0 S1S0 = 00, d liu I0 s a ra Y S1S0 = 01, d liu I1 s a ra Y S1S0 = 10, d liu I2 s a ra Y S1S0 = 11, d liu I3 s a ra Y do biu thc logic ca mch khi c thm ng G l Y =G.S1S0I0 + G.S1SI1 + G.S1S0I2 + G.S1S0I3 Ta c th kim chng li biu thc trn bng cch : t bng trng thi trn, vit biu thc logic ri rt gn (c th dng phng php rt gn dng ba Kc n. V sau bn c th xy dng mch dn knh trn bng cc cng logic. Cu to logc ca mch nh sau : (lu l trn hnh khng xt n chn cho php G) Nhn thy rng t hp 4 cng NOT a 2 ng iu khin chn S0, S1 vo cc cng AND chnh l 1 mch m ho 2 sang 4, cc ng ra mch m ho nh l xung m cng AND cho 1 trong cc ng I ra ngoi. Vy mch trn cng c th v li nh sau :

Hnh 2.2.2 Cu trc mch dn knh 4 sang Hnh 2.2.3 Dn knh 4 sang 1 t gii m 2 sang 4 1

<v u trang> 1.2 Mt s IC dn knh hay dng

Hnh 2.2.4 K hiu khi ca mt s IC dn knh hay dng 74LS151 c 8 ng vo d liu, 1 ng vo cho php G tc ng mc thp, 3 ng vo chn C B A, ng ra Y cn c ng o ca n : Y. Khi G mc thp n cho php hot ng ghp knh m chn CBA s quyt nh 1 trong 8 ng d liu c a ra ng Y. Ngc li khi G mc cao, mch khng c php nn Y = 0 bt chp cc ng chn v ng vo d liu. 74LS153 gm 2 b ghp knh 4:1 c 2 ng vo chn chung BA mi b c ng cho php ring, ng vo v ng ra ring. Tng t ch khi G mc 0 ng Y mi ging 1 trong cc ng vo tu m chn. 74LS157 gm 4 b ghp knh 2:1 c chung ng vo cho php G tc ng mc thp, chung ng chn A. Ng vo d liu 1I0, 1I1 c ng ra tng ng l 1Y, ng vo d liu 2I0, 2I1 c ng ra tng ng l 2Y, Khi G thp v A thp s cho d liu vo ng nI0 ra nY (n = 1,2,3,4) cn khi A cao s cho d liu vo nI1 ra nY. Khi = 1 th Y = 0

Chng hn vi 74LS153, k hiu khi, chn ra, bng trng thi v cu to logic c minh ho nhng hnh di, vi nhng IC khc cng tng t, bn c th tm thy trong t d liu phn ph lc

Hnh 2.2.5 K hiu khi v chn ra ca 74LS153 Bng s tht ca 74LS53

Hnh 2.2.6 Cu to bn trong ca 74LS153 <v u trang> 1.3 ng dng a) M rng knh ghp Cc mch ghp knh t ng vo c th c kt hp vi nhau to mch ghp knh nhiu ng vo. V d to mch ghp knh 16:1 ta c th dng IC 74LS150 hoc cc IC tng t, nhng c 1 cch khc l ghp 2 IC 74LS151 S ghp nh sau :

Hnh 2.2.7 Hai cch m rng knh ghp 16 sang 1 t IC74LS151 (74LS151 l IC dn knh 8 sang 1) b) Chuyn i song song sang ni tip: Cc d liu nh phn nhiu bit, chng hn m ASCII, word,... thng c x l song song, t l tt c chng c lm 1 lc. Trong my tnh, d liu c di chuyn t ni ny n ni khc cng 1 lc trn cc ng dn in song song gi l cc bus. Khi d liu c truyn i qua khong cch di chng hn hng chc mt th cch truyn song song khng cn thch hp v tn nhiu ng dy, ri nhiu, .... Lc ny mch dn knh c th dng nh mch chuyn i song song sang ni tip tng t nh mch ghi dch m ta xt phn trc. Cch ni

Hnh 2.2.8 Chuyn i d liu truyn t song song sang ni tip

Mch hnh trn cho php truyn d liu 16 bit trn ng truyn ni tip thng qua IC dn knh 74LS150. Tt nhin cn 1 mch m to m s nh phn 4 bit cho 4 ng chn ca mch dn knh (chng hn 74LS93). Mch m hot ng khin m chn thay i t 0000 ri 0001, ri n 1111 v li vng tr li 0000 m ln tip khin d liu vo song song c chuyn i lin tip sang ni tip. Cng cn phi c mt mch dao ng to xung kch cho mch m, nu tn s dao ng to xung kch cho mch m rt ln th d liu c lun chuyn nhanh, v vi tc ln nh vy vi cm nhn ca con ngi th d liu dng nh c truyn ng thi. Nguyn l ny c p dng cho ghp knh in thoi v nhiu ng dng khtransistor c) Dng dn knh thit k t hp: Cc mch dn knh vi hot ng logic nh xt trc ngoi cch dng ghp nhiu ng ng vo cn c th dng thit k mch t hp i khi rt d dng v : Khng cn phi n gin biu thc nhiu Thng dng t IC D thit k Bi ton thit k mch t hp nh bng di y cho thy r hn iu ny V d : Thit k mch t hp tho bng s tht sau T bng s tht ta c biu thc logic l : Y=ABC+ABC+ABC+ABC y l biu thc thuc dng tng ca cc tch. Nh cch thit k trc ta s s dng cc cng logic gm 3 cng NOT, 4 cng NAND, 1 cng OR, cn nu chuyn sang dng ton cng NAND khng th phi cn ti 3 cng NAND 2 ng vo, 4 cng NAND 3 ng vo v 1 cng NAND 4 ng vo cha k l phi n gin biu thc nu c th trc khi thc hin.

By gi ta s s dng IC dn knh 8 sang 1. 3 ng vo A, B, C s c ni ti 3 ng chn ca IC, cn c vo th t t hp trong bng nu Y l 0 th s phi ni ng vo ghp knh tng ng xung mass, cn nu Y l 1 th ni ng vo ghp knh tng ng ln ngun (c th qua R gi tr 1K). Hnh 2.2.9 s minh ho cho cch ni trn v nu bn kim tra li s thy mch hon ton tho iu kin ra ca bi ton.

Hnh 2.2.9 Thit k t hp dng mch dn knh

<v u trang>

2.1 Mch tch knh 1 sang 4 2.2 Mt s IC tch knh 2.3 ng dng Mch tch knh l g? B chuyn mch phn knh hay cn gi l tch knh, gii a hp (demultiplexer) c chc nng ngc li vi mch dn knh tc l : tch knh truyn thnh 1 trong cc knh d liu song song tu vo m chn ng vo. C th xem mch tch knh ging nh 1 cng tc c kh c iu khin chuyn mch bi m s. Tu theo m s c p vo ng chn m d liu t 1 ng s c a ra ng no trong s cc ng song song. Cc mch tch knh thng gp l 1 sang 2, 1 sang 4, 1 sang 8, ...Ni chung t 1 ng c th a ra 2n ng, v s ng chn s phi l n. Mc di s ni n mch tch knh 1 sang 4 2.1 Mch tch knh 1 sang 4

Hnh 2.2.9 Mch tch knh 1 sang 4 Mch tch knh t 1 ng sang 4 ng nn s ng chn phi l 2 Khi ng cho php G mc 1 th n cm khng cho php d liu vo c truyn ra bt k ng no nn tt c cc ng ra u mc 0 Nh vy khi G = 0 BA = 00 d liu S c a ra ng Y0, nu S = 0 th Y0 cng bng 0 v nu S = 1 th Y0 cng bng 1,tc l S c a ti Y0; cc ng khc khng i Tng t vi cc t hp BA khc th ln lt ra S s l Y1, Y2, Y3 Biu thc logic ca cc ng ra s l : Y0 = G.B.A.S Y1 = G.B.A.S Y2 = G.B.A.S Y3 = G.B.A.S T y c th dng cng logic thit k mch tch knh

Hnh 2.2.10 Cu trc ca mch tch knh 1 sang 4

V d : Kho st IC 74LS155

Hnh 2.2.12 K hiu khi v chn ra ca 74LS155 Trong cu trc ca n gm 2 b tch knh 1 sang 4, chng c 2 ng chn A0A1 chung, ng cho php cng c th chung khi ni chn 2 ni vi chn 15). Mt lu khc l b tch knh u c ng ra o so vi ng vo (d liu vo chn 1 khng o) cn b tch knh th 2 th ng vo v ng ra nh nhau khi c tc ng ( d liu vo chn 14 o). Cu trc logic ca mch khng khc g so vi mch xt trn ngoi tr mch c thm ng cho php

Bng s tht ca 74LS155

Mch tch knh hot ng nh mch gii m Nhiu mch tch knh cn c chc nng nh 1 mch gii m. Tht vy,vo d liu S khng c dng nh 1 ng vo d liu ni tip m li dng nh ng vo cho php cn cc ng vo chn CBA khi ny li c dng nh cc ng vo d liu v cc ng ra vn gi nguyn chc nng th mch a hp li hot ng nh 1 mch gii m. Tu thuc m d liu p vo ng C B A m mt trong cc ng ra s ln cao hay xung thp tu cu trc mch. Nh vy mch tch knh 1:4 nh trn tr thnh mch gii m 2 sang 4 . Thc t ngoi ng S khi ny tr thnh

ng cho php gii m, mch trn s phi cn mt s ng iu khin khc cho php mch hot ng gii m hay tch knh; cn cu to logic ca chng hon ton tng thch nhau. Hnh sau cho php dng mch tch knh 1 sang 4 gii m 2 sang 4

Hnh 2.2.13 Mch tch knh hot ng nh mch gii m Tng t ta cng c cc loi mch khc nh va tch knh 1:8 va gii m 3:8, tch knh 1:16/gii m 4:16 <v u trang> 2.2 Mt s IC gii m tch knh hay dng Kho st IC tch knh/gii m tiu biu 74LS138 o 74LS138 l IC MSI gii m 3 ng sang 8 ng hay tch knh 1 ng sang 8 ng thng dng v c hot ng logic tiu biu, n cn thng c dng nh mch gii m a ch trong cc mch iu khin v trong my tnh. o S chn v k hiu logic nh hnh di y :

Hnh 2.2.14 K hiu khi v chn ra ca 74LS138 o Trong o o o o A0, A1, A2 l 3 ng a ch ng vo E1, E2 l cc ng vo cho php (tc ng mc thp) E3 l ng vo cho php tc ng mc cao O0 n O7 l 8 ng ra (tc ng mc thp )

Hnh 2.2.15 Cu trc bn trong 74LS138 o Hot ng gii m nh sau : a d liu nh phn 3bit vo C, B, A(LSB), ly d liu ra cc ng O0 n O7; ng cho php E2 v E3 t mc thp, ng cho php E1 t mc cao. Chng hn khi CBA l 001 th ng O1 xung thp cn cc ng ra khc u cao. o Hot ng tch knh : D liu vo ni tip vo ng E2, hay E3 (vi ng cn li t thp). t G = 1 cho php tch knh. Nh vy d liu ra song song vn ly ra cc ng O0 n O7. Chng hn nu m chn l 001th d liu ni tip S s ra ng O1 v khng b o. o M rng ng gii m : 74LS138 dng thm 1 cng o cn cho php gii m a ch t 5 sang 32 ng ( dng trong gii m a ch ca my vi tnh). Hnh ghp ni nh sau :

Hnh 2.2.16 Ghp 4 IC 74LS138 c mch gii m 5 ng sang 32 ng

Cc IC gii m tch knh khc: o o Ngoi 74LS155 v 74LS138 c ni n trn ra cn mt s IC cng c chc nng gii m/tch knh c k ra y l 74139/LS139 gm 2 b gii m 2 sang 4 hay 2 b tch knh 1 sang 4, chng c ng cho php (tc ng mc thp) v ng chn ring o o o 74154/LS154 b gii m 4 sang 16 ng hay tch knh 1 sang 16 ng 74159/LS159 ging nh 74154 nhng c ng ra cc thu h 74155/LS155 nh kho st trn : gm 2 b gii m 2 sang 4 hay 2 b tch knh 1 sang 4. c bit 74155 cn c th hot ng nh 1 b gii m 3 sang 8 hay tch knh 1 sang 8 khi ni chung ng cho php vi ng vo d liu ni tip v ni chung 2 ng chn li vi nhau. o o 74156/LS156 ging nh 74155 nhng c ng ra cc thu h. Cng ngh CMOS cng c cc IC gii m/tch knh tng ng nh bn TTL chng hn c 74HC/HCT138,...Hn th na nhiu IC h CMOS cn cho php truyn c d liu s ln d liu tng t. Mt s IC c k ra y l o o o 74HC/HCT4051 dn/tch knh tng t s 1 sang 8 v ngc li 74HC/HCT4052 dn/tch knh tng t s 1 sang 4 v ngc li 74HC/HCT4053 dn/tch knh tng t s 1 sang 2 v ngc li o Kho st IC 4051 o o o o Khi dn knh d liu vo chn COM OUT/IN, ra 3 knh CHANNEL I/O t 0 n 7. Ngc li, khi tch knh th d liu song song vo cc chn CHANNEL I/O 0 n 7 v ra chn COM OUT/IN; 3 ng chn l A, B, C. Chn INH (inhibit) cho php d liu c php truyn ra. o Hot ng ca IC c tm tt nh bng sau :

Hnh 2.2.17 Chn ra 4051 o Cu trc logic mch kh phc tp nh hnh di y

Hnh 2.2.18 Cu trc mch ca 4051 <v u trang> 2.3 ng dng Dng mch tch knh thit k mch logic o Cng ging nh mch dn knh, mch tch knh hay gii m cn c th dng thit k mch logic t hp. Nu nh vic thit k t hp dng mch dn knh khng dng thm cc cng logic th vi mch tch knh hay gii m t hp li phi thm vo mt s cng logic mi t c logic t hp mong mun. Nhng b li, mch tch knh/gii m cho php thit k t hp nhiu ng ra mt cch d dng. V d sau s minh ho r hn vn ny : o Cho bng s tht nh hnh sau :

Nu s dng cch c, ta s xy dng ba K, ri rt gn, vi 4 ng vo, 4 ng ra, xem ra vic rt gn kh di v phc tp. Dng IC 74154 (gii m 4 sang 16, tch knh 1 sang 16), th bi ton s n gin hn.

Tht vy, trc ht cn ni 4 ng vo A, B, C, D ti 4 ng chn ca IC tch knh, ri da vo bng s tht trn ,ta xc nh cc v tr t hp lm Y0 ln 1. Bn mch gii m/tch knh ta s ni cc ng ra tng ng vi v tr t hp ti Y0. V c tt c 5 ng ra ln 1 nn cui cng Y0 s l NAND ca 5 ng ra y. Tng t vi cc ng ra Y1, Y2, Y3. Cch ni mch nh hnh di y

Hnh 2.2.19 ng dng mch tch knh thit k t hp o Nu trong 1 ct ng ra m s bit 0 nhiu hn s bit 1 th ta s dng cng NOR gom tt c cc ng bit 0 y.

Chia s ng truyn o phn trc ta ni n ng dng ca mch dn knh cho php chuyn i d liu t song song sang ni tip v truyn i. Khi d liu n ni cn nhn, chng hn my tnh khc th n cng x l d liu dng song song. Do li phi cn 1 mch chuyn i t d liu ni tip n thnh d liu song song v y mch gii m/tch knh c dng

Hnh 2.2.20 Truyn d liu ni tip o l mch gii m/tch knh bn nhn cng phi cn m chn p vo cc ng DCBA, m ny c ly t mch m bn truyn, do d liu bn truyn i v bn nhn li mi ng b nhau. Nh vy ta s cn 5 ng dy gm 1 ng truyn d liu ni tip, 1 ng mass chung v 4 ng m s chn. Ngoi ra do mch m t ng reset khi m ht m (ln 1111) lm d liu c truyn lin tc nn ta cn phi c 1 mch cht ng ra song song chn d liu li khi 16 bit truyn mi cho ra mt lt o Thc ra th cch truyn ny vn cha hiu qu lm,ch dng khong cch gn, ta vn c th gim bt s dy chuyn i na (thay v 6 ng dy nh trn). Tht vy, thay v truyn i ti 4 ng cho m s chn t mch m ta s ch truyn i 1 ng xung ng h chung tc l bn nhn s t thm 1 mch m na to m s chn cho b gii m/tch knh v mch m ny c cp xung ck ging nh mch m ca bn truyn. Cch hay hn na l truyn xung ck ngay trn ng truyn ni tip, tt nhin ta phi m ho xung ck li n khng ln ln vi d liu truyn v bn

nhn cng phi c 1 mch pht hin v tch xung ck ra khi d liu nhn. y c gi l cch truyn tin (d liu) ni tip ng b (synchronuous data transmission). Ngoi ra cn c cch truyn tin ni tip khng ng b tc l bn truyn v bn nhn khng dng xung ng h nh nhau, hay ni cch khc d liu truyn v nhn khng ng b nhau. 2 cch truyn ny ta s c tm hiu r hn nhiu mn hc truyn s liu, giao tip my tnh o Cng cn ni thm rng cc ng vo ca mch dn knh

khng ch l 1 byte, 1 word d liu song song cn truyn m c th l cc ng tn hiu ring l, chng hn mt s ng ly t cm bin nhit ca l nhit, ca cc gian phng chng chy n; mt s khc l t cm bin d mc cht lng, mt s khc li t cc cng tc tip im khi b tc ng s to mc tn hiu logic phn hi, .....Tt c u c thu thp chuyn i v dn li truyn v ni cn thit chng hn phng gim st iu khin. Ti y cc thng tin c tch tr li v x l, hin th v tnh trng ca ni ang gim st thu thp chng hn c k t nhp ca, c khi c th xy ra chy, mc nc, nhit vt qu mc cho php hay tt c vn bnh thng. Nh vy y c th c s dng cho h thng theo di an ninh t xa. <tr v u trang> Mch chuyn i m Mch a hp / gii a hp Mch so snh Php tnh s hc Pht v kim tra tnh chn / l

2.1 Mch cng na 1 bit 2.2 Mch cng 1 bit 2.3 Mch cng nhiu bit

2.1 Mch cng na Gi A l s c cng B l s cng S l tng ca A v B C l s nh ra t php cng Trc ht n gin, xt mch cng nh phn 1 bit Vi t hp 4 trng thi logic ca A v B th trng thi logic ng ra S v C nh sau:

Hnh 2.3.7 Cng na Rt gn biu thc logic ta c S = AB + AB C = AB Nhn thy S l ng ra ca cng EXOR 2 ng vo A v B; cn C l ng ra ca cng AND T y v c cu trc logic ca mch

Hnh 2.3.8 Mch cng na y l mch cng na hay cng cha (haft adder : HA) v cha c s nh ban u ca php cng trc . <v u trang> 2.2 Mch cng By gi gi s mch thc hin php cng ln u ri nn c tng l S0 v s nh C0, nu tip tc cng ln 2 khi trng thi logic ca A v B

thay i th S khng ch l tng ca A v B m gm c C0 trc . Khi ny ta c mch cng : full adder (FA)

Hnh 2.3.9 Cng

Rt gn biu thc ta c S = C0 (A B) C = AB + (A B) Cu trc ca mch logic s l :

Hnh 2.3.10 Mch cng Nhn thy rng : FA thc ra bao gm trong n 2 HA v cng OR ng ra cho s nh C C 2 mch HA v FA trn mi ch lm php cng 1 bit <v u trang> 2.3 cng nhiu bit Cng song song By gi nu 2 s cng c nhiu bit hn th cch cng cng s tng t : trc ht cng 2 bit LSB cho bit tng (LSB). S nh c a ti cng chung vi 2 bit k tip bit LSB cho bit tng hng k tip cho n php cng cui cng gia 2 bit MSB c bit tng hng , s nh khi ny tr thnh bit LSB ca tng Hnh sau s minh ho r rng hn mch cng nhiu bit (4 bit)

Hnh 2.3.11 Cng 4 bit y l mt mch cng song song v cc hng c cng cng mt lc tuy nhin nh cu trc mch trn th cc bit ra ca tng khng phi l ng thi bi v cc php cng cc bit cao th chm hn do phi ch bit nh php cng trc a ti. Tc l c tr hon lm gim tnh ng b ca mch. Nu thm vo mch cho php cung cp sn cc bit nh phc v cho cc php cng cc hng c cng lc th s khc phc c im ny. Vi cng ngh tch hp cao, vic thm mch cung cp sn cc bit nh tr nn d dng hn khi mch tr thnh mch cng c s nh nhn trc. Mt s IC lm php cng ton phn c k ra y l 7480 (1bit), 7482 (2bit), 7483/LS83/283 (4bit) V d : xt qua IC 74LS83

Hnh 2.3.12 K hiu khi v chn ra 74LS83 Trong 2 s 4 bit vo l A4A3A2A1 v B4B3B2B1 S nh ban u l C0 Vy tng ra s l C4S4S3S2S1, vi C4 l s nh ca php cng Ta cng c th ni chng IC cng li vi nhau cho s bit gp i. Khi bit MSB (C4) ca tng u c ni ti ng vo nh ban u (C0) ca tng sau.

Hnh 2.3.13 Mch logic ca 74LS83 Bng s tht ca mch cng 4 bit 74LS83

Cng ni tip Ngoi cch cng song song nh thy trn, cn mt dng mch cng s nhiu bit na gi l mch cng ni tip. Khi ny 2 bit LSB ca cc s c cng trc, bit LSB ca tng c a ra 1 ghi dch cn s nh s quay tr v cng chung vi 2 bit k tip bit LSB v c vy cho n 2 bit cui cng c cng. Mch ghi dch ng ra dch chuyn sang phi qua mi ln cng s cho ra kt qu cng s nh cui cng tr thnh bit MSB ca tng ra. R rng mch thc hin php tnh chm hn so vi cng song song, n cng cn 1 xung nhp gi cho cc mch lm vic ng b

Hnh 2.3.14 Mch cng 4 bit ni tip <v u trang>

3.1 Mch tr na v tr 3.2 Mch tr nhiu bit 3.3 Mch cng tr kt hp

3.1 Mch tr na v tr Cng gm 2 loi mch tr na hay cha : haft subtractor (HS) v tr hay cn gi l tr bn phn : full subtractor (FS) (khi ny cn bit mn Bi trc tham gia vo php tnh) Php tr thc ra l php cng vi s m. c s m ca 1 s ta ly b 1 ca s , cn khi thc hin mch th l cng o Hnh 2.3.15 l mch tr HS v bn cnh l bng trng thi

Hnh 2.3.15 Mch tr na, bng trng thi v mch logic

Cn hnh 2.3.17 l mch tr FS cng bng trng thi hot ng bn cnh

hnh 2.3.17 Mch tr V cu trc mch tr cng tng t mch cng ch khc l s b tr B cn phi qua cng o khi thc hin AND vi s tr A cho s mn R. Mch tr FS cng gm 2 mch tr HS v cng OR ng ra cho s mn B0

Hnh 2.3.18 Mch tr ht <v u trang> 3.2 Mch tr nhiu bit Vi mch tr nh phn nhiu bit, cng c th thc hin song song cc mch cng FS tng bit nhng cc bit ca s b tr cn c o, s nh ca tng cui cn em v bit nh ban u ca tng u. Hnh minh ho cho mch tr nh phn 4 bit

Hnh 2.3.19a Mch tr 4 bit song song <v u trang> 3.3 Mch cng tr kt hp By gi nu thm vo mt s cng logic cn thit ta c 1 mch c th cng hay tr tu theo ng vo iu khin CT Khi CT = 0, cc cng EXOR c 1 ng thp nn cho s B qua khng b o, tc l mch thc hin php cng Khi CT = 1, cc cng EXOR c 1 ng cao nn hot ng nh 1 cng NOT, s B b o, khi ny mch thc hin php cng A + (-B) tc l php tr. Co3 l bit LSB ca tng c vng tr li (qua cng AND) v Ci0; s cho php cng nhiu bit.

Hnh 2.3.19b Tr 4 bit ni tip

Hnh 2.3.21 Mch cng tr dng b 1

Ngoi cch dng b 1, ta cng c th dng b 2 (ly b 1 ri cng thm 1) thc hin php ton tr nh phn k c s c du. Cch ny c s dng ph bin VXL v my tnh. Hnh 2.3.22 l mch cng tr 2 s 4 bit dng b 2. l mch kh ging nh n cch dng b 1 nhng bit nh ra cui cng khng cn em v tng u. Tng hay hiu ra dng b 2, mun ly ng kt qu th phi chuyn tr li. Khi mch cng tr nh phn 4 bit dng b 2 s nh Hnh 2.3.22 Mch cng tr dng b sau : 2

<v u trang>

4.1 Cng hai s BCD 4.2 Tr hai s BCD 4.1 Cng 2 s BCD S BCD thc ra cng l s nh phn n bit nhng ch c 10 t hp trng thi t 0000 n 1001 (biu th s thp phn tng ng l t 0 n 9) nn cch cng cng tng t nh cng s nh phn nhiu bit. Tuy nhin khi tng vt qu 1001 th tc l tng khng cn l s BCD na, do ta phi cng tng vi 0110 (s 610) cho tng mi l s BCD ng thi s nh chnh l hng cao hn ca tng. V d nh cng 2 s BCD sau:

Hnh 2.3.23 Mch cng 2 s BCD 1 bit 2 s cn cng l A3A2A1A0 v B3B2B1B0 cho tng l C3S4S3S2S1 (C3 l hng chc nu c). Nu tng u vt qu 9 ( t 10 n 18) th cc cng logic s cho php xc nh hng chc ng thi tng ny phi c cng thm 6 tng 74LS83 th 2 cho tng cui cng dng BCD. Nu tng khng vt qu 9 (vn l s BCD) th tng hng chc khng c nn 74LS83 th 2 s cng tng ny vi 0, tng ra khng c g thay i. Ta c th ghp nhiu mch cng trn c mch cng 2 s BCD nhiu bit, khi ch vic ni ng ra hng chc ca tng u ti ng vo s nh Ci ca tng sau l c. <v u trang> 4.2 Tr 2 s BCD Vi php tr BCD, ta phi ly b 9 ca s tr ri mi lm php cng li vi s b tr. Ly b 9 ca 1 s tc l ly 9 tr i s . chng 1 c ni r hn v php tr BCD V d v php tr BCD : 9 5 v 26

Hnh 2.3.24 Mch tr 2 s BCD 1 bit <v u trang> Bi tp

1. T mch cng hai s BCD 1 s hnh 2.3.23, hy v mch cng hai


s BCD 2 s. 2. Thit k mch cng BCD cng 52 vi18. 3. Gii thch y mch tr BCD hnh 2.3.24. Cho A = 9, B = 5 kim tra. Nu A>B th sao?

5.1 Mch nhn 2 s nh phn V nguyn l, l php cng nhiu ln. Cch nhn 2 s nh phn xin xem li chng 1. Mch hnh 2.3.25 minh ho cho mch nhn 2 s nh phn 4 bit A v B. Mch gm ghi dch 4 bit cha s c nhn A, ghi dch 5 bit cha s nhn B, ghi dch 6 bit cha kt qu nhn (cn gi l b tch lu : accumulator). 5 cng And s to tch tng phn ca cc cp bit v 6 b cng ton phn to tch cui v s nh tng ng.

Hnh 2.3.25 Cu trc 1 mch nhn 2 s nh phn V d v php nhn 2 s A = 1101v B = 1011: S nhn (A): S b nhn B : Tch tng phn Tch cui : 1101 (1310) 1011 (1110) 1101 1101 0000 1101 10001111 (14310)

5.2 Mch chia 2 s nh phn Cn vi mch chia 2 s nh phn, nguyn tc l tr lin tip cho kt qu, bn c th xem thm phn mch chia nh phn chng 1, hnh mch kh phc tp nn khng c trnh by y. Bi tp 1. Thc hin php nhn 17 x 12 dng nh phn v chuyn i sang h thp phn kim tra. 2. Hy th nhn s c phn l phn s v d 2,7 x 1,2 3. Trng hp nhn s c du th sao? Ly -27 x 12 lm v d.

ALU l thnh phn quan trng ca CPU trong my tnh, n c th thc hin nhiu php tnh s hc v logic da trn d liu thng bao gm php cng, tr, and,or, exor, dch chuyn, tng gim dn v c php nhn, chia. Ngoi ra cng c mt s ALU sn xut dng IC ri, tt nhin chng khng th lm y cc chc nng nh ALU trong VXL. Sau y, ta hy xem qua 2 ALU ri hay dng. 6.1 ALU 74LS181 A0 A3 : d liu nh phn 4 bit vo (A = A3A2A1A0) B0 B3 : d liu nh phn 4 bit vo CYN : s nh ban u vo S0 S3 : M s chn (S = S3S2S1S0) ALU.

Hnh 2.3.26 Khi ALU 74LS181 (B= B3B2B1B0) (tc ng mc thp) chn chc nng ca

M iu khin kiu (ch ) hot ng logic (M =1) hay s hc (M = 0). Q0 Q3 : d liu nh phn 4 bit ra tc ng thp (Q = Q3Q2Q1Q0). CYN + 4 s nh ra (tc ng thp). php tr n ch du ca kt qu : o o Logic 0 ch kt qu dng. Logic 1 ch kt qu m dng s b 2.

Ng s nh vo Cn v ng s nh ra CYN+4 cho php ni chng nhiu IC 74LS181. A = B : logic 1 ng vo ny ch A = B, logic 0 ch A B. G (carry generate output) v P (carry propagate input) : hai ng ny c dng khi ni chng cc IC 74LS181. Hot ng logic ca 74181 c trnh by bng chc nng di y

6.2 ALU 74LS382 ALU 74LS/HC382 cng l loi 4 bit nhng c 3 ng chn chc nng nn c t chc nng logic s hc hn 74LS181. N cng c ng vo s nh CN, ng ra s nh CYN+1 nh 74LS181 nhng c thm ng ra ch bo trn overflow trong lc khng c mt s ng ra khc nh 74LS181. Khi dng s c du ng overflow s ln 1 khi php cng hay tr to s vt qu s c du 4 bit. Ng s nh vo v s nh ra cng cn dng ni chng nhiu IC 74382.

Hnh 2.3.27 K hiu khi ALU 74LS382 v bng hot ng <tr v u trang> - Mch chuyn i m - Mch a hp / gii a hp

- Mch so snh - Php tnh s hc - Pht v kim tra tnh chn / l

D liu dng s khi c lu tr, x l hay truyn t my ny qua my khc c th b li. Nh khi truyn d liu i xa qua mi trng in thoi, dy cp, khng gian c th b nh hng bi nhit , nhiu ng dy, in t hay do lu ngy cc b x l, b chuyn i c mt sai st nh s lm thay i d liu. V d : trong 1 khi d liu c ch A m ASCII l 1000001 s b sai thnh 100000 ,bit sai c th l bt c 1 bt no khc. Mc d xc sut lm sai ch 1 bt trong c khi d liu l rt nh ( trung bnh khong 100000 bit mi c 1 bit sai) nhng trong nhiu trng hp i hi phi tht chnh xc hay gim ht mc nhng sai st nh ny. C nhiu mch c th pht hin sai v sa li, bn s gp li ni dung ny k hn trong mn Truyn s liu, y xin ni ti mch to kim parity. C 2 dng mch chnh l parity chn v parity l. C 2 u c s dng. Vi parity chn : d liu trc khi truyn i s c m tng s bit o o Nu tng chn, bit parity 0 c thm vo trc mi khi d liu truyn. Nu tng l th bit parity 1 c thm vo ( n chn) u nhn d liu, mch s kim tra tng khi d liu nhn c xem c tng s bit l chn hay khng. Nu khng th tc l c 1 bit no trong khi d liu b sai. Ngc li l mch truyn ng Vi parity l th ngc li khi d liu phi c lm l trc khi truyn.

V d : Truyn 1 khi d liu 4 bit 1101 c s dng mch to kim parity r sai c minh ho nh hnh di y :

Hnh 2.3.28 Mch to kim Parity Gi s mch parity chn c dng. Nhn thy rng tng s bit truyn l 3 (l) nn bit parity 1 c thm vo cho chn. Nh vy, d liu truyn i s c 5 bit l 11101 Mch to parity trn s dng 3 cng XNOR kim tra s bit chn hay l, cn bn nhn mch kim parity dng 4 cng XNOR r sai, nu d liu truyn ng th ra Q = 0, nu truyn sai th ra Q = 1. Khi ny, mch nhn c th truyn v tn hiu bo truyn sai cho my gi n truyn li khi d liu b li ny. Nhn thy rng nu khi d liu truyn b sai ti 2 bit (xc sut ny l rt rt nh) hay bit parity truyn sai th mch parity mt tc dng. Cc mch x l iu khin hay truyn d liu thng c sn khi to kim v thm ch c th sa li lun. Cn khi dng mch ri th IC 74180 v h ca n l thng dng nht

Hnh 2.3.29 K hiu khi 74180 v bng hot ng y l IC to kim 8 bit t D0 n D7, bit parity c th dng l chn hay l. 2 ng ra l EVEN (l ra) v ODD (chn ra). 2 ng PE (l vo) v PO (chn vo) dng trong trng hp cn ni chng nhiu IC c mch to kim nhiu bit hn. Cch ni s l a t ng ra chn v ng ra l ti ng vo chn v vo l. 2 ng vo l v vo chn cng nh 2 ng ra l v ra chn phi khng c bng nhau khi kim parity. Khi ng vo parity no khng dng th phi ni mc thp.

Hnh di y l cch s dng 74180 lm mch kim parity l cho 9 bit d liu vo (gm c bit parity).

Hnh 2.3.30 Mch kim Parity 9 bit dng 74180 1 IC pht kim 8 bit chn l khc cng hay c dng l 74LS280 Bi tp 1. Hy dng parity l cho mch to kim hnh 2.3.28. Q = 1 hay 0 th d liu b truyn sai? 2. V mch kim Parity chn 9 bit dng 74180 3. mch to chn l hnh di y, chng t ng chn S cho php chn Parity chn hoc l, chng t mch ny c th dng nh mch kim Parity.

4. Thit k mch to Parity l ch dng cng XNOR. 5. Thit k h thng to/kim Parity dng Parity l cho d liu 16 bit cng vi 1 bit Parity (s dng IC 74LS180). <tr v u trang> Mch chuyn i m Mch a hp / gii a hp Mch so snh Php tnh s hc Pht v kim tra tnh chn / l

Ngy nay chng ta rt quen thuc vi my vi tnh, l 1 h thng vi x l hon ho. Trong cu trc ca VXL c 1 thnh phn rt quan trng l ALU (Arithmetic logic unit : b logic v s hc). N c th tnh ton hng ngn, triu php tnh trong 1s. Cu to nn VXL li gm cc mch m, thanh ghi, cng logic v c cc mch so snh cng tr nhn chia s hc gi l cc mch lm ton. Khng nhng th cc mch lm ton cn c s dng trong in t ni chung k c iu khin t ng, truyn d liu chng hn nh khi thu nhn d liu t bn ngoi th cn phi c mch tnh ton, so snh cho tn hiu phn hi. Phn ny s tm hiu v cc mch lm ton c bn v gii thiu qua v ALU. y khng ch l kin thc cn bit khi hc mch s m cn l nn tng c th tip cn lnh vc my tnh v VXL m ta s gp khi hc hay tm hiu cc mn VXL, vi iu khin, cu trc my tnh, truyn s liu...

1. Mch so snh Trc ht hy xem 1 v d ca vic cn thit phi dng mch so snh : l vic iu khin nhit ca 1 l nhit nh hnh di y : 1 b cm bin s chuyn i tnh trng nhit ca l thnh tn hiu in tng ng vi 1 nhit xc nh. B so snh lm nhim v so snh tn hiu va a v vi tn hiu ci t.Tu theo tn hiu ng ra, s ra quyt nh c cu chp hnh gia tng, gim, hay gi nguyn nhit thm ch c th kt hp bo ng hin th v tnh trng ca l.

Hnh 2.3.1 H thng iu khin nhit l n gin, gi s tn hiu a v l A, ch c 2 mc logic l cao v thp (tn hiu s 1 bit). Tn hiu em so snh l B (tn hiu ci t) S c 3 trng hp xy ra cho ng ra : A > B khi A = 1 v B = 0 A < B khi A = 0 v B = 1 A = B khi A = 1 = B hay A = 0 = B T y xy dng bng s tht cho 3 trng hp ng ra t t hp trng thi 2 ng vo ra nh sau : Bng 2.3.1 So snh 2 s 1 bit

Nhn thy Trng hp A = B l ng ra ca 1 cng EXNOR 2 ng vo A v B Trng hp A < B l ng ra ca 1 cng AND 2 ng vo A v Trng hp A > B l ng ra ca 1 cng AND 2 ng vo v B y c gi l mch so snh ln 1 bit. Cu trc mch s nh sau :

Hnh 2.2.2 Khi so snh 1 bit

Hnh 2.2.3 Mch so snh 1 bit

By gi dng tn hiu vo mch so snh khng phi ch c mc cao hay mc thp (1 bit) m l mt chui cc xung vung th mch khi ny phi l mch so snh ln nhiu bt. Hnh thc so snh ca mch 4 bit cng ging nh mch 1 bit v r rng l phi so snh bit MSB trc ri mi li dn. 7485/LS85 l 1 IC tiu biu c cha mch so snh 4 bit K hiu khi ca IC nh hnh, cn s chn c th xem trong phn datasheet

Hnh 2.3.4 Mch so snh ln 4 bit 74LS85 Bng 2.3.2 Bng s tht ca 74LS85

Nhn vo bng s tht ca IC ta c th thy c hot ng ca mch 8 trng hp u mch so snh bnh thng, ln lt so snh t bt cao trc. Khi tt c cc bit ca 2 ng vo u bng nhau th phi xt n logic ca cc ng vo ni chng (c dng khi ghp chng nhiu IC c s bit so snh ln hn). Logic cc ng vo ny thc ra l ca cc ng ra tng so snh cc bit thp (nu c). Trng hp ng vo ni chng no ln cao th ng ra tng ng cng ln cao.Trng hp cc bt trc khng so snh c th cc ng ra sau cng u thp. Trng hp khng c tn hiu ng vo ni chng th tc l d liu ng vo A v B khc nhau nn ng ra A < B v A> B u mc cao. Vy mch so snh ng 4 bit th nn ni ng ni chng A = B mc cao Hnh sau y cho cch hiu d hn vi cc ng vo ni chng khi ghp 2 IC 74LS85.

Hnh 2.3.5 Ni chng 2 IC 74LS85 c mch so snh ln 8 bit V d sau ng dng mch so snh vo tr chi n gin : Ngi chi s nhn nt SW trong chc lt to mt s xung kch t mch dao ng; khi mch m s cho m s B3B2B1B0 ngu nhin. Mt m s c ci sn (chng hn dng cng tc to mc logic cho A3A2A1A0 l 0110) s so snh vi s m ngu nhin va vo. Nu chng bng nhau n vng s sng, nu A > B n sng, cn khi A < B th n xanh sng. Nh vy c th t ra quy nh l n vng sng th ngi chi thng chng hn. Mch dao ng c th dng IC 555 hay dng cng logic nh chng trc cng c.

Hnh 2.3.6 ng dng tr chi n gin dng mch so snh 74LS85 <tr v u trang> Mch chuyn i m Mch a hp / gii a hp Mch so snh Php tnh s hc Pht v kim tra tnh chn / l

You might also like