You are on page 1of 139

LABORATOIRE INTERFACES CAPTEURS ET MICORELECTRONIQUE

Ecole Doctorale IAEM Lorraine


Dpartement de Formation Doctorale Electronique- Electrotechnique

THESE DE DOCTORAT
Prsente pour obtenir le grade de docteur de lUniversit Paul Verlaine Metz

Discipline: Electronique
Spcialit : Microlectronique

Modlisation, simulation et optimisation des architectures de rcepteur pour les techniques daccs W-CDMA par

Mazen YOUSSEF

Soutenance prvue le 08 Juin 2009 10h Composition du jury Mohammad SAWAN Patrick GIRARD Abbas DANDACHE Camille DIOU Francis BRAUN Fabrice MONTEIRO Pr., cole Polytechnique de Montral canada Pr., Universit Paul Verlaine -Metz MC, Universit Paul Verlaine - Metz Pr. Universit Louis Pasteur - Strasbourg Pr., Universit Paul Verlaine - Metz (Rapporteur) (Directeur de thse) (Co-encadrement) (Examinateur) (Examinateur)

Directeur de Recherche au CNRS, LIRMM -Montpellier (Rapporteur)

_______________________________________________________________________________
LICM 07 rue Marconi, 57070 Metz, France Tl. +33 (0)3 87 54 73 06 / 11 Fax : +33 (0)3 87 54 73 07 www.licm.sciences.univ-metz.fr

SOMMAIRE
INTRODUCTION GENERALE---------------------------------------------------------------------- 5 CHAPITRE 1 : TECHNIQUES DACCES AU MEDIA -------------------------------------- 9
1. 2. 2.1. 2.1.1. 2.1.2. 2.1.3. 2.2. 2.3. 2.3.1. 2.4. 3. 3.1. 3.2. 3.3. 3.3.1. 3.4. 3.5. 3.6. 3.6.1. 3.6.2. 3.6.3. 3.7. 4. 4.1. 4.2. 4.3. 5. 5.1. 5.1.1. 5.1.2. 5.1.3. 5.2. 5.3. 6. Introduction ----------------------------------------------------------------------------------------- 9 Transmission numrique de linformation ---------------------------------------------------- 9 Diffrents modles de rseaux-------------------------------------------------------------------- 10 Le modle OSI ------------------------------------------------------------------------------------- 10 Le modle TCP/IP --------------------------------------------------------------------------------- 12 Le modle UIT-T (ATM) ------------------------------------------------------------------------- 13 Arrangement fonctionnel des couches physique et liaison --------------------------- 14 Sous-couche de contrle daccs au canal (MAC)--------------------------------------------- 16 Politiques dallocations---------------------------------------------------------------------------- 16 Conclusion ------------------------------------------------------------------------------------------ 19 talement de spectre ----------------------------------------------------------------------------Principes de ltalement de spectre -------------------------------------------------------------Proprits de ltalement de spectre ------------------------------------------------------------talement de spectre par squence directe (DS-CDMA) ------------------------------------Principes du DS-CDMA -------------------------------------------------------------------------talement de spectre avec saut de frquence --------------------------------------------------talement de spectre avec saut de temps-------------------------------------------------------Proprits du CDMA -----------------------------------------------------------------------------Contrle de puissance ----------------------------------------------------------------------------Rcepteur multi trajet (RAKE) ------------------------------------------------------------------Handover -------------------------------------------------------------------------------------------Avantages et inconvnients de ltalement de spectre----------------------------------------Interface daccs large bande CDMA (W-CDMA) ---------------------------------------Caractristiques du W-CDMA ------------------------------------------------------------------Flexibilit et extensibilit de services dans le W-CDMA------------------------------------Flexibilit doprateur dans W-CDMA --------------------------------------------------------Description gnrale de la chane de transmission de W-CDMA ----------------------talement de spectre et la modulation radio dans le W-CDMA ----------------------------Proprits des codes utiliss pour ltalement de spectre ------------------------------------Code de canalisation ------------------------------------------------------------------------------Code dembrouillage -----------------------------------------------------------------------------Modulation QPSK --------------------------------------------------------------------------------Caractristiques du canal de propagation ------------------------------------------------------19 20 21 22 23 27 28 29 29 30 30 32 33 34 36 36 37 38 39 40 42 45 46

Conclusion ----------------------------------------------------------------------------------------- 47

CHAPITRE 2 : ARCHITECTURES DE RECEPTEURS W-CDMA ---------------------49


1. 2. 2.1. 2.1.1. 2.1.2. 3. Introduction --------------------------------------------------------------------------------------- 49 Architecture de rception ----------------------------------------------------------------------Partie analogique ----------------------------------------------------------------------------------Rcepteur htrodyne-----------------------------------------------------------------------------Rcepteur homodyne -----------------------------------------------------------------------------49 50 51 52

Convertisseur analogique/numrique -------------------------------------------------------- 53

4. 4.1. 4.2. 4.2.1. 4.2.2. 4.2.3. 4.3. 4.3.1. 4.3.2. 4.3.3. 5. 5.1. 5.2. 6. 6.1. 6.2. 6.2.1. 6.2.2. 6.2.3. 7. 7.1. 7.2. 7.3. 7.3.1. 7.3.2. 7.3.3. 7.4. 8.

Partie bande de base ----------------------------------------------------------------------------Filtre dimpulsion adapt-------------------------------------------------------------------------tape dextraction et didentification des trajets multiples ----------------------------------Dtecteur de trajets multiples--------------------------------------------------------------------Doigts du rcepteur RAKE ----------------------------------------------------------------------Gnrateur de code -------------------------------------------------------------------------------tape de combinaison des trajets multiples ----------------------------------------------------Estimation de canal -------------------------------------------------------------------------------Combinaison ratio maximal (MRC) ----------------------------------------------------------Dsentrelacements et dcodage canal -----------------------------------------------------------

54 55 56 56 58 58 59 59 61 62

Trajets multiples et Diversit------------------------------------------------------------------- 62 Trajets multiple------------------------------------------------------------------------------------- 62 Diversit--------------------------------------------------------------------------------------------- 64 Rcepteur RAKE --------------------------------------------------------------------------------Concept original du rcepteur RAKE [Price et Green]---------------------------------------Rcepteur RAKE dans le CDMA ---------------------------------------------------------------Architecture conventionnelle du rcepteur RAKE--------------------------------------------Architecture du rcepteur FlexRAKE----------------------------------------------------------Rcepteur Time Multiplexed Parallel RAKE--------------------------------------------------Dtection multi-utilisateur en W-CDMA ---------------------------------------------------Interfrence daccs multiple --------------------------------------------------------------------Dtection multi-utilisateurs ----------------------------------------------------------------------Techniques de dtection multi-utilisateur------------------------------------------------------Techniques de dtections individuelles amliores o dtecteurs linaires ---------------Techniques dannulation dinterfrence--------------------------------------------------------Dtection conjointe -------------------------------------------------------------------------------volutions applicatives des techniques de dtection utilises par lUTRA----------------65 66 67 68 69 70 72 72 73 73 74 74 75 76

Conclusion ----------------------------------------------------------------------------------------- 77

CHAPITRE 3 : ARCHITECTURE DU RECEPTEUR CODERAKE---------------------79


1. 2. 2.1. 2.2. 2.3. 2.3.1. 2.3.2. 2.3.3. 2.3.4. 2.3.5. 3. 4. Introduction --------------------------------------------------------------------------------------- 79 Extraction et identification des trajets multiples ------------------------------------------Problme li au systme W-CDMA ------------------------------------------------------------Problmes lis aux doigts du rcepteur RAKE------------------------------------------------Concept architectural du rcepteur CodeRAKE----------------------------------------------Architecture du dtecteur CodePath ----------------------------------------------------------Doigts du rcepteur CodeRAKE ---------------------------------------------------------------Buffer dchantillons (SB) -----------------------------------------------------------------------Unit de dstalement ----------------------------------------------------------------------------Gnrateur de code du rcepteur CodeRAKE ------------------------------------------------79 80 80 82 83 84 85 90 92

Avantages de l'architecture du rcepteur CodeRAKE ----------------------------------- 94 Conclusion ----------------------------------------------------------------------------------------- 95

CHAPITRE 4 : SIMULATION ET IMPLANTATION DE LARCHITECTURE DU RECEPTEUR CODERAKE --------------------------------------------------97


1. 2. Introduction --------------------------------------------------------------------------------------- 97 Flot de conception -------------------------------------------------------------------------------- 97

3. 3.1. 3.2. 4.

Simulation sous MATLAB/Simulink --------------------------------------------------------- 98 Ralisation de la chane de transmission -------------------------------------------------------- 98 Calcul du taux derreur binaire (BER) --------------------------------------------------------- 100

Implantation de larchitecture CodeRAKE ----------------------------------------------- 103 4.1. Paramtres de limplantation -------------------------------------------------------------------- 104 4.2. Implantation de ltape dextraction et didentification des trajets multiples pour le rcepteur mobile (UE) --------------------------------------------------------------------------------------------------- 104 4.2.1. Architecture simple du rcepteur CodeRAKE------------------------------------------------ 104 4.2.2. Architectures parallles du rcepteur CodeRAKE ------------------------------------------- 109 4.3. Implantation de ltape dextraction et didentification des trajets multiples pour le rcepteur de la station de base (BS) ------------------------------------------------------------------------------------ 115 4.3.1. Fonctionnalit ------------------------------------------------------------------------------------- 115 4.3.2. Analyse de l'architecture ------------------------------------------------------------------------- 117 5. Conclusion ---------------------------------------------------------------------------------------- 118

CONCLUSION GENERALE --------------------------------------------------------------------- 121 TABLE DES FIGURES ---------------------------------------------------------------------------- 125 LISTE DES RFRENCE ------------------------------------------------------------------------ 127 LISTE DES PUBLICATIONS -------------------------------------------------------------------- 135 RESUME ANGLAIS/FRANAIS---------------------------------------------------------------- 137

Introduction gnrale

Introduction gnrale

Le domaine des tlcommunications et des rseaux a connu profonds changements, et une volution rapide durant les dix annes coules. Avec lapparition de nouvelles technologies en tlcommunications, les normes modernes deviennent de plus en plus strictes en termes de qualit de service rendu aux clients. Mais sur le plan technologique en particulier, les rseaux de transmission ont vu leur capacit saccroitre, notamment concernant le dbit support et le nombre dutilisateurs. De plus, lintgration des services et la diversit des donnes changes (voix, vido haute dfinition, consultation interactive de base de donnes, Internet haut dbit) exigent des systmes de plus en plus rapides pour traiter des volumes dinformations en augmentation constante ; tous ncessitent toujours plus de vitesse, ainsi que des capacits de traitement du signal accrues, en conservant des dlais dattente les moins contraignants possibles pour lutilisateur. En bref, de la qualit de service rendue en termes de communication, quelles que soient les conditions dmission et de rception, se dgage deux mots cls : fiabilit et rapidit. Dautre part, laspect financier impos la ralisation dun projet peut tre un facteur dterminant dans le choix dune technologie donne, le but tant de satisfaire le cahier des charges avec un cot minimum afin dtre comptitif sur le march en assurant la meilleure rentabilit et en proposant des solutions originales et efficaces. Concernant les systmes de tlcommunication, diffrents niveaux de traitement peuvent tre considrs. Entre le niveau applicatif, qui concerne directement lutilisateur, et le moyen physique de transmission, pour lesquels seuls des signaux et les composantes lmentaires des donnes sont pris en compte, les algorithmes mis en uvre nont pas les mmes objectifs, ni les mmes contraintes de performances. lextrmit du dispositif de tlcommunication se trouvent les couches les plus basses de la chane de transmission, celles qui sont charges de produire un signal reprsentatif des donnes transmettre et adapt au mdia, en permettant sa transmission vers son destinateur, et aussi charg de rcuprer le signal de donnes au rcepteur adapt au signal transmis. Ce sont les couches basses qui sont les plus sensibles aux changements de protocoles de transmission, car elles doivent produire et rcuprer un signal dont les caractristiques physiques et temporelles sont trs dpendantes des spcifications du canal de communication. Aussi, les lments de rception requirent pour leur conception une attention toute particulire afin de ne pas brider la vitesse de traitement quils sont capables datteindre ; augmenter leur surface et donc leur consommation limiterait leur champ dapplication et les possibilits dvolution. Des systmes complexes de codage, modulation, dcodage, dmodulation, et des mthodes daccs sont donc apparues pour exploiter au maximum les capacits des mdias de transmission, et les architectures dmetteur et de rcepteur qui en dcoulent ont elles aussi d voluer pour supporter les

Introduction gnrale cadences de traitement plus leves. Habituellement, ces architectures reposent sur des composants numriques compatibles avec les hautes frquences de fonctionnement. Mais limplantation de ces technologies est chre et difficile adapter lvolution des normes, des algorithmes ou des protocoles utiliss. Pour cela, la dmocratisation des circuits programmables de type FPGA (Field Programmable Gate Array) et leur adquation aux petites sries ainsi que laugmentation de leurs performances font quils ne sont plus seulement utiliss des fins de prototypage avant implantation sur silicium, mais aussi comme cible finale dune chane de conception. Ils prsentent de nombreux avantages : en particulier leur faible cot, mais aussi le fait doffrir une capacit dvolution importante aux systmes, permettant par consquent de sadapter rapidement aux changements de protocoles frquents dans le domaine des tlcommunications. En outre, ils sintgrent parfaitement dans la chane de conception dun systme o la rutilisation de blocs fonctionnels devient primordiale avec laugmentation de la complexit de ceux-ci et des cots et temps de dveloppements inhrents. En effet, la capacit de transport de donnes est simultanment lie aux limites physiques des systmes de transmission, aux techniques mises en place pour le partage des ressources entre les utilisateurs et aux traitements du signal reu. Pour obtenir une densification du trafic des donnes sur les rseaux de tlcommunications, des techniques daccs multiple sont utilises, comme laccs par rpartition de temps, appel Time Division Multiple Access (TDMA), ou laccs multiple par rpartition de frquence, aussi connue sous le nom de Frequency Division Multiple Access (FDMA). Laccs multiple par rpartition de code, ou Code Division Multiple Access (CDMA), est une technique de multiplexage dfinie comme talement de spectre. Cette dernire tait initialement destine aux applications militaires. Elle permet, par ltalement de la puissance sur une large bande de frquence du canal, de mieux rsister aux vanouissements (fading) slectifs en frquence et de donner au signal transmettre la forme dun bruit le rendant difficilement dtectable par des rcepteurs auquel le message nest pas destin. Un autre avantage est celui de la rsistance que confre ltalement de spectre aux brouilleurs pouvant apparatre en cours de transmission. Pour le CDMA, lutilisation de squences dtalement comme codes permettant de distinguer les diffrents utilisateurs donne, de plus, lavantage dexploiter simultanment lensemble de la bande de frquence est des intervalles de temps. Il en rsulte une meilleure gestion des ressources disponibles. Les conditions poses sur lorthogonalit des squences de code permettent de rduire les interfrences entre utilisateurs [VITE04 & VANG04]. En fait, le CDMA est la base de la norme IS-95-B ou cdmaOne et cdma2000 utilises dans les pays dAmrique de nord et de la norme UMTS (W-CDMA) de la troisime gnration de tlphonie mobile europenne. Les tudes lies la transposition des techniques CDMA dans les systmes de communication datent de ces vingt dernires annes. Voulant profiter de la trs large bande passante disponible sur le

Introduction gnrale canal, le CDMA a aussi pour ambition daugmenter la capacit de multiplexage en augmentant le nombre dutilisateurs au prix dune dgradation supportable de la qualit de liaison, et en exploitant simultanment les intervalles de temps et la bande de frquence. Le CDMA offre lavantage potentiel de permettre une transmission synchrone des diffrents signaux (comme dans le cdma2000) ainsi quune transmission asynchrone (comme le W-CDMA), sans configurations de protocoles et rfrences externes de temps [OJAN98 & ERIC00]. Dans la liaison descendante et la liaison montante qui utilisent la technique daccs CDMA, les trajets multiples peuvent tre considrs comme un avantage. En effet, chaque trajet est porteur de la mme information, et nous pouvons donc les combiner afin dobtenir un signal unique dont la puissance serait renforce. Cette ide est le principe mis en uvre dans les rcepteurs RAKE utiliss dans les systmes de tlcommunication bass sur le CDMA. Le principe de ce rcepteur est trs simple, et il existe diffrentes implantations de cette architecture dont les seules diffrences se situent au niveau de la performance mesure : la vitesse de traitement quils sont capables datteindre, la surface ncessaire leur implantation, notamment en cas dutilisation de codes multiples pour chaque utilisateur, ou encore dans le cas dun environnement multi-utilisateur. Dautre part, les utilisateurs dune mme cellule possdent des codes d'talement orthogonaux. Lorsque le canal de transmission est slectif en frquences, il apparat de linterfrence entre symboles, de linterfrence entre les utilisateurs et par consquent une destruction de l'orthogonalit entre les codes des utilisateurs. Les rcepteurs bass sur des techniques d'galisation linaire du canal, en ce qui concerne le chip, permettent alors de combattre l'interfrence entre symboles due au canal et de rtablir au moins partiellement l'orthogonalit entre les utilisateurs. Ces rcepteurs sont constitus d'un galiseur linaire ralis au chip suivi d'un filtre adapt au code d'talement de l'utilisateur recherch [BOSS95 & WERN]. Le contexte de cette thse se situe en ce qui touche le dveloppement de larchitecture haut dbit dun rcepteur utilis dans les techniques daccs CDMA. En effet, les rcepteurs actuels requirent des dbits trs levs et une surface adapte aux diffrentes applications (station de base ou station mobiles). Ils doivent en outre prsenter des possibilits de redimensionnement et la complexit croissante avec l'augmentation du nombre d'utilisateurs ou du nombre de codes par utilisateur doit rester raisonnable. Lobjectif principal de ce travail est donc de concevoir une architecture rapide et configurable pour un rcepteur RAKE de faible cot et haut dbit permettant un traitement optimal des donnes. Ce rcepteur RAKE pourra ensuite tre intgr dans le rcepteur de la station de base ou de la station mobile avec le dtecteur de chemins multiples et lestimateur de canal. Lobjectif impos par le cahier de charges est de proposer un systme de rception complet en rpondant au maximum possible toutes les demandes par la satisfaction des points suivants :

traitement rapide des donnes (haut dbit) ;

Introduction gnrale

architecture extensible et configurable en fonction de lenvironnement ; utilisation de technologie faible cot.


Le deuxime objectif vise dvelopper une architecture complte de rcepteur pouvant tre ultrieurement implante sur un FPGA. Dans le contexte des tlcommunications, le traitement du signal reu reste la partie la plus complique traiter. En pratique, le rcepteur RAKE est le rcepteur le plus utilis, soit dans la station mobile en cas de code multiple par utilisateur, soit dans la station de base pour la dtection multiutilisateur. De plus, diffrentes tudes [LEE02, HARJ01, BIAN03 & CHUG05] ont dmontr que la performance du rcepteur RAKE classique surpasse celle des autres types de rcepteurs comme les rcepteurs bass sur des techniques d'galisation linaire. Cest pourquoi le rcepteur RAKE est utilis dans le technique CDMA. Quant laugmentation de la vitesse de traitement, deux solutions sont envisageables. Une solution directe consiste choisir en fonction des besoins sans prendre en compte le facteur cot ou le redimensionnement et la complexit de systme, solution qui ne rentre pas dans le cadre des objectifs globaux de ce travail. Une deuxime solution consiste trouver de nouvelles architectures permettant davoir un dbit convenable avec un faible cot sans compliquer le systme, ce qui est en adquation avec les objectifs fixs. La solution propose consiste dvelopper un modle RTL (Register Transfert Level) dune architecture de rcepteur et ensuite valuer la performance en termes de dbit de traitement et de surface consomme aprs synthse sur FPGA. Dans cette thse, nous avons propos une nouvelle architecture de rcepteur RAKE (CodeRAKE) compatible avec le changement du nombre dutilisateurs et du nombre de codes par utilisateur, et qui offre un bon compromis concernant le dbit et la surface adapte la paralllisation. Puis nous avons dvelopp une architecture de dtecteur de trajets multiples compatible avec notre rcepteur RAKE.

Chapitre 1 : Techniques daccs au mdia

Chapitre 1 : Techniques daccs au mdia

1. Introduction
Le dveloppement des systmes de communication est limit par les contraintes sur la capacit de ces systmes, cest--dire l'augmentation du nombre d'accs simultans au canal de transmission, surtout parce que le spectre radio disponible est limit, ce qui oblige utiliser les ressources disponibles plus efficacement. Le dfi est de savoir comment transmettre plus de bits par seconde et par hertz. Un aspect important de cette question est de savoir comment le moyen commun de transmission est partag entre les utilisateurs. Cest--dire le plan d'accs multiple. Ce chapitre prsente en premier lieu une chane de transmission de signaux numriques, afin de situer et prciser limportance de la fonction daccs multiple, replace dans son contexte. Cette fonction se situe des positions dterminantes ce qui implique quelle est parmi les lments les plus sujets des volutions importantes comme, par exemple, le dbit impos par les protocoles de communication. Pour comprendre et aborder les difficults que doivent affronter les rcepteurs numriques lors du traitement dun signal haut dbit, nous allons expliquer les principes des diffrentes couches rseau, et aussi les diffrentes techniques daccs multiple. En effet, la mthode daccs multiple la plus rpandue parmi les nouvelles gnrations de systmes de communication est le CDMA (Code Division Multiple Access), technique daccs multiple utilise par les rseaux daccs radio de la 3G [RAPP02]. Effectivement, les concepts tudis dans ce chapitre serviront dintroduction aux chapitres suivants o les technologies de rception feront lobjet dune tude plus approfondie.

2. Transmission numrique de linformation


Les systmes de transmission numrique de linformation vhiculent des donnes entre deux entits lmentaires que sont la source et la destination. Ces donnes circulent par le biais dun support physique qui peut tre un cble, de la fibre optique ou un faisceau hertzien [TANE03]

Chapitre 1 : Techniques daccs au mdia

2.1. Diffrents modles de rseaux


Il tait ncessaire de disposer dune norme internationale pour permettre linterconnexion des rseaux. Pour cela, des efforts de modlisation ont t effectus pour permettre de sparer en niveaux les diffrents types de fonctionnalit dun systme de traitement numrique de linformation, plus particulirement dans le cadre de transmissions rseau. Il existe trois principaux modles : le modle OSI (Open System Interconnection), le modle TCP/IP (Transmission Contrle des Protocol/ Internet Protocol) et le modle UIT-T (Union International des Tlcommunications).

2.1.1. Le modle OSI


LISO (International Standard Organization) a dvelopp une norme pour linterconnexion des systmes ouverts appele OSI. Cette architecture hirarchique, connue sous le nom ISO/OSI , est compose de sept couches distinctes remplissant chacune une partie bien dfinie des fonctions ncessaires linterconnexion. Le modle OSI dcrit des niveaux de transmission, mais non les protocoles proprement dits. Il divise lensemble des protocoles en sept couches indpendantes entre lesquelles sont dfinis deux types de relations : les relations verticales entre les couches dun mme systme (interfaces) et les relations horizontales relatives au dialogue entre deux couches de mme niveau (les protocoles). Les couches 1, 2, 3 et 4 sont orientes transmission et les couches 5, 6, et 7 sont orientes traitement (figure 1.1).
7 Application

Prsentation

Session

Transport

Rseau Liaison de donnes Physique

Figure 1.1: Modle rfrence OSI

1) La couche application (7) fournit les protocoles et les fonctions ncessaires pour les applications clientes ; 2) La couche prsentation (6) se charge de la reprsentation des informations que des entits dapplications se communiquent, ou auxquelles elles se rfrent au cours de leur dialogue. En effet, cette couche permet deux machines de communiquer mme lorsquelles utilisent des reprsentations des donnes diffrentes. Elle gre des structures de donnes haut niveau idales pour accomplir cette tche ;

10

Chapitre 1 : Techniques daccs au mdia 3) La couche session (5) fournit les services ncessaires ltablissement dune connexion de session entre deux entits de prsentation et la prise en charge des interactions ordonnes dchange de donnes. Elle assure lorganisation et la synchronisation du dialogue ; 4) La couche transport (4) garantit l'intgrit des donnes. Lune des tches principales de cette couche est daccepter des donnes de la couche suprieure et de les diviser en units plus petites : il sagit de lopration de fragmentation. Elle offre un service rel de bout en bout de la source la destination, indpendante du chemin effectif utilis entre les machines. De plus, cette couche vrifie et corrige les erreurs de transmission (modification, pertes, duplication), et ralise le contrle de flux, en surveillant la saturation du destinataire ; 5) La couche rseau (3) gre les connexions entre les nuds du rseau. Pour le modle OSI, il existe deux mthodes principales dacheminement : la commutation de circuits et la commutation de paquets. Cest cette couche qui gre les congestions sur les nuds du rseau ; 6) La couche liaison de donnes (2) prend les donnes de la couche physique et fournit ses services la couche rseau . Elle dcompose les donnes de lmetteur en trames de donnes puis les envoie de faon squentielle. Diffrentes mthodes permettant de protger les donnes contre les erreurs sont utilises, comme les codages de dtection et de correction derreurs. Dans les rseaux canal partag, cette couche soccupe aussi de contrler laccs au canal par lintermdiaire dune sous-couche MAC (Medium Access Control). 7) La couche physique (1) est responsable de la transmission des informations. Elle excute les fonctions ncessaires l'adaptation des cellules la trame de transmission. Nous pouvons dire que cette couche fournit les moyens mcaniques, lectriques, fonctionnels et procduraux ncessaires lactivation, au maintien et la dsactivation des connexions physiques destines la transmission de bits entre deux entits de liaison de donnes. Cest en ce qui concerne le fonctionnement de la sous-couche MAC et de la couche physique que nous nous intresserons plus en dtail dans la suite, car ce niveau quinterviennent en particulier les mthodes daccs multiple et les oprations de modulation et dmodulation numrique (talement, dstalement).

11

Chapitre 1 : Techniques daccs au mdia

2.1.2. Le modle TCP/IP


TCP/IP dsigne communment une architecture rseau qui sest impose comme modle de rfrence en lieu et place du modle OSI (figure 1.2). Cela tient tout simplement son histoire. En effet, contrairement au modle OSI, le modle TCP/IP est n d'une implantation ; la normalisation est venue ensuite. Cet historique fait toute la particularit de ce modle, ses avantages et ses inconvnients. Par consquent, la segmentation en couches indpendantes dOSI nest pas prsente de faon aussi stricte dans TCP/IP. Le modle TCP/IP permet simplement de positionner les protocoles existants et futurs dans un cadre thorique.
OSI
7 Application

TCP/IP

Application 6 Prsentation

Session

Transport

Transport

Rseau

Internet (IP)

Liaison de donnes Accs rseau

Physique

Figure 1.2: Modle rfrence TCP/IP

La couche application est immdiatement suprieure la couche transport , tout simplement parce que les couches prsentation et session sont apparues inutiles. Cette couche contient tous les protocoles de haut niveau, par exemple Telnet, TFTP (Trivial File Transfer Protocol), SMTP (Simple Mail Transfer Protocol), HTTP (HyperText Transfer Protocol) ; 1) La couche transport est la mme que celle du modle OSI. Elle permet aux applications dchanger des donnes indpendamment du rseau utilis, grce aux protocoles TCP et UDP (User Datagram Protocol) ; 2) La couche internet ou rseau est la cl de vote de l'architecture. Son rle est de permettre l'injection de paquets dans n'importe quel rseau et l'acheminement des paquets indpendamment les uns aprs les autres jusqu' la destination. Comme aucune connexion n'est tablie au pralable, les paquets peuvent arriver dans le dsordre ; le contrle de l'ordre de remise est la tche ventuelle des couches suprieures. 3) La couche accs au rseau est mal dfinie par le protocole. Elle regroupe tous les lments ncessaires pour accder un rseau physique, quel quil soit. Elle contient en

12

Chapitre 1 : Techniques daccs au mdia particulier les spcifications concernant la transmission de donnes sur le rseau physique, tout comme la premire couche du modle OSI.

2.1.3. Le modle UIT-T (ATM)


Ce modle a t dvelopp par l'UIT-T (Union Internationale des Tlcommunications) de manire rester compatible avec le modle ISO. Larchitecture fonctionnelle du modle UIT-T est prsente sur la figure 1.3, dans le cas dATM (Asynchronous Transfer Mode).
Plan gestion

Plan contrle Couche suprieure

Plan utilisateur Gestion de plan Gestion de couche Couche suprieure

Couche dadaptation ATM (AAL)

Couche ATM

Couche physique

Figure 1.3: Modle de rfrence UIT-T

Il a t spcifiquement conu pour permettre aux nouveaux rseaux de prendre en compte les applications multimdias, ce qui se traduit par lexistence de trois plans se partageant la mme ressource physique par multiplexage. Il utilise de petits paquets de longueurs fixes de type ATM [KOFM99]. Il prsente de nombreuses similarits avec le modle OSI, en particulier : 1) Les couches suprieures reprsentant les applications utilisant ATM ; 2) La couche ralisant l'adaptation ATM des couches suprieures (couche AAL : ATM Adaptation Layer). Cette couche gre linformation avec les couches suprieures et regroupe une partie des fonctionnalits de la couche (4) du modle OSI (il lui manque les oprations de fragmentation et rassemblage) ; 3) La couche ATM proprement dite, charge du transport des cellules de bout en bout selon un principe de commutation synchrone ou asynchrone. Elle est quivalente la couche (3) du modle OSI ; 4) La couche de transport des cellules sur un support physique (couche physique ). Les couches du modle ATM sont regroupes sur trois plans : Le plan utilisateur (User Plane) : ce plan permet bien sr de faire transiter dans le rseau les informations, mais il prend galement en charge les erreurs de transfert et la surveillance du flux mis.

13

Chapitre 1 : Techniques daccs au mdia Le plan de contrle (Control Plane) : ATM tant en mode connecte, ce plan permet l'tablissement, la libration et la surveillance des connexions. Le plan de gestion (Management Plane) : ce plan assure des oprations de contrle et de maintenance (gestion des performances, dtection des pannes, protection du systme dinformation contre les pannes, localisation des fautes...). La gestion de protocole dpendant du support physique est confie la couche physique de ce modle. Elle est donc responsable de la transmission des bits dinformations. Celle-ci soccupe en plus de la reconnaissance de paquets ATM. Elle est donc quivalente aux couches (1) et (2) du modle ISO. En effet, ce mlange entre les deux couches ( physique et liaison ) est un rsultat de la diffrence entre les interfaces daccs (les mthodes daccs) utilises dans chaque systme de communication. Lexemple typique de ce montage est le modle IEEE, dans lequel la liaison est divise en deux sous-couches la couche LLC (Logical Link Control) et la couche MAC et la couche MAC a t intgre dans la couche physique . Effectivement, lavantage de cet assemblage est quil spcifie une couche LLC commune pour toutes les couches MAC. Cest ce qui permet linteroprabilit entre les standards issus du comit IEEE 802.x (figure 1.4).
Modle OSI Modle IEEE 802

802.1 Interface de haut niveau Couche 2 Couche liaison de donnes 802.3 MAC 802.4 MAC 802.10 Scurit

802.2 LLC 802.5 MAC 802.6 MAC 802.11 MAC 802.12 MAC

Couche 1 Couche physique

PHY

PHY

PHY

PHY

PHY

PHY

Figure 1.4 : Les diffrences entre les modle OSI et le modle IEEE

2.2. Arrangement fonctionnel des couches physique et liaison


Puisque tout notre travail sera positionn dans la sous-couche contrle daccs au mdia (MAC), nous prsentons dans la figure 1.5 les diffrentes fonctions de base dun systme de transmission numrique remplissant le rle dinterface entre le signal continu adapt au moyen de transmission et les informations binaires transmises aux couches suprieures. Cette figure prsente la fois des fonctions appartenant la couche physique , et dautres la couche liaison de donnes . Mais, certaines comme le codage de canal et linterface daccs peuvent appartenir aux deux niveaux simultanment.

14

Chapitre 1 : Techniques daccs au mdia La source du message correspond aux donnes fournies par la liaison de lmetteur, et rciproquement, la destination du message correspond la couche liaison du destinataire se trouvant lautre extrmit de la ligne de transmission. Effectivement, les lments binaires transmettre peuvent tre modifis par un codeur de source. Ceci est par exemple utile pour appliquer des oprations de compression permettant de rduire le nombre de bits effectivement prsents dans la squence envoyer vers le rcepteur. Cette tape repose en grande partie sur une bonne connaissance des paramtres statistiques du signal transmis. Le codage de canal permet entre autres dadapter cette squence de manire lui offrir une meilleure rsistance aux perturbations et autres bruits qui peuvent intervenir lors du transit sur le canal de transmission. Ce codage intervient sous la forme dalgorithmes base de codes cycliques, le code de Hamming ou encore les turbo-codes , et constitue une fonctionnalit de la couche liaison [BADR02] [HANZ02].

Couche Liaison
Source de message Codage
source/canal

Couche Physique
Accs Multiple
(talement de spectre)

Modulation RF

Canal au media de transmission

Dmodulation RF

Des-talement de spectre

Dcodage
source/canal

Dtection du message

Couche Physique

Couche Liaison

Figure 1.5 : La couche physique et la couche liaison dun systme de transmission

Ltalement de spectre est destin, initialement, aux systmes de communications numriques. Profitant ainsi dune augmentation de la capacit de multiplexage tout en utilisant les proprits dtalement de spectre propre cette technique, lobjectif tait de rendre les transmissions plus robustes lapparition de brouilleurs et moins vulnrables aux interceptions ventuelles. Cet talement permet de coder et de transmettre autant de signaux quil est possible de gnrs de squences de code la seule condition que ces squences satisfassent des proprits dauto et dinter-corrlation adaptes. Les donnes mettre sont prsentes sous la forme de grandeurs abstraites nayant de signification logique que pour le systme numrique sur lequel elles sont produites. Il est donc ncessaire de leur associer une reprsentation physique concrte, qui peut se matrialiser, par exemple, sous forme dun signal lectrique. La fonction de lmetteur correspondante est la modulation, qui 15

Chapitre 1 : Techniques daccs au mdia associe chaque groupe des lments du message source un signal de dure T [FRAI99]. Cette association seffectue au niveau de lamplitude, de la frquence ou bien de la phase du signal transmis. Il est galement possible dutiliser une combinaison de plusieurs de ces paramtres. Lmetteur est galement charg deffectuer une fonction de filtrage et, le cas chant, de transposition de frquence afin dliminer les frquences parasites, et de centrer le signal modul autour dune frquence centrale souhaite compatible avec le support de transmission [PUJO03].

2.3. Sous-couche de contrle daccs au canal (MAC)


Les rseaux peuvent tre diviss en deux catgories selon quils utilisent des connexions de type point point ou quils effectuent des diffusions sur un rseau multipoint. Dans un rseau diffusion, tous les abonns ont la possibilit dmettre et de recevoir. Le problme majeur consiste dterminer qui, un instant donn, a le droit dmettre. Pour cela, dans les rseaux on tablit de nombreux protocoles dans le but de rsoudre ces problmes. Les protocoles utiliss pour dterminer qui sera le prochain lu dun canal de communication accs multiples sont regroups dans une sous-couche interne la couche de liaison de donnes appele sous-couche de contrle daccs au canal ou souscouche MAC. Cette sous-couche joue un rle trs important dans les rseaux, et plus particulirement dans ceux dont le fonctionnement repose sur le principe daccs multiple, ou encore dans les rseaux points point. La sous-couche MAC contrle laccs au canal, savoir la technique dallocation des canaux de communication.

2.3.1. Politiques dallocations


2.3.1.1. Allocation statique Le partage de canal dans cette allocation est ralis de faon statique. Par exemple, la mthode traditionnelle pour attribuer simultanment un rseau unique entre plusieurs voies de transmission consiste utiliser le multiplexage. En fait, toutes les techniques daccs multiple sont assorties dun type de duplexage permettant lchange dinformation entre le mobile et la station de base. Parmi les mthodes de duplexage, nous distinguons : 1) Le duplexage en frquence ou Frequency Division Duplex (FDD) : utilise deux bandes de frquences indpendantes, lune pour le sens montant (liaison montante Uplink ), l'autre pour le sens descendant (liaison descendante Downlink ). Il requiert une diffrence de frquence (bande de gardes) entre les voies montantes et descendantes pour rduire linterfrence entre elles. 2) Le duplexage dans le temps ou Time Division Duplex (TDD) : on transmet et on reoit sur la mme bande de frquences, mais des instants diffrents. De mme, un systme qui utilise le mode TDD requiert un temps de garde, ou priode de garde, pour minimiser linterfrence entre les moments dmission et de rception. La dure de cette priode de 16

Chapitre 1 : Techniques daccs au mdia garde est dtermine en fonction du temps maximum que met le signal pour effectuer un aller-retour entre lmetteur et le rcepteur. 3) Laccs multiple par rpartition de frquence ou Frequency Division Multiple Access (FDMA) : on alloue chaque utilisateur une bande de frquences unique. Dans ces conditions, un seul utilisateur peut se servir de ce canal pendant la priode o la communication a lieu [ANDR03]. En effet, le FDMA reprsente le mode daccs par excellence des systmes analogiques dits de premire gnration . Loin davoir disparu, ce mode daccs fait prsent partie intgrante de tous les systmes de deuxime et troisime gnration. Lexemple le plus reprsentatif dun systme utilisant ce mode daccs est le systme amricain AMPS (Advanced Mobile Phone Service). 4) Laccs multiple par rpartition dans le temps ou Time Division Multiple Access (TDMA), est typiquement numrique. Dans ce systme, une mme bande de frquence est partage par un certain nombre dutilisateurs. Cest--dire attribuer un intervalle (ou slot) de temps unique chaque utilisateur. On a donc N utilisateurs qui peuvent utiliser simultanment une mme bande de frquences [ANDR03]. Dans des conditions relles dutilisation, les systmes mobiles fonds sur le TDMA possdent une capacit de 3 6 fois suprieure celle des systmes analogiques fonds sur le FDMA. On doit noter quon ne peut pas toujours concder le TDMA comme une allocation statique, car il permet dallouer, dans certains cas, plusieurs slots au mme utilisateur. 5) Une autre mthode daccs multiple est le SDMA, autrement dit laccs multiple par rpartition dans lespace (Space Division Multiple Access). Dans cette mthode, les utilisateurs sont rpartis dans lespace et la communication entre le mobile et la station de base lieu par le biais dun faisceau unique rayonn par lantenne de cette dernire. Le canal dans un systme SDMA a donc une connotation spatiale. Le principe du SDMA repose sur le concept dantenne intelligente, appele ainsi par opposition aux antennes ayant un diagramme de rayonnement fixe, quil soit omnidirectionnel ou sectoriel. Dans la pratique, le SDMA est utilis en combinaison avec une ou plusieurs techniques daccs multiple que lon a dcrites prcdemment. Mme si le concept du SDMA existe depuis plusieurs annes, il nest pas encore utilis grande chelle pour des applications civiles grandes publiques, ce en raison, entre autres, de la complexit des calculs requis par son implantation [BANA01]. Dans les mthodes prcdentes, nous avons une frquence fixe, un temps fixe ou un espace fixe, cela signifie que pour chaque utilisateur il existe une frquence, un temps ou un espace fixe que lon ne peut pas changer ou utiliser simultanment pour les autres utilisateurs. Pour cette raison, on qualifie ces mthodes de mthodes allocation statique .

17

Chapitre 1 : Techniques daccs au mdia 2.3.1.2. Allocation dynamique Dans ce type dallocation, le partage de canal est ralis de faon dynamique. Pour cela, il est ncessaire dorganiser correctement les donnes pour quon puisse les rcuprer au rcepteur. Les mthodes daccs prsentes ci-aprs utilisent ce type dallocation : 1) Laccs multiple par rpartition de codes : Le CDMA (Code Division Multiple Access) est une technique daccs multiple grce laquelle les diffrents utilisateurs peuvent communiquer simultanment dans une mme bande de frquences. La distinction entre les diffrents utilisateurs seffectue grce un code qui leur est attribu et connut exclusivement par lmetteur et le rcepteur. Si lon considre les systmes bass sur le FDMA et le TDMA, on saperoit que leur capacit est limite par la bande de frquences alloue chaque utilisateur. Aussi, la capacit dun systme bas sur CDMA est limite, notamment par le niveau dinterfrence dans le rseau. En fait, le principe et les caractristiques du systme CDMA seront notre principal dveloppement dans les explications suivantes. 2) Le multiplexage par rpartition sur des frquences orthogonales : LOFDM (Orthogonal Frequency Division Multiplexing) est un cas particulier de la modulation multi-porteuse (MC pour Multi-Carrier). Un bloc de symboles dinformation est dcompos en sous-blocs. Chaque sous-bloc est ensuite transmis un dbit infrieur sur diffrentes sous-porteuses en parallle. Les signaux OFDM doivent tre orthogonaux, de telle sorte que les sous-porteuses puissent se recouvrir dans un mme spectre. Les signaux OFDM peuvent tre gnrs laide dune transforme de Fourier inverse. Aprs avoir t brevete en 1970, la technique OFDM a t longtemps mise lcart des applications commerciales en raison de la complexit que revt son implantation. Plus particulirement, le fait de raliser la transforme de Fourier en temps rel. Ce nest qu la fin des annes 80 que lOFDM a t retenue pour effectuer des applications de transmission audio numrique de haute qualit (DAB pour Digital Broadcasting System) et plus rcemment, dans des rseaux locaux sans fil trs haut dbit comme le standard HIPERLAN2. Dans le contexte de la tlphonie mobile, lOFDM peut tre utilise en combinaison avec dautres formes daccs multiple comme le FDMA, le TDMA et le CDMA pour donner lieu, respectivement, aux systmes FDMA multi-porteurs (MCFDMA), TDMA multi-porteuse (MC-TDMA), et CDMA multi-porteuse (MC-CDMA). Les premires ides pour utiliser lOFDM en combinaison avec le CDMA ont t prsentes dans [YEE93] et [FAZE93]. Au contraire des mthodes statiques, les mthodes dynamiques ne rservent pas une bande de frquences fixe ni un intervalle de temps spcifique de chaque utilisateur. Cest--dire que nous avons

18

Chapitre 1 : Techniques daccs au mdia deux approches possibles : dterministe et non dterministe. Dans lapproche dterministe, il existe un temps garanti chaque utilisateur, ce qui signifie quil y a une dure de transmission fixe : on dit que le temps est born ; il doit tre infrieur TMAX. Mais pour lapproche non dterministe, il nexiste pas un temps garanti chaque utilisateur, ce qui signifie quil ny a pas une dure de transmission fixe. Cest--dire que la borne suprieure pour la dure nexiste pas, car on a ici un temps moyen pour la transmission (t = Tmoyen), mais ce temps-l est tale avec une faible valeur qui va permettre de continuer la transmission. Dune manire gnrale, on peut dire que lallocation statique/dynamique donne des informations autres du dbit allou chaque utilisateur. Parce que dune part lallocation statique signifie que le dbit allou un utilisateur est fix et dtermin lavance. Dautre part, dans lallocation dynamique le dbit allou chaque utilisateur peut tre volu dans le temps. En fait, la plupart des systmes mobiles actuels sont hybrides, dans le sens o ils font appel plusieurs mthodes daccs multiple. Les systmes fonds sur le TDMA comme GSM et sur CDMA comme le
IS-95 (cdmaOne) utilisent une composante FDMA [LAGR00, SANC04]. Dans la littrature, cet

aspect est rarement mentionn pour viter la confusion entre les diffrents systmes. Le nombre de mthodes daccs utilises dans un mme systme mobile sest accru avec le temps : une seule mthode daccs pour la premire gnration, deux pour la deuxime et jusqu trois mthodes pour la troisime gnration..

2.4. Conclusion
Nous avons prsent dans ce paragraphe les fondements thoriques qui rgissent la transmission numrique des informations. Le point et le rle des diffrentes mthodes daccs multiples dans une chane de transmission ont t explicits. De mme, les diffrents modles de rseaux ont t prsents. La notion dallocation statistique et dynamique a t aussi aborde. Le but de cette explication est de bien identifier le positionnement de la technique daccs multiple qui sera la base de notre tude et grce laquelle les diffrents utilisateurs peuvent communiquer simultanment dans une mme bande de frquences, en utilisant ltalement de spectre pour la distinction entre les diffrents utilisateurs. Cela signifie que la distinction seffectue grce un code qui leur est attribu et connu exclusivement par lmetteur et le rcepteur.

3. talement de spectre
Les techniques d'talement de spectre sont des mthodes par lesquelles l'nergie gnre une ou plusieurs harmoniques est dlibrment tale, ou distribue dans le domaine des frquences ou le domaine du temps. Cest une technique par laquelle un signal est transmis sur une bande passante considrablement plus large que la bande ncessaire pour que lensemble des frquences composant le

19

Chapitre 1 : Techniques daccs au mdia signal original ne soient transmises par des mthodes classiques de modulation. Cette technique diminue le risque dinterfrences avec dautres signaux reus tout en garantissant une certaine confidentialit. Ltalement de spectre utilise gnralement une squence ressemblant du bruit pour taler le signal de bande troite en un signal de relative large bande. Le rcepteur rgnre le signal original en corrlant le signal reu avec une rplique de cette squence. Deux motivations sont lorigine de cette technique : en premier lieu, rsister aux efforts ennemis pour brouiller le signal, puis cacher la communication elle-mme. De nos jours, l'aspect partage d'une mme frquence par plusieurs utilisateurs (accs multiple) est lune de ses principales applications. Par ailleurs, l'talement de spectre facilite les transmissions numriques dans les cas dinterfrences par trajets multiples. Le saut de frquence, l'talement par squence directe, ltalement par pseudo-bruit (en utilisant des squences de pseudo-bruit), et les combinaisons de ces techniques sont des formes dtalement de spectre. Cette technique est rapparue dans les annes 1960. Elle est par exemple utilise par les systmes de positionnement par satellites (GPS, GLONASS), les liaisons cryptes militaires, les communications de la navette spatiale avec le sol, et plus rcemment dans les liaisons sans fil 3G
UMTS (W-CDMA) et le WiFi (IEEE 802.11b) et Bluetooth.

3.1. Principes de ltalement de spectre


Ltalement de spectre (en anglais Spread Spectrum) est une technique par laquelle plusieurs utilisateurs peuvent tre prsents simultanment sur une mme bande de frquence.

Densit spectral de puissance

Signal dinformation

Niveau de bruit

Signal aprs talement

Figure 1.6 : Principe conceptuel de ltalement de spectre

Ltalement de spectre peut tre dfini de la faon suivante : Ltalement de spectre est une technique qui permet de transmettre un signal dinformation sur une largeur de bande plusieurs fois suprieure la largeur de bande minimale que le signal demande . Pour cette raison, ltalement de spectre est aussi considr comme une forme de modulation. Dans un systme talement de spectre, le signal transmis est tal partir dun code indpendant du message dinformation. Aprs stre synchronis avec lmetteur, le rcepteur doit utiliser ce mme code pour dstaler le signal et pouvoir par la suite rcuprer le message dinformation.

20

Chapitre 1 : Techniques daccs au mdia Pour comprendre pourquoi ltalement de spectre a eu tant de succs, il faut relire les travaux de Claude Shannon, qui a le premier formalis ce concept. Commenant par la clbre expression qui dtermine la capacit dun canal :

S C = B log 2 1 + N

1.1

o C est la capacit du canal en bits par seconde, B la largeur de bande du signal transmis en hertz, S la puissance du signal en watt, N la puissance du bruit en watt et log2 la fonction logarithme en base 2. En dveloppant en srie cette fonction dans lexpression prcdente, on peut facilement arriver lexpression suivante :

ln (2 ) C S S ln (2 ) N B N B

1.2

On voit sur lexpression prcdente quil existe un rapport inverse entre la largeur de bande B (la bande occupe par le signal de transmission) et le rapport S/B (signal sur bruit) que lon mesure la rception. Plus prcisment, on observe quun rapport signal sur bruit moins important est ncessaire pour conserver la mme capacit de canal C si B augmente. Cest ainsi que lon dmontre les vertus de ltalement de spectre : la largeur spectrale est accrue afin dobtenir de bonnes performances la rception, le rapport signal bruit tant rduit au minimum. Le paramtre cl dans tout systme daccs radio talement de spectre est le gain de traitement. Ce dernier (que lon notera Gp Processing Gain ) est dfini comme le rapport entre la largeur de bande occupe par un bit dinformation aprs et avant talement. Si lon note Binf la largeur de bande occupe par un bit dinformation avant talement et Bspr la largeur de bande du signal tal, le gain de traitement satisfait :
G
p

B B

spr inf

1.3

La valeur de ce paramtre reprsente la capacit des systmes daccs radio talement de spectre rejeter l'interfrence. Cest--dire que plus Gp est grand plus le systme rsiste au bruit.

3.2. Proprits de ltalement de spectre


Dans la figure 1.6, on a volontairement inclus un signal de bruit prsent sur une bande de frquence assez large. Ce signal de bruit reprsente toutes les sources dinterfrence et le bruit thermique. On observe sur la mme figure que le signal tal peut se retrouver noy dans linterfrence au point quil donne lillusion den faire partie. Un facteur essentiel qui explique le succs de ltalement de spectre dans le domaine militaire est que sans la connaissance du code dtalement, il est quasiment impossible de dtecter le signal transmis et de rcuprer le message dinformation quon convoie. Cette proprit est appele faible probabilit de dtection (LPD, Low Probability of Detection).

21

Chapitre 1 : Techniques daccs au mdia Qui plus est, le signal tal rsiste fort bien aux interfrences qui occupent une largeur spectrale beaucoup plus troite. Il faut prciser que lon parle ici dune source ponctuelle dinterfrence qui ne serait prsente que sur une bande troite. Cette robustesse provient tout simplement du fait que linformation est tale sur une bande de frquence assez importante et profite dune certaine forme de diversit en frquence : seule une partie du spectre du signal utile tal est perturbe. De plus dans ce systme, la proprit de traiter des trajets multiples augmente le gain de traitement. En effet, dans un canal trajets multiples, plusieurs copies du signal transmis arrivent au rcepteur des instants diffrents. Un systme talement de spectre prsente une robustesse naturelle vis--vis des effets ngatifs causs par les trajets multiples sur le signal.

3.3. talement de spectre par squence directe (DS-CDMA)


Il a t mentionn que ltalement de spectre est considr comme une forme de modulation, car les donnes dorigine sont transformes de telle sorte que la largeur de spectre ncessaire aprs transformation soit plusieurs fois suprieure celle des donnes dorigine. Cette transformation peut tre effectue de plusieurs manires diffrentes.

Source de donne

1
Codage de canal Etalement Modulateur RF

Horloge chip

Gnrateur de code

Oscill. RF

Canal + Interfrence

3
Dmodulateur RF

Dcodage Rcupration de donne de canal

Des-talement Oscill. RF Gnrateur de code Horloge chip

Figure 1.7: chane simplifie dmission et de rception dun systme DS-CDMA

La figure 1.7 montre un schma simplifi dun metteur/rcepteur DS-CDMA. Dans cet exemple, on appelle le dbit de la source de donne le dbit bit ; le dbit aprs le codage de canal dbit symbole et le dbit aprs ltalement de spectre dbit chip . La source dinformation en bande de bases Binf fournit donc des bits au codeur de canal pour avoir des symboles de largeur spectrale

22

Chapitre 1 : Techniques daccs au mdia Bs au point 1. La largeur de bande des symboles du message va augmenter aprs ltalement, car le code dtalement est gnr au dbit chip Bspr qui est suprieur Bs . Le signal est ensuite mis sur porteuse fc (point 2). On suppose que le canal ajoute des interfrences provenant des utilisateurs de la cellule courante et des cellules voisines. la rception, le signal est dabord transform en signal en bande de bases par un filtre passebande, centr sur fc , limitant la largeur spectrale de linterfrence (point 3). Une fois en bande de bases, le signal est filtr pour ne retenir que le lobe principal du message. Nous supposons que lmetteur et le rcepteur sont parfaitement synchroniss et que lon utilise le mme code dtalement en mission comme en rception cest--dire dans les points 1 et 4. Enfin, le signal est dstal puis il est dcod pour rcuprer les donnes. Le DS-CDMA (Direct-Sequence Code-Division Multiple-Access), en anglais, ou AMRC (Accs Multiple Rpartition par les Codes), ou CDMA squence directe, est la technique dtalement la plus rpandue dans les systmes de radiocommunication mobile. Par exemple, elle est la base des systmes de deuxime gnration (comme cdmaOne) et de troisime gnration (comme W-CDMA,
cdma2000).

Il est important de souligner que le rapport entre la puissance du signal dinformation et la puissance de linterfrence aprs dstalement (point 4) est proportionnel au gain de traitement. En effet, cest grce au gain de traitement que lon peut rcuprer le signal dinformation qui est, jusqu lentre du rcepteur, noy dans le bruit et les interfrences.

3.3.1. Principes du DS-CDMA


Dans un systme DS-CDMA, le signal dinformation est directement modul par une squence. En ralit, cette squence est un code qui possde des proprits statistiques particulires comme nous allons le montrer ci-aprs. Dans la figure 1.8 nous dcrivons un exemple simple pour expliquer les principes de ltalement de spectre. Nous supposons que la longueur du code dtalement est de huit. Comme la figure 1.8 le montre, dans lmetteur le signal dinformation des utilisateurs 1 et 2 est tal avec un code dtalement unique pour chaque utilisateur (nous appelons le dbit du signal dinformation dbit symbole et le dbit de signal tal dbit chip ). En fait, la squence de donnes aprs ltalement a un dbit trs suprieur celui du dbit avant ltalement. Le dbit chip est quivalent au dbit symbole du signal dinformation multipli par la longueur du code d'talement. Aprs talement, les chips des utilisateurs 1 et 2 sont additionnes pour gnrer un signal mixte (multiplexage) et transmis sur le canal radio. Dans le rcepteur, le signal est multipli par le mme code dtalement utilis dans lmetteur. Le fait de multiplier le signal reu par la mme squence permet de ne garder que le signal dinformation. Cette procdure de dstalement nest possible que si le rcepteur est parfaitement synchronis temporellement avec lmetteur. Aprs la multiplication, les signaux sont intgrs sur la dure du symbole pour rcuprer le signal d'information.

23

Chapitre 1 : Techniques daccs au mdia


Utilisateur 1 1 -1 1 1 1 -1 -1 -1 Code dtalement 1 Squence de Donne 1 1 1 talement

Composite du signal
20 0 -2 0 -2 2 00 2 -2 0

-1

Utilisateur 2 1

Squence de Donne 1 -1 1 -1 Code dtalement 1 1

1 1 -1 -1 -1 -1

Utilisateur 1 rcepteur

Intgrer et Dump
Code de des-talement 1 1 1 1 -1 -1 -1 -1 1

Donnes est rcupr pour utilisateur 1 1 1 1 -1

Figure 1.8 : Exemple dtalement de spectre

Dans notre systme DS-CDMA, si nous utilisons, par exemple, la modulation en phase (BPSK) avec des squences de codes pseudo-bruit bipolaires prenants des valeurs de lensemble {1} pour ltalement spectral, chaque utilisateur est indpendant lun de lautre. Le signal transmis par le k-ime utilisateur est :

S k (t ) =

2 S C k ( t ) d k ( t ) cos ( f c + k )

[1.4]

o S=Eb/T est la puissance moyenne, dk(t) est une impulsion rectangulaire de dure Ts qui prend des valeurs de lensemble {1}. CK (t) est aussi une impulsion rectangulaire de dure Tc reprsentant la squence de codes qui tale le spectre des donnes et prenant ses valeureux dans lensemble {1}. Le terme fc est la porteuse et k est le dphasage initial du signal. La relation entre la dure de limpulsion des donnes et des chips pour ltalement spectral est T=NTc o N est la priode (longueur) de la squence. Gnralement, nous supposons que Ck (t) et dk(t) sont respectivement le code numro k dun utilisateur et le symbole dinformation numro k . Ils sont donns par les expressions suivantes :

d k (t ) =

t bk (i ).u T i = i = s

i =

exp[ j

t (i )].u i T s

1.5

24

Chapitre 1 : Techniques daccs au mdia

c k (t ) =

i =

t p k ( i ). u i T c

1.6

o Ts et Tc sont respectivement la dure de symbole dinformation (Bs = 1/Ts sexprime en symboles. Par seconde sps ) et la dure de Chip (Bspr = 1/Tc sexprime en chips par seconde cps ). Il est important de souligner que dans un systme DS-CDMA, le dbit de symbole Bs est variable et dpend du service (voix, vido, donnes), alors que le dbit chip Bspr est constant. Si lon note que le dbit symbole Bs = 1/Ts , on dfinit le facteur dtalement not SF (Spreading Factor) comme le rapport entre le dbit chip et le dbit symbole. Dans les deux expressions prcdentes, u(t) est la Step Function dfinie par :

1 ..... Si 0 t < 1 u (t ) = 0 ..... SiAutre


laquellePk(i) = 1, et bk(i) est une squence du signal dinformation code.

1.7

Dans lexpression 1.6, Pk(i) est une squence binaire (1) du code dtalement dans

Dans un systme de radiocommunication CDMA, le canal de propagation est trajets multiples, cest--dire que des versions dcales du signal transmis parviennent au rcepteur des intervalles de temps diffrents. Alors que dans dautres systmes de radiocommunication, cette proprit est considre comme un inconvnient, dans un systme fond sur le CDMA, elle est considre comme un avantage, car une forme de diversit appele diversit de trajets multiples peut tre exploite. Lobjectif est de dtecter le plus grand nombre de trajets pour les combiner. Ainsi, le signal final se trouve renforc avant que ne dbute la phase de rcupration de linformation, ce qui se traduit par une diminution du taux derreur et, par consquent, par une amlioration des performances. Le nombre de trajets que lon peut dtecter saccrot proportionnellement avec le dbit chip et donc avec la largeur de bande occupe par le signal tal. Ce point sera tudi plus en dtail lorsque le principe du rcepteur RAKE sera prsent. Le signal reu quand le canal est modlis comme tant un bruit blanc gaussien (AWGN) de moyenne nulle nest reprsente par lexpression suivante :

r (t ) =

K 1 k =0

2S k

l=0

Lk

k ,l

( t ) c k ( t k ,l ) d k ( t k ,l ) + w ( t )

[1.8]

Nous supposons que K est le nombre dutilisateurs pour la voie montante et Lk est le nombre de trajets multiples pour les utilisateurs k (k = 0, 1, 2,... k-1). Notons que chaque trajet a un temps de retard diffrent. Dans lexpression [1.8], Sk reprsente la puissance de transmission de lutilisateur k. k,l et k,l sont respectivement le gain complexe du canal et le temps de retard du trajet l pour lutilisateur k. Le terme w(t) reprsente la densit spectrale de bruit gaussien. 25

Chapitre 1 : Techniques daccs au mdia Si on prend comme exemple le trajet numro 0 de l'utilisateur numro 0. Le signal reu, r(t) sera multipli par le code dtalement utilis en mission pour lutilisateur 0 (le conjugu du code dtalement convenablement align). Cette procdure de dstalement nest possible que si le rcepteur est parfaitement synchronis en temps avec lmetteur. Pour simplifier, nous supposons la relation suivante :

0 0,0 k,l (k 0,l 0) Ts


lexpression suivante :

1.9

En prenant en compte uniquement le trajet 0 de l'utilisateur 0, le symbole m dstal sera donn par

z0,0 (t) =

1 (m+1)Ts +0,0 * r(t)c0 (t 0,0 )dt Ts mTs +0,0

1.10

Dans lexpression [1.10], le symbole * reprsente le conjugu complexe. Le rsultat de cette intgration est donn par lexpression :

z 0 ,0 (t ) = A + B + C + D
squence du signal dinformation qui a t transmise, donne par lexpression suivante :

1.11

Nous pouvons diviser lexpression de la sortie de lintgration au rcepteur en trois parties. A est la

A =

2S0

0 ,0

( m )b 0 ( m )

1.12

B est linterfrence entre les trajets multiples du signal dun utilisateur de canal (MultiPath Interference, MPI), donne par lexpression suivante :

(m 1)b (m 1) mTs + 0,l c (t )c* (t )dt 0 mTs +0,0 0 0,l 0 0,0 2S0 0,l B= Ts l =1 + (m)b (m) ( m+1)Ts + 0, 0 c (t )c* (t )dt 0,l 0 mTs +0,l 0 0,l 0 0,0
L0 1

1.13

C est linterfrence produite par laccs multiple du signal (Multiple Access Interference, MAI). Nous pouvons la dfinir par lexpression suivante :
K 1 k =1

C=

(m 1)b (m 1) mTs +k ,l c (t )c* (t )dt k mTs +0,0 k k,l 0 0,0 2Sk k ,l Ts l=0 + (m)b (m) (m+1)Ts +0,0 c (t )c* (t )dt k ,l k mTs +k,l k k,l 0 0,0
Lk 1

1.14

Le dernier terme D montre la composante de bruit, que nous pouvons donner par lexpression suivante :

D=

1 (m+1)Ts +0,0 * mTs +0,0 w(t)c0 (t 0,0 )dt Ts

1.15

Dans un environnement avec vanouissement (o il y a beaucoup de trajets multiples, comme en zone urbaine), il est difficile d'empcher linteraction entre les codes dtalement assigns aux

26

Chapitre 1 : Techniques daccs au mdia utilisateurs, cest--dire quil est difficile de raliser l'orthogonalit parfaite surtout dans la voie montante. Donc, comme le montre lexpression [1.11] le dstalement est affect par linterfrence entre les trajets dun utilisateur (B) et linterfrence entre les utilisateurs (C). En fait, si le nombre dutilisateurs communiquant en mme temps sur la mme bande de frquence augmente, la puissance de l'interfrence augmente. La puissance de l'interfrence est dtermine par le rapport signal sur interfrence (SIR, Signal-to-Interference Power Ratio) ou par le taux d'erreur dans un bloc (BLE, BLock Error rate), ce qui signifie que le nombre d'utilisateurs qui peuvent communiquer dans un systme de communication dpend de SIR et de BLE.

3.4. talement de spectre avec saut de frquence


Ltalement de spectre avec saut de frquence FH-SS (Frequency Hopping Spread Spectrum) est une technique dtalement de spectre base sur le saut de frquence, dans laquelle la bande est divise en canaux ayant chacun une largeur de bande fixe. Pour transmettre des donnes, lmetteur et le rcepteur saccordent sur une squence de sauts prcise qui sera effectue sur ces sous-canaux. Dans un systme FH-SS, la frquence porteuse saute littralement dune frquence porteuse une autre suivant une squence unique connue exclusivement par lmetteur et le rcepteur concern. Celle-ci est dfinie de manire optimale de faon minimiser les probabilits de collision entre plusieurs transmissions simultanes. Si une station ne connat pas la squence de saut des canaux, elle ne peut rcuprer les donnes, car elle ne reoit quun bruit de fond. Cette technique tait utilise auparavant par les militaires pour scuriser leurs transmissions. Pour transmettre les donnes, le FH-SS les transforme en un ensemble de signaux, appels symboles, reprsentant chacun un ou plusieurs bits de donnes. Ces signaux sont ensuite moduls par le biais de la technique de modulation GFSK (Gaussian Frequency Phase Keying), grce laquelle un dbit entre 1 et 2 Mbits/s peut tre atteint. En fait, les sauts de frquence ont lieu dans une rgion spectrale finie. Le message transmettre de priode Ts est plac sur une porteuse de frquence fc dont la valeur se trouve comprise dans la rgion spectrale finie. Tous les intervalles de temps Ts , la frquence porteuse prennent une nouvelle valeur (toujours comprise dans la rgion spectrale finie). La valeur de la nouvelle frquence porteuse est dtermine par un code pseudo-alatoire . Si la longueur du code est M, le synthtiseur devra fournir 2M frquences diffrentes. Les utilisateurs dans un rseau FH-SS transmettent de faon simultane et on doit faire en sorte que leurs sauts respectifs ne se fassent vers la mme frquence afin dviter autant que possible les collisions. Le gain de traitement dans un systme FH-SS dpend du nombre total de sauts de frquences sur la rgion spectrale finie et du nombre de sauts de frquence pendant la dure dun symbole dinformation.

27

Chapitre 1 : Techniques daccs au mdia Il existe deux types dtalements de spectre par saut de frquence. Lorsque Tc > Ts , il sagit dun systme saut de frquence rapide. Dans ces conditions, il faudra sattendre ce que la frquence porteuse change un certain nombre de fois pendant la transmission dun symbole. Dans le cas contraire, si Tc < Ts , le systme est appel saut de frquence lent, ce qui implique que plusieurs symboles sont transmis sur la mme frquence porteuse. On peut utiliser une technique de saut de frquence lent qui, combine au TDMA, donne une mthode daccs hybride appele FH-TDMA [LAGR00]. Le but de ce systme est damliorer la robustesse du systme vis--vis des interfrences localises sur une mme frquence porteuse et de se protger contre les vanouissements (fast fading). Lun des avantages du FH-SS est quil permet, thoriquement, de faire fonctionner simultanment un nombre de rseaux gal au nombre de canaux dans une mme zone, chaque rseau utilisant une des squences prdfinies. En pratique, pour des raisons de recouvrement de canaux, le nombre de rseaux et le nombre de canaux ne sont pas gaux sur une mme cellule. Un autre avantage du FH-SS est son immunit face aux interfrences. Comme le systme saute chaque intervalle de temps dun canal lautre sur la totalit de la bande, si des interfrences surviennent dans la bande, cela nengendre pas dimportantes pertes de performances. Si un canal correspondant une frquence est perturb, celui-ci est inutilisable temporairement. Aucune communication na lieu pour la station utilisant cette frquence. Cette interruption ne dure quun seul intervalle de temps, nempchant pas la communication de se poursuivre ensuite. Le principal inconvnient du FH-SS vient de son dbit, limit 2 Mbits/s.

3.5. talement de spectre avec saut de temps


Ltalement de spectre par squence directe et avec saut de frquence nest pas la seule technique utilise dans les systmes de radiocommunication mobile. Une autre technique consiste taler le spectre par saut de temps : le systme de communication qui en rsulte est appel systme dtalement de spectre saut de temps (Time Hopping spread Spectrum, TH-SS). Contrairement aux deux systmes de ltalement de spectre avec squence directe et avec saut de frquence, dans un systme TH-SS la transmission de donnes se fait par lintermdiaire de sauts de temps, o le signal est transmis de manire discontinue sous forme de salves brves. En fait, les dures des salves sont dtermines par le code dtalement. Pour transmettre des donnes, lmetteur et le rcepteur saccordent sur un temps de saut prcis. Nous considrons les signaux de saut de temps comme des signaux large bande parce que le taux de transmission pendant les salves doit tre considrablement lev pour obtenir un taux de transmission global quivalent celui dune transmission continue.

28

Chapitre 1 : Techniques daccs au mdia

Frquenc DS-SS FH-SS TH-SS

Temps
Figure 1.9: La famille des talements de spectre

Dans la famille des talements de spectre, ltalement de spectre avec saut de temps est le plus rarement utilis dans les applications pratiques. Une comparaison entre les trois membres de la famille des talements de spectre est prsente dans la figure 1.9. Il est galement possible dutiliser simultanment deux ou trois des mthodes disponibles pour construire une mthode hybride de modulation [OJAN98]. Bien que ceci puisse tre utile dans quelques applications, cela accrot la complexit de limplantation et, selon le systme, peut tre avantageux ou non.

3.6. Proprits du CDMA


Les systmes CDMA incluent un certain nombre de dispositifs qui ne sont pas forcment prsents dans les autres systmes de communication. Ces proprits sont trs importantes connatre parce quelles permettent de diffrencier les systmes. Elles jouent aussi un rle important pour augmenter la capacit du systme, amliorer la qualit de service et dvelopper la performance du systme du point de vue du dbit/surface.

3.6.1. Contrle de puissance


Lutilisation du contrle de puissance dans tout systme cellulaire bas sur le CDMA est un point faible du point de vue de la performance gnrale du systme, parce quil a un rle essentiel dans les performances du rseau en termes de couverture, de capacit et de qualit de service. En effet, lintrt du contrle de puissance sera plus facilement apprci lorsque leffet dit proche-lointain sera dcrit. tant donn que tous les utilisateurs partagent la mme bande de frquences, chacun dentre eux est considr comme un brouilleur en puissance, cest--dire quun problme dinterfrence daccs multiple pourra tre considr si les signaux tals ne sont pas orthogonaux. En pratique, pour un systme CDMA sans contrle de puissance, la puissance du signal de lutilisateur le plus proche de la station de base serait plus importante que celle de lutilisateur le plus loign. Cette situation a pour effet que le signal de lutilisateur le plus proche blouit le signal de lutilisateur le plus loin vis--vis de la station de base (le problme deffet proche-lointain est inexistant dans la voie descendante).

29

Chapitre 1 : Techniques daccs au mdia Deux types de contrles de puissance sont utiliss dans les systmes bass sur le CDMA : le contrle de puissance en boucle ouverte ou en boucle ferme [OJAN98].

3.6.2. Rcepteur multi trajet (RAKE)


La deuxime proprit des systmes CDMA est le traitement des trajets multiples. Ce traitement des diffrents trajets du signal va servir augmenter la capacit de systme ainsi qu amliorer sa performance. En fait, la propagation des ondes radio dans un canal est caractrise par de multiples rflexions et attnuations du signal. Ces phnomnes sont dus aux obstacles naturels, tels que les immeubles et les montagnes qui provoquent une propagation caractrise par des trajets multiples. Le signal peut mettre plus ou moins de temps pour arriver au rcepteur en fonction du parcours qu'il emprunte. Ainsi, la mme information peut tre reue plusieurs fois par le rcepteur avec une puissance plus ou moins importante. Si le retard est plus long que la dure d'un chip, le rcepteur considre habituellement l'information reflte comme un bruit indsirable. un instant donn, il est clair qu'une onde peut emprunter plusieurs parcours ayant chacun une longueur sensiblement diffrente. Les ondes qui empruntent des parcours ayant une diffrence de longueur quivalente une demi-longueur d'onde arrivent donc quasiment au mme instant au rcepteur, ces deux ondes s'annulent. Cette annulation de signal due des trajets multiples est appele fast fading et interviens lorsque le rcepteur est immobile ou se dplace faible vitesse. Du point de vue de chacun des signaux du trajet multiple, les autres signaux ou les autres trajets peuvent tre considrs comme des interfrences. Ces trajets multiples vont donc conduire en gnral diminuer le gain de traitement. Mais, cette proprit peut tre exploite en recevant les signaux des trajets multiples sparment et en les combinant de faon cohrente par lemploi dun rcepteur en rteau (RAKE) [LEE98]. Le principe la base d'un rcepteur RAKE est le suivant : les dphasages des diffrents signaux reus selon diffrents trajets sont compenss, aprs quoi les signaux sont combins de faon renforcer le signal dstal. Il s'agit fondamentalement d'une srie de corrlateurs parallles (pour le dstalement) appels doigts (RAKE fingers). Chaque doigt reoit, traite et combine un trajet du signal. Comme chaque doigt reoit une copie du mme signal (un trajet), et que chaque trajet a un retard diffrent, le code dtalement (dans lopration de dstalement) doit tre retard dune mme dure pour le synchroniser avec le trajet correspondant. De fait, le bloc qui permet de suivre et de dtecter les retards de chaque trajet (Path Searcher) est trs important pour la fonctionnalit du rcepteur RAKE [LEE98]. Le rcepteur RAKE et le dtecteur des trajets multiples (Path Searcher) seront tudis en dtail dans les chapitres suivants.

3.6.3. Handover
Le handover prsente une trs importante influence sur la rception dans la station mobile. En gnral, pendant la procdure de handover, le mobile doit interrompre la communication avec une

30

Chapitre 1 : Techniques daccs au mdia station de base avant den tablir une autre avec une station de base diffrente. Dans ce cas-l, il aura besoin de connatre le code de la nouvelle station de base. Au contraire, pour la frquence, et parce que dans un systme CDMA les cellules voisines utilisent la mme frquence porteuse, le mobile peut conserver une liaison radio avec plusieurs stations de base simultanment, puisquil ny a pas de rupture physique de la communication. Cela signifie que le soft-handover nexiste pas dans les systmes CDMA [HOLM04]. En effet, deux types de handover sont dfinis dans le cadre du CDMA : le soft-handover et le softer-handover. Par dfinition, un soft-handover comprend une phase o le mobile est connect deux stations de base simultanment ou plus ; cette phase est appele macrodiversit . Le softhandover se manifeste diffremment suivant que lon considre la voie descendante ou montante. Dans la voie descendante, lorsquune procdure de soft-handover a lieu et que le mobile entre en tat de macrodiversit . Cela peut tre considr comme une forme de diversit spatiale, puisque chaque station de base transmet le mme signal en utilisant un code diffrent. Le rcepteur du terminal mobile reoit le signal transmis par chaque station de base et les trajets multiples qui laccompagnent, et les combine suivant le principe du RAKE. Pour ce faire, le gnrateur de codes du rcepteur RAKE doit gnrer les codes correspondant chaque station de base. Le mcanisme de soft-handover de la voie montante a lieu lorsquun mobile est simultanment en communication avec deux stations de base. Chaque station de base traite indpendamment le signal reu et rcupre linformation binaire, qui est ensuite envoye au contrleur des stations de base (Base Station Controller, BSC) qui va slectionner linformation prsentant la meilleure qualit. Le softer-handover est une autre forme de macrodiversit qui est obtenue lorsque le mobile tablit une communication avec une station de base dans un secteur et, en se dplaant, passe un autre secteur, sachant que tous les deux sont grs par la mme station de base. Dans ce type de handover, le mobile peut tablir une communication simultanment avec deux secteurs grs par la mme station de base lorsquil se trouve dans la surface o les deux secteurs se chevauchent. Dans la voie descendante, le mobile combine les signaux provenant des deux secteurs (transmis sur deux canaux distincts par la mme station de base) laide dun rcepteur RAKE, tout comme dans le cas du soft-handover. En revanche dans la voie montante, le signal sera combin directement dans la station de base laide, par exemple, dun rcepteur RAKE. L'avantage du handover dans le CDMA est qu'il augmente la capacit de la cellule parce que, dans la voie descendante, le mobile peut combiner de manire cohrente les signaux provenant de diffrentes stations de base. De mme, dans la voie montante, les mmes signaux reus par deux stations de base peuvent tre combins dans le BSC. Un autre avantage du handover est qu'il rduit l'interfrence cause par la station mobile dans les autres cellules.

31

Chapitre 1 : Techniques daccs au mdia

3.7. Avantages et inconvnients de ltalement de spectre


Le systme CDMA large bande (W-CDMA) prsent de multiples avantages : Un gain de traitement plus lev : llargissement de la bande occupe par le signal tal permet daugmenter le gain de traitement et par consquent de rendre le signal moins sensible aux interfrences et au bruit. Il permet aussi daccrotre le nombre dutilisateurs prsents dans une cellule. Qui plus est, lorsque la bande dtalement est largie, il est plus difficile dintercepter le signal transmis entre un metteur et un rcepteur, car le spectre du signal dinformation se confond avec du bruit. Une possibilit de transmettre des services haut dbit : en fait, les anciens systmes utilisent un grand nombre de techniques de traitement du signal afin de restituer un service de voix de grande qualit. Ltalement de spectre offre aux utilisateurs des services multimdias tels que les donnes et la vido en temps rel, services qui requirent des dbits plus importants et, par consquent, une largeur de bande plus leve. De meilleures performances pour dtecter des trajets multiples : dans un canal de propagation trajets multiples, des versions dcales du signal transmis parviennent au rcepteur des intervalles de temps diffrents. Alors que, dans dautres systmes de radiocommunication, cette proprit est considre comme un inconvnient, dans un systme CDMA, elle est considre comme un avantage, car une forme de diversit appele diversit de trajets multiples peut tre exploite. Lobjectif est de dtecter le plus grand nombre de trajets pour les combiner. Ainsi, le signal final se trouve renforc avant que ne dbute la phase de rcupration de linformation. Ce qui se traduit par une diminution du taux derreur et, par consquent, par une amlioration des performances. Le nombre de trajets que lon peut dtecter saccrot proportionnellement avec le dbit chip et donc avec la largeur de la bande occupe par le signal tal. Une possibilit de dploiement dans un spectre de frquence dj utilis : faire cohabiter un systme CDMA large bande et un autre systme cellulaire sur un mme spectre de frquence est techniquement possible [BAIE94 & LAUR92]. En effet, plus la largeur de la bande du signal tal dans un systme CDMA est grande et plus le signal peut sapparenter du bruit pour un autre systme cellulaire qui oprerait dans la mme bande. Le premier tat des spcifications techniques de lUMTS, par exemple, a permis dallouer 5 MHz un utilisateur mais il nest pas exclu, dans le futur, dtendre la largeur de la bande 10 MHz ou 20 MHz : plusieurs sous-systmes offrant une bande passante par utilisateur de 5 MHz ou de 10 MHz pourraient cohabiter pourvu que leurs frquences porteuses ne se chevauchent pas. Le revers de la mdaille est que, le dbit chip tant plus lev, un systme CDMA large bande requiert un support matriel et logiciel plus labor que les autres systmes. En outre, par rapport aux

32

Chapitre 1 : Techniques daccs au mdia systmes bass sur le FDMA ou le TDMA, un systme CDMA large bande prsente des inconvnients : Une interfrence mutuelle entre les utilisateurs de la cellule. Si dans la voie descendante (de la station de base vers le terminal mobile), en absence de trajets multiples, on peut garantir lorthogonalit des signaux tals (les signaux cods sont aligns dans le temps), dans la voie montante (du terminal mobile vers la station de base), toujours en absence de trajets multiples, les signaux tals ne sont plus orthogonaux parce que les utilisateurs transmettent de faon non synchronise et les mobiles crent des interfrences entre eux. Ces interfrences dsignes sous le nom dinterfrences daccs multiple (Multiple Acces Interference, MAI) sont beaucoup plus importantes que dans les systmes TDMA ou FDMA o lorthogonalit temporelle et/ou frquentielle savre plus efficace.

Une synchronisation temporelle prcise. Pour effectuer la corrlation entre le code


gnr la rception et celui qui se trouve dans le signal reu, une synchronisation temporelle trs prcise, de lordre dune fraction de chip, est requise. Une mauvaise synchronisation temporelle peut tre lorigine dun bruit de corrlation gnr la rception, ce qui constituerait une source additionnelle dinterfrence. De ce fait, la synchronisation dans un systme CDMA constitue lune des tches de traitement numrique qui demandent le plus de ressources tant logicielles que matrielles ;

Un contrle de puissance rapide. Plus un utilisateur est proche de la station de base, plus
la puissance reue par celle-ci est grande. Ainsi, les utilisateurs situs plus loin auront beau mettre la mme puissance que les utilisateurs proches de la station, celle-ci risque de ne pas les dtecter parce que ces derniers auront bloui la premire ou encore cr une interfrence importante. Ce phnomne connu sous le nom deffet proche-lointain peut tre vit si lon met en place un mcanisme de contrle de puissance permettant tous les utilisateurs davoir la mme puissance la rception, cest--dire au niveau de la station de base. Le contrle de puissance doit tre suffisamment rapide pour viter que leffet proche-lointain ne se produise. Or, dans la pratique, on est confront des erreurs dans lestimation de la puissance, des erreurs dans lestimation du signal de commande qui ordonne daugmenter ou diminuer la puissance, ou encore des retards de propagation qui font que le contrle de puissance est difficile ajuster.

4. Interface daccs large bande CDMA (W-CDMA)


Avant daborder laspect pratique de la conception de la partie rception du systme utilisant ltalement de spectre, nous nous intresserons ici la thorie de linformation quelle impose par la mthode daccs large bande. Les paragraphes suivants nont pas pour but de dmontrer la thorie, mais juste de donner des notions fondamentales de la structure du systme W-CDMA afin de montrer

33

Chapitre 1 : Techniques daccs au mdia lenvironnement technique adquat qui permet deffectuer une transmission fiable travers un support de transmission. En effet, le CDMA large bande (W-CDMA) est une extension du concept de CDMA bande troite. Le W-CDMA a t cibl pour la troisime gnration (3G) des systmes de communication comme lUMTS (Universal Mobile Telecommunication Systems). Les diffrences les plus nettes entre les systmes de troisime gnration et les systmes de deuxime gnration se trouvent dans les protocoles de communication sur linterface daccs. En fait, le CDMA a dj t utilis comme interface daccs dans les systmes de deuxime gnration comme IS-95 qui ont t construits principalement pour fournir des services tels que la parole dans les macrocellules. Ce systme a t prsent gnralement sous le nom de systme CDMA bande troite. Lvolution du CDMA vers le W-CDMA a t faite pour tenir compte des nouvelles exigences introduites par les systmes de communication 3G. Ces exigences sont principalement dictes par les nouveaux services haut dbit tels que le multimdia, la vidoconfrence, linternet, etc. Dans cette section, les principales caractristiques de l'interface d'accs W-CDMA sont prsentes. Pour plus dapprofondissement, se rfrer [HOLM04].

4.1. Caractristiques du W-CDMA


Toutes les caractristiques du CDMA s'appliquent galement au W-CDMA. Nanmoins, le WCDMA apporte plusieurs amliorations concernant la performance et la flexibilit des services, ainsi que lexploitation de lextensibilit. Les principales diffrences entre CDMA et W-CDMA [DAHL98] sont couvertes dans les sections suivantes. Le CDMA et le W-CDMA sont des systmes daccs multiple par rpartition de code utilisant une modulation par squence directe (DS-CDMA, Direct Sequence Code Division Multiple Access). Cela signifie que les bits correspondants aux donnes utilisateur sont tals sur une large bande passante en multipliant ces donnes utilisateur par une squence pseudo-alatoire de bits (appele chip) provenant des codes dtalement CDMA. Afin de pouvoir supporter des dbits trs levs (jusqu' 2Mbit/s), le W-CDMA utilise des transmissions facteur dtalement variable et codes multiples. Le dbit chips (dbit binaire de la squence de code) de 3.84 Mc/s donne une bande passante par porteuse de lordre de 5 MHz. La large bande passante par porteuse du W-CDMA permet de supporter des dbits utilisateur importants. Elle a en outre un impact bnfique sur les performances du systme. En effet, en fonction de la licence qui lui a t attribue, loprateur peut utiliser plusieurs porteuses pour augmenter la capacit de son rseau. Lespacement des porteuses peut tre choisi par pas de 200 kHz, entre 4,4 et 5 MHz, selon le niveau dinterfrence entre les porteuses. Contrairement le IS-95, le WCDMA ne ncessite pas de synchronisation des stations de base. Il ny a donc pas besoin de rfrence globale de temps comme pour le GPS (Global Positioning System).

34

Chapitre 1 : Techniques daccs au mdia Tableau 1: Les diffrences principales entre les interfaces radio W-CDMA et IS-95
UMTS (W-CDMA) Mthode daccs multiple Espacement entre porteuses Dbit Chip (dbit de la squence de code) Frquence de contrle de puissance Synchronisation des stations de base Paquet de Donnes Dtection Handover inter- frquence Algorithmes de gestion des ressources radio Transmission de donnes Diversit de transmission liaison descendante (Downlink) DS-CDMA 5MHz 3.84Mcp/s 1500Hz (uplink et downlink) Pas ncessaire Load-Based Packet Scheduling Cohrente, dans la voie montant et la voie descendante Oui Oui En fonction de la charge Supporte, augmente la capacit de la liaison descendante IS-95 (CDMA) DS-CDMA 1.25MHz 1.2288Mcp/s la liaison montante: 800Hz la liaison descendante : lente Ncessaire (avec GPS) Paquet de donnes transmis comme Short Circuit Switched Calls Cohrente, seulement dans la voie descendant Possible Pas ncessaire Mode circuit Non supporte par la norme

De plus, le W-CDMA utilise le contrle de puissance rapide en boucle ferme la fois dans la voie montante et la voie descendante, alors que le contrle de puissance rapide de lIS-95 est utilis uniquement dans la voie montante. De mme, le taux de SIR (Signal-to-Interference Power Ratio) dans le W-CDMA est beaucoup plus lev. Dans la voie descendante, un contrle de puissance en boucle ferme est ncessaire pour fournir une puissance supplmentaire aux utilisateurs qui se trouvent au bord de la cellule. Cela assure que l'interfrence cause par la cellule voisine ne bloque pas le signal de la cellule actuelle. En outre, dans le W-CDMA, il existe une rfrence commune de phase pour la dtection cohrente dans les voies montantes et descendantes. Ces rfrences de phase sont utilises pour aligner dans le temps les composantes des trajets multiples dtects ; cet alignement a pour but damliorer lefficacit de la combinaison. LIS-95 utilise une mthode similaire, mais seulement dans la voie descendante. Dans beaucoup dapplications multimdias, la voie montante et la voie descendante ont besoin dune capacit asymtrique. En effet, la navigation sur le Web, par exemple, provoque plus de charges sur la voie descendante que sur la voie montante. Pour pallier ces charges, linterface radio W-CDMA utilise la diversit de transmission (Transmit Diversity), puisque le signal de la voie descendante sera transmis en utilisant deux antennes. Ceci a pour effet damliore la capacit, car les signaux transmis par les deux antennes peuvent tre considrs comme des composantes additionnelles de trajets multiples et utiliss dans la combinaison de diversit du rcepteur RAKE pour amliorer la rception.

35

Chapitre 1 : Techniques daccs au mdia Mais, cette proprit n'est pas prise en charge par linterface radio de la deuxime gnration. Le WCDMA supporte aussi dautres techniques telles que les rseaux dantennes adaptatives (Adaptive Antenna Arrays) et la dtection multi-utilisateur [HOLM04 & OJAN98].

4.2. Flexibilit et extensibilit de services dans le W-CDMA


Le W-CDMA permet doffrir des dbits variables aux utilisateurs. Autrement dit, il est possible de proposer aux utilisateurs de la bande passante la demande (BoD, Bandwith on Demand). chaque utilisateur est attribue une trame dune dure de 10 ms durant laquelle le dbit est constant. Cependant, ce dbit peut varier dune trame lautre. Cette allocation dynamique de la capacit est contrle par le rseau afin dobtenir un dbit optimal pour les services paquets. En fait, le W-CDMA propose l'utilisation dun facteur dtalement variable (Spreading Factor SF) et de transmission multicode afin d'obtenir la BoD. Dans la transmission multicode, plus dun canal de donnes est consacr un utilisateur. Par exemple, pour arriver au dbit maximal de 2.3 Mbps dans la voie descendante, nous utilisons un facteur dtalement gal quatre, et trois canaux parallles de code [OJAN98]. Le W-CDMA propose galement une extensibilit de service. En effet, il est aussi possible de mlanger des services simultans avec diffrentes conditions de qualits et diffrents dbits dans un mme signal de connexion. Par exemple, les services traditionnels de parole peuvent tre accompagns de services vido en temps rel, mais cela ncessite un dbit trs lev et une limitation du retard. En ralit, nous avons besoin dun gestionnaire de ressource radio trs efficace pour fournir cette trs large varit de dbits diffrents dans la connexion, tout en garantissant la qualit de la transmission. vrai dire, linterface daccs W-CDMA a t conue de telle faon que certaines fonctionnalits avances de CDMA, comme la dtection multi-utilisateur et les antennes adaptatives, puissent tre dployes par loprateur afin daccrotre la capacit et/ou la couverture de son rseau.

4.3. Flexibilit doprateur dans W-CDMA


Les systmes de communication CDMA supportent deux types de rseaux, synchrones ou asynchrones. Dans un systme DS-CDMA synchrone, les stations de base respectent une rfrence de temps unique pour pouvoir transmettre. La rfrence de temps dans le rseau peut tre fournie par un systme de navigation par satellite de type GPS, comme cest le cas en IS-95 o les stations de base peuvent tre physiquement relies une horloge commune par le biais de cbles lectriques. De mme, la technique TD-CDMA (UTRA/TDD) demande une synchronisation entre les diffrentes stations de base pour optimiser les performances du rseau, notamment en raison de sa composante TDMA et de lutilisation du mode de duplexage TDD.

36

Chapitre 1 : Techniques daccs au mdia La contrainte impose par la synchronisation des stations de base dans un rseau DS-CDMA synchrone rend plus difficile son dploiement, surtout dans des situations o le mobile passe dun environnement extrieur un environnement intrieur, o la rception du signal provenant des satellites pour la synchronisation de la station de base est moins bonne. En contrepartie, un systme synchrone possde certains avantages. En effet, lorsque le mobile doit communiquer simultanment avec plusieurs stations de base, ce qui est possible dans un systme DS-CDMA, le mobile doit effectuer une procdure de synchronisation temporelle avec chacune dentre elles. Si les stations de base sont synchrones, le dcalage temporel entre les signaux quelles mettent peut tre connu par le mobile, ce qui pourra simplifier la tche de synchronisation de son rcepteur. Dans un systme daccs radio W-CDMA (UTRA/FDD) utilisant la technologie asynchrone, aucune contrainte de la rfrence de temps nest impose aux stations de base qui peuvent transmettre de faon tout fait indpendante. La rfrence de temps dans les systmes synchrones est ncessaire parce que toutes les stations de base utilisent les mmes codes dtalement, et la sparation entre elles est faite par la compensation des phases du code utilis [LEE98]. Cette ncessit dune rfrence de temps est omise dans le WCDMA parce que nous utilisons un code dembrouillage comme rfrence pour identifier les utilisateurs. Cela conduit au dploiement de stations de base asynchrones (W-CDMA), notamment dans des situations o le mobile passe dun environnement extrieur un environnement intrieur. En plus du handover intra-frquence entre les stations de base, le W-CDMA a aussi la capacit de faire du handover inter-frquence et inter-systmes. Avec les structures hirarchiques de W-CDMA, les systmes peuvent fournir une grande capacit et assurer une couverture complte et une grande mobilit. Les handovers inter-frquences sont ncessaires dans ces types de systmes pour fournir la capacit ncessaire, tandis que les handovers inter-systmes jouent un rle important particulirement dans la premire phase du systme W-CDMA [DAHL98].

5. Description gnrale de la chane de transmission de W-CDMA


Une partie importante dans le W-CDMA est la chane de transmission des donnes qui sera ralise laide des composants analogiques et numriques. En effet, la chane de transmission W-CDMA applique une partie des techniques de traitement du signal spcifiques aux diffrents types dinformations (diffrents canaux). Tout dabord, le W-CDMA incorpore ltalement de spectre en mission et la procdure inverse en rception. Ensuite, cette chane de transmission met en place des techniques trs souples de multiplexage. En effet, ces techniques permettent de grer simultanment plusieurs services aux qualits varies, propres une communication multimdia. Dans le chapitre prcdent, nous avons tudi la couche physique charge notamment de transporter linformation gnre par les couches suprieures. Il sagit de vhiculer cette information

37

Chapitre 1 : Techniques daccs au mdia tout en respectant les contraintes de qualit imposes par le service (dlai, dbit, taux derreur, etc.). Pour ce faire, la couche physique met la disposition des couches suprieures les canaux physiques qui prennent la relve des canaux de transport.
Couches suprieurs Couche physique

Opration appliques sur les canaux physiques

Source binaire (donne et signalisation)

Multiplexage et codage canal

Bits

Passage de bit symbole (de valeurs relles complexes)

Symboles

Etalement de spectre Code dtalement

Chips

Modulation RF Frquence porteuse

Signal transmis

Opration appliques sur les canaux de transport Opration appliques sur les canaux physiques

Bits estims (donnes et signalisation) Couches suprieurs

Dmultiplexage et dcodage canal

Bits

Dtection (avec un rcepteur RAKE

Symboles

Ds-talement de spectre

Chips Dmodulation RF

Signal reu

Couche physique

Code dtalement

Frquence porteuse

Figure 1.10 : Fonctions effectues par la couche physique dans la chane de transmission

Dans la figure 1.10 nous pouvons voir les diffrentes oprations de traitement du signal utilises dans la chane de transmission W-CDMA. Les fonctions de multiplexage et de codage canal sappliquent aux bits dlivrs par les couches suprieures la couche physique . Une fois les chips prsents, ils subissent des traitements du signal spcifiques pour pouvoir tre transmis travers le canal. Auparavant, les bits sont convertis en symboles et subissent lopration dtalement de spectre qui va les transformer en chips. Ce sont ces chips qui sont transmis par lantenne de lmetteur aprs tre moduls et placs sur une frquence porteuse. Les fonctions inverses sont mises en place la rception.

5.1. talement de spectre et la modulation radio dans le W-CDMA


Dans cette section nous prsentons la partie de lmetteur W-CDMA qui nous intresse, c'est--dire ltalement de spectre et la modulation radio. Ltalement de spectre contient deux oprations : la premire opration est la canalisation (channelization) ; cette opration transfre tous les symboles de donnes aux chips par la multiplication du chaque bit d'information par une squence du code orthogonal, ce qui augmente la largeur de bande du signal. En fait, la longueur de cette squence de bits est appele facteur dtalement (SF, spreading factor). Le code utilis (appele code de canalisation) doit raliser lorthogonalit entre les diffrents utilisateurs du canal physique. La deuxime opration est lembrouillage et est applique sur le signal tal sans affecter sa largeur de bande. Le code utilis (appel code dembrouillage) permet une station de base de diffrencier sur la voie montante les utilisateurs sous son contrle, et aux utilisateurs didentifier les stations de base. En

38

Chapitre 1 : Techniques daccs au mdia gnral, les codes utiliss pour les deux oprations dtalement de spectre (canalisation et embrouillage) doivent avoir une bonne proprit de corrlation.

5.1.1. Proprits des codes utiliss pour ltalement de spectre


Les systmes de communication utilisant ltalement de spectre offrent une efficacit de spectre trs leve grce leurs capacits et leurs possibilits dattribuer une largeur de bande chaque utilisateur. Mais en considrant que les procdures dtalement et de dstalement ayant lieu respectivement dans lmetteur et dans le rcepteur de ces systmes sont des oprations idales. Ce nest pas le cas en pratique, car les proprits des codes utiliss ajoutent une srie dimperfections susceptibles de dgrader le contenu spectral et temporel du signal. Le choix des codes dtalement est directement influenc par leurs proprits de corrlation, et plus prcisment par leurs proprits dautocorrlation et dintercorrlation. En pratique, les codes dtalement possdent de bonnes proprits de corrlation si chaque signal tal nest pas corrl avec les autres signaux de la mme bande, cest--dire que la squence pseudo-alatoire est diffrente pour chaque utilisateur, pour ne perdre aucune information pendant lmission, la transmission et la rception. En effet, le systme de communication doit tre capable de traiter la demande de diffrents utilisateurs ; pour cela il doit tre capable dassurer les diffrents services des utilisateurs. Dans un canal de transmission, chaque utilisateur occupe une bande de frquence, mais il existe une interfrence entre les utilisateurs, et cette interfrence dtermine la capacit du canal dans le systme de communication. Les codes utiliss pour ltalement de spectre doivent tre orthogonaux dans le cas idal. Si nous avons cette proprit pour lintercorrlation et l'autocorrlation de codes, nous allons dune part rduire les effets de la propagation par trajets multiples et linterfrence entre symboles ISI (InterSymbol Interference), et dautre part, dterminer linterfrence entre les utilisateurs, ou interfrence daccs multiple (MAI, Multiple Access Interference) [SARW80]. Du point de vue statistique, lautocorrlation est une mesure de la correspondance entre un code et une version dcale de celui-ci. Soit, le code ci de longueur M telle que ci = [ci(0), ci(1), ..., ci(M -1)] . La fonction d'autocorrlation Rci(t) s'crit de la faon suivante :

ci

(t ) =

M 1 t

k =0

ci ( k )ci ( k + 1)

1.16

Par ailleurs, lintercorrlation reprsente le degr de correspondance entre deux codes diffrents. Lexpression mathmatique de la fonction dintercorrlation Rcicj(t") de deux codes ci et cj de longueur M tels que ci = [ci(0), ci(1), ..., ci(M -1) et cj = [cj(0), cj(1),..., cj(M -1)], scrit :

cic

(t ) =

1 t

k = 0

ci (k )c

(k + 1)

1.17

39

Chapitre 1 : Techniques daccs au mdia Les lments des codes dans les deux prcdentes expressions ([1.16] et [1.7]) ont la valeur +1 ou 1 . Cette notation, appele notation polaire, est utilise pour effectuer les corrlations laide de simples additions arithmtiques. Ainsi, les 1 sont reprsents par des 1 et le 0 par des +1 . Cette reprsentation sera utilise par la suite sans perte de gnralit. Dans un contexte daccs multiple, on peut comprendre intuitivement lutilit que prsentent des codes caractriss par une autocorrlation qui satisfait Rci(t)=0 pour t0 , avec Rci(0)=M et par une fonction dintercorrlation nulle Rcicj(t) =0 pour toute t . En effet, les codes ayant une fonction dintercorrlation nulle simplifieraient la tche de lmetteur et du rcepteur qui pourraient communiquer via un mme code tout en faisant abstraction des autres utilisateurs transmettant en mme temps, sur la mme frquence, mais avec des codes distincts. Par ailleurs, une fonction dautocorrlation nulle pour t0 , permettrait dannuler linterfrence cause par les trajets multiples. Si la fonction dintercorrlation entre deux codes diffrents ci et cj satisfait Rcicj(0)=0 , on dit que les deux codes sont orthogonaux. Dans le cas o les codes ne sont pas compltement orthogonaux, un rsidu dintercorrlation apparat entre le code de lutilisateur qui nous intresse et ceux des autres utilisateurs dans la cellule. Ce rsidu donne lieu linterfrence daccs multiple (MAI). Cette dernire est dautant plus gnante que la puissance dmission des autres utilisateurs est mal rgle, comme cest le cas lorsque leffet proche-lointain est prsent.

5.1.2. Code de canalisation


Les codes de canalisation, appels aussi codes orthogonaux, rendent possible le passage de symboles aux chips, c'est--dire dun signal en bande troite un signal en large bande. La fonction dtalement est accomplie en multipliant la partie relle (voie I) et imaginaire (voie Q) de chaque symbole dinformation par le code de canalisation allou lutilisateur et dont la taille est gale au facteur dtalement SF . Ce code peut tre le mme pour chaque voie suivant que lon se place dans la voie montante ou dans la voie descendante. Dans la voie descendante, les codes de canalisation permettent didentifier les symboles dinformation appartenant chaque utilisateur. Dans la voie descendante, il est possible dutiliser des codes de canalisation orthogonaux pour identifier les utilisateurs, car la station de base dcide du moment o linformation est transmise vers les stations mobiles (les signaux tals sont synchrones). Dans la voie montante, la transmission depuis les mobiles vers la station de base nest pas synchronise et les signaux qui ont t tals grce aux codes de canalisation ne sont plus orthogonaux dun utilisateur lautre. Aussi, on prfre employer dans cette voie des codes dembrouillage pour distinguer les utilisateurs en raison de leurs proprits dintercorrlation qui sont suprieures celles des codes de canalisation. Dailleurs, dans la voie montante, des utilisateurs diffrents dans une mme cellule peuvent utiliser un mme code de canalisation pour transmettre : cest le code dembrouillage qui les identifie [KUMA96].

40

Chapitre 1 : Techniques daccs au mdia 5.1.2.1. Gnration des codes de canalisation Lune des caractristiques fondamentales des systmes 3G est la possibilit doffrir des services multimdias dans lesquels plusieurs flots dinformation peuvent tre transmis en parallle, chacun correspondant un service dont le dbit binaire peut tre diffrent. Notons que le dbit symbole Rs satisfait Rc /SF , o Rc est le dbit chip qui est constant et gal 3.84 Mcps et SF est le facteur dtalement. Dans ce contexte, il est ncessaire de gnrer des codes de canalisation avec un SF variable tout en prservant leur orthogonalit (deux codes sont orthogonaux si leur fonction dintercorrlation satisfait Rcicj(0)=0 . Pour ce faire, on fait appel la mthode propose dans la rfrence [ADCH97] dite OVSF pour Orthogonal Variable Spreading Factor. Les codes ainsi gnrs sont appels codes OVSF. Considrons la matrice CN de taille NN et compose de N codes de canalisation de longueur N Chips o N = 2K (K est un entier positif). Soit CN, i le vecteur correspondant la ligne i de cette matrice. Suivant cette notation, CN est gnre partir de la matrice CN/2 daprs :

CN / 2,0 CN ,0 CN / 2,0 CN / 2,0 CN ,1 CN / 2,0 M M M = CN = M M M C C C N , N 2 N / 2,( N / 2) 1 N / 2,( N / 2) 1 CN , N 1 CN / 2,( N / 2) 1 CN / 2,( N / 2) 1


obtenus partir dune structure en arbre, comme le montre la figure 1.11 suivante :
C4,0 = [1 1 1 1] C2,0 = [1 1] C4,1 = [1 1 -1 -1] C1,0 = [1] C4,2 = [1 -1 1 -1] C2,1 = [1 -1] C4,3 = [1 -1 -1 1] SF = 1 + SF = 2 Dbit binaire SF = 3 [c] [c -c] [c c]

1.18

Avec CN,0=1 . Il sensuit que les codes de canalisation de longueur variable peuvent tre

Figure 1.11 : Structure en arbre pour la gnration des codes orthogonaux de canalisation

On peut gnrer un total de N = 2K codes de canalisation de taille N , la valeur de N tant prcisment le facteur dtalement SF . On observe sur la figure 1.11 que la taille des codes chaque niveau de larbre est diffrente et le dbit binaire diminue lorsque K saccroit, cest--dire lorsque SF augmente.

41

Chapitre 1 : Techniques daccs au mdia Dans la voie montante, la valeur minimale de SF est 4 et la valeur maximale est 256. Les dbits symboles maximums et minimums associs sont respectivement 960 kbps et 15 kbps. Dans la voie descendante, la valeur minimale de SF est toujours 4 alors que la valeur maximale est 512, ce qui limite le dbit de symbole minimum 7,5 kbps. Les codes gnrs dans les branches de larbre se situant au mme niveau constituent un ensemble de fonctions orthogonales de Walsh qui correspondent aux lignes dune matrice de Hadamard [WALS23]. On peut aussi constater que les codes drivs dun code mre ne sont pas orthogonaux avec celui-ci. Par exemple, si le code C2,0 est assign un utilisateur, il devient mre des codes C4,0, C4,1, C8,0, C8,1, C8,2, C8,3. Ce qui signifie que ceux-ci ne pourront pas tre attribus dautres utilisateurs qui transmettent un dbit infrieur, car ils ne sont pas orthogonaux C2,0 et le rcepteur narriverait pas les diffrencier (cas de la voie descendante). Dans le mme ordre dides, lascendance du code mre est aussi bannie de lutilisation. Dans notre exemple, C2,0 est le code mre, cest--dire que le code C1,0 ne pourra pas tre allou un autre utilisateur qui souhaite transmettre un dbit deux fois suprieures, car le C2,0 nest plus orthogonal avec C1,0 . Enfin, lorsque C2,0 est attribu un utilisateur, on peut montrer que ce code reprsente 50% de la totalit des codes de canalisation disponibles. Par contre si cest C4, qui est assign, seuls 25% de lensemble des codes de larbre sont utiliss.

5.1.3. Code dembrouillage


Le signal tal par les codes de canalisation est multipli chip par chip par un autre code dit dembrouillage. Ce code fait partie des codes pseudo-alatoires dont les proprits dintercorrlation sont suprieures celles obtenues par les seuls codes de canalisation. Dans la voie descendante, les codes dembrouillage permettent didentifier une cellule. Il existe donc un code unique dembrouillage par cellule. Lutilisation des codes dembrouillage rend les proprits statiques de linterfrence proches de celles dun bruit gaussien, sachant que cette interfrence est provoque par les cellules voisines mettant sur la mme frquence porteuse. Le code dembrouillage permet donc de faciliter la tche du rcepteur lors la dtection des symboles transmis. Dans la voie montante, les codes dembrouillage sont utiliss non seulement pour amliorer les proprits statistiques des codes de canalisation, mais aussi pour diffrencier les utilisateurs prsents dans une mme cellule. 5.1.3.1. Gnration des codes dembrouillage Nous avons employ le terme pseudo-alatoire pour parler des codes utiliss pour taler le signal. Ce sont ces deux mots pseudo et alatoire qui confrent ce type de codes des proprits assez intressantes justifiant leur utilisation dans un systme de radiocommunication. En effet, le code nest pas complment alatoire, car il est heureusement possible le rgnrer la rception pour rcuprer

42

Chapitre 1 : Techniques daccs au mdia linformation transmise, pourvu que lmetteur et le rcepteur soient parfaitement synchroniss. Un code pseudo-alatoire est donc un code binaire dont les proprits statiques ressemblent celles dune squence binaire alatoire [SARW80]. Un registre dcalage binaire, comme celui dcrit par la figure 1.12, reprsente lune des manires les plus courantes pour gnrer des codes pseudo-alatoires. Son fonctionnement est le suivant : une fois initialiss les diffrents tats du registre, le bit en sortie est calcul chaque coup dhorloge en additionnant modulo 2 tous les bits prsents chaque tat. Les bits sont ensuite dcals de manire circulaire pour rinitialiser les tats et calculer le bit suivant. Ce registre, dont le nom complet est registre linaire dcalage avec contreraction de langlais Linear Feedback Shift Register (LFSR), est dit priodique, car quelles que soient les valeurs initiales, cest--dire les valeurs prises par ai , on retrouve ces mmes valeurs aprs un nombre fini de priodes dhorloge. Comme le registre comprend n tats reprsents par les valeurs binaires de ai , il est possible de gnrer 2n codes pseudo-alatoires. Il en rsulte aussi que la priode de la squence nest jamais suprieure 2n-1 .

n-1

n-2

Xn-1 an-1 Horloge

Xn-2 an-1

X a1

1 a0

Figure 1.12 : Schma gnrique dun registre dcalage

En outre, on peut voir sur la figure 1.12 que lorsque les valeurs initiales sont toutes gales zro, le registre reste dans le mme tat de faon permanente : le code obtenu nest pas compos que de zro. Le nombre maximum dtats possibles, diffrents de 0 , est P = 2n 1 . Une squence binaire de priode P = 2n 1 , gnre avec un registre dcalage de type LFSR, est appele m-squence ou encore, squence longueur maximale (Maximal Length Sequence). On peut noter sur la figure 1.12 que le gnrateur de m-squence peut tre reprsent suivant une notation polynomiale [SARW80].
f ( X ) = X n + n 1 X n 1 + n 2 X n 2 + .......1 X + 1

1.19

Les coefficients i peuvent prendre deux valeurs 1 ou 0 . Ainsi, lorsquil y a une connexion physique, i = 1 et lorsque i = 0 , il ny a pas de connexion. Le polynme irrductible gnrant une m-squence est dit primitif. Un polynme primitif permet de gnrer deux squences : la squence 0 (tat initial 0 ) ou une squence de priode P (la mme squence quel que soit ltat initial, non nul). Donc, il y a autant de m-squence que de polynmes primitifs [GOLO92]. Le nombre

43

Chapitre 1 : Techniques daccs au mdia de polynmes primitifs et par consquent le nombre de m-squences que lon peut gnrer avec un polynme de degr n sont trs infrieurs P , un fait qui peut contraindre son utilisation dans un systme W-CDMA o lon cherche avoir le nombre de squences diffrentes le plus lev possible. De plus, les proprits dintercorrlation entre deux m-squences sont assez mdiocres, car des pics assez prononcs peuvent apparatre. Afin damliorer les proprits dintercorrlation des m-squences tout en pouvant gnrer un nombre plus important de codes avec les mmes proprits pseudoalatoires, un autre type de codes a t propos : les codes de Gold. La principale qualit des codes de Gold est lie au fait que la fonction dintercorrlation entre deux codes est uniforme et borne [GOLD68]. Dans son article, J. Gold propose dadditionner en modulo 2 deux m-squences de mmes longueurs, gnres par deux polynmes primitifs diffrents. Si la priode des deux squences est 2n 1 , la squence rsultante aura aussi 2n 1 comme priode. Si nous considrons tous les dcalages possibles entre les deux m-squences, on peut gnrer un total de 2n + 1 codes (les 2n 1 codes prcdents plus les deux m-squences gnratrices). Gold dmontre que certaines paires de m-squences possdent des pics dintercorrlation dont la magnitude est gale ou infrieure la valeur dintercorrlation minimale entre toutes les paires de msquences de mme longueur. Cette paire de m-squences est appele paire prfre [GOLD68]. On va voir ici de quelle manire les codes de Gold sont gnrs partir de deux m-squences dont les polynmes sont, par exemple, x = X5 + X2 + 1 et y = X5 + X4 + X2 + X + 1 . La figure 1.13 illustre les registres dcalages respectifs et leur mode dinterconnexion pour gnrer les 25 + 1 = 33 codes de Gold correspondants.
m-squence 1 X5 x = 1 + X2 + X5

X4

a4

X3

X2

a3

a2

a1

a0

m-squence 2 Horloge X5 X4 X3 X2 X 1

P = 25 1 chips

b4

b3

b2

b1

b0
y = 1 + X + X2 + X4+ X5

Figure 1.13: exemple de gnration de codes Gold

Que ce soit dans la voie montante ou dans la voie descendante, chaque lment du code dembrouillage est reprsent par une valeur complexe. Si lon note Cn la squence complexe dembrouillage n et Cn(i) li-ime lment de cette squence, lopration dembrouillage ralise au niveau de lmetteur de la station de base ou de la station mobile est effectue suivant le schma de la figure 1.14. Lorsque lon met en place une squence dembrouillage complexe, lamplificateur de puissance enregistre les mmes performances, quelle que soit la diffrence de puissance G qui existe entre les voies I et Q . Par exemple, pour le calcul de la squence complexe Cn dans 44

Chapitre 1 : Techniques daccs au mdia la voie montante, on a le choix entre deux options, suivant quil sagisse dune squence de Gold longue ou une squence de Gold courte [LACA03], [DINA98].
R {Cn(i)} Chip (i) voie I Chip (i) voie I

Signal tal par OVSF Im {Cn(i)} Im {Cn(i)}

Signal tal et embrouill

Chip (i) voie Q R {Cn(i)}

Chip (i) voie Q

Figure 1.14 : Processus dembrouillage complexe appliqu en mission

5.2. Modulation QPSK


En mission, lopration de modulation transforme le signal en bande de base, une fois converti en analogique en signal radio, afin de pouvoir le transmettre dans lair. Suivant le type de modulateur radio employ (htrodyne ou homodyne), le signal peut passer par une frquence intermdiaire avant dtre plac sur la frquence porteuse. La figure 1.15 montre comment lon peut effectuer la modulation au niveau de lmetteur de la station de base et de la station mobile, sachant que ceci nest quune des manires possibles de procder.
Modulation QPSK cos (wt) R {S} Filtre RRC CNA

Diviseur

Signal complexe tal et embrouill

PA

Im {S}

Filtre RRC

CNA -sin (wt)

Figure 1.15 : Exemple dune chane dmission utilisant la modulation radio QPSK

Aprs avoir t tal et embrouill, le signal complexe qui en rsulte, compos de chips, est rparti sur une voie relle et sur une voie imaginaire appele respectivement voies I et Q . Puis, il est filtr avec des filtres numriques RRC (Root Raised Cosine) et converti en signal analogique laide de convertisseurs numriques/analogiques (CNA). Le signal passe encore par des filtres analogiques avant dtre moduls en quadrature et transposs sur une frquence w .

45

Chapitre 1 : Techniques daccs au mdia Aprs le filtrage analogique, le signal est ensuite modul suivant le principe de la modulation QPSK. Ce type de modulation permet la transmission de deux bits par symbole ou, plutt, de deux chips par symbole (le signal binaire a dj t tal). La phase du signal modul prend diffrentes valeurs reprsentant uniquement une paire de chips. Dans le schma de la figure 1.15, le signal modul est amplifi laide dun amplificateur de puissance (PA pour Power Amplifier) avant dtre transmis. Le choix du modulateur QPSK a t effectu prcisment avec le souci damliorer son efficacit. En effet, lefficacit de lamplificateur de puissance est particulirement importante dans la station mobile, car elle a une influence directe sur la consommation dnergie du terminal, et partant, sur son autonomie. Lembrouillage complexe appliqu aprs talement permet de maintenir le mme niveau de puissance moyenne sur les voies I et Q , indpendamment de lamplitude de ces deux voies avant lembrouillage. Lefficacit de lamplificateur est ainsi accrue. En fait, une mode FDD est considre dans les sections suivantes de cette thse, et la mthode de modulation applique est QPSK (Quadrature Pulse Shift Keying).

5.3. Caractristiques du canal de propagation


Le canal de propagation est la bte noire de tout systme de radiocommunication, parce quil engendre des dgradations sur le signal transmis. Afin de choisir les techniques de traitement du signal appropries et mtre en uvre dans la chane dmission/rception (type de codage canal, entrelacement, vocodeur..) et au niveau du rseau (contrle de puissance lent ou rapide, diversit de transmission, antennes adaptatives, transmission discontinue.), il faut dfinir les caractristiques du canal de propagation. Les proprits du canal permettent de vrifier que la perte de propagation dans la voie montante et dans la voie descendante est quivalente et de garantir ainsi une couverture maximale avec la qualit de service demande [TABB97]. Dune manire gnrale, le phnomne qui se traduit par une variation de puissance du signal mesur la rception, en fonction du temps ou de la distance qui spare lmetteur du rcepteur, est connu sous le nom dvanouissement (fading). Daprs cette dfinition, nous pouvons classer les diffrents modles de propagation en trois catgories : vanouissement long terme : les vanouissements long terme se manifestent lorsque la distance qui spare lmetteur du rcepteur est importante (de quelques dizaines quelques milliers de mtres). En pratique, ces vanouissements sont modliss daprs des quations qui dterminent laffaiblissement de parcours (Path-Loss) [JUAN89] ; vanouissement moyen terme : en prsence dobstacles, tels que des arbres, des collines ou des immeubles, apparat le phnomne appel effet de masque. Ce phnomne est aussi

46

Chapitre 1 : Techniques daccs au mdia connu sous le nom dvanouissement moyen terme, il se manifeste des distances qui vont de quelques dizaines quelques centaines de mtres [PAPP96] ; vanouissement court terme : les vanouissements court terme sont caractriss par des variations rapides de la puissance du signal dans des intervalles de temps assez court. Ce type dvanouissement trouve ses origines dans les rflexions du signal transmis sur les diffrents obstacles et dans la vitesse relative entre le mobile et la station de base. la rception, plusieurs versions du signal, autrement dit des trajets multiples, arrivent des intervalles de temps diffrents. Langle darrive, lamplitude et la phase de chacun des trajets varient de manire alatoire.

6. Conclusion
Au cours de ce chapitre, nous avons rappel les diffrentes techniques daccs multiple couramment employes dans le domaine des communications radio frquences. Nous avons montr quil existe diffrentes mthodes daccs de type TDMA, FDMA. Les paramtres physiques, tels que le nombre de frquences porteuses, de longueurs donde ou dintervalles de temps disponibles, limitent la capacit de multiplexage et constitue le principal inconvnient de ces mthodes daccs. Dans le cas du CDMA, le nombre dutilisateurs tant li au nombre de codes disponibles, on peut obtenir une capacit de multiplexage plus importante en utilisant des algorithmes de gnration de codes ayant de bonnes proprits de corrlation. Nous avons aussi montr que la mthode daccs CDMA se dcline sous la forme directe qui regroupe le DS-CDMA, le TH-CDMA et le FH-CDMA. La mthode daccs CDMA est dfinie de manire tre tudie et intgre dans le modle dvelopp aux chapitres suivants. Linterface daccs W-CDMA est un systme utilisant ltalement de spectre qui permet de supporter des dbits utilisateur variables, propose une extensibilit de service et supporte deux types de rseaux : synchrones ; asynchrones. La chane de transmission W-CDMA applique une partie des techniques de traitement du signal numrique comme ltalement de spectre en mission et la procdure inverse en rception. Le choix des codes dtalement est directement influenc par leurs proprits de corrlation. En fait, il existe deux oprations dtalement de spectre : la premire opration est la canalisation, la deuxime opration est lembrouillage. En effet, la chane de transmission met en place des techniques trs souples pour grer simultanment plusieurs services aux qualits varies, propres une communication multimdia. Lexplication des fondements thoriques et les principes de ltalement de spectre et ses proprits font galement partie du cadre de notre travail. En fait, nous avons analys le point et le rle des diffrentes proprits du systme CDMA pour augmenter ou diminuer la capacit du systme, amliorez la qualit de service et dveloppez la performance du systme du point de vue du dbit/surface.

47

Chapitre 1 : Techniques daccs au mdia

48

Chapitre 2 : Architecture de rcepteurs W-CDMA

Chapitre 2 : Architectures de rcepteurs W-CDMA

1. Introduction
Dans ce chapitre, nous expliquons dun point de vue gnral la structure et la fonctionnalit du rcepteur utilis dans le W-CDMA. En fait, ltude architecturale du rcepteur donne une ide des caractristiques ncessaires pour rpondre aux services demands par linterface daccs large bande. En effet, la structure et la fonctionnalit du rcepteur doivent supporter les besoins des diffrents services proposs par linterface radio comme, par exemple, le dbit et le spectre. De plus, la flexibilit et lextensibilit des rcepteurs, lies la possibilit de traiter la diversit des trajets et des utilisateurs, ont une influence directe sur les performances du systme. Mais il ne faut pas oublier que les conditions de conception architecturale rendent ce processus trs difficile, surtout si des exigences de limitation en taille et en cot doivent tre prises en compte. Dans ce chapitre nous allons expliquer la partie rception du systme radio. En fait, au rcepteur, le signal reu par lantenne est dmodul par le bloc de dmodulation pour tre ramen en bande de base (BB) avant dtre traite par le bloc de traitement numrique du signal (DSP). Cest--dire que la partie radiofrquence et la partie numrique en bande de base de la rception sont traites et tudies, et plus particulirement la partie numrique. En effet, dans cette tude nous identifions les facteurs dominants de la partie numrique. Nanmoins, les deux parties de la rception ont la mme problmatique de point de vue de l'volution globale des performances du rcepteur. La partie radiofrquence (RF) et la partie bande de base sont devenues de plus en plus interdpendantes, surtout avec le dveloppement des nouveaux circuits intgrs et des circuits mixtes : les deux parties peuvent tre intgres sur une seule puce. Autrement dit, la partie RF intgre de plus en plus de fonctionnalits numriques.

2. Architecture de rception
Dsormais, nous nous concentrerons sur la chane de rception. Celle-ci est compose dune antenne qui reoit les signaux utiles et indsirables. Compte tenu du faible niveau des signaux la rception, qui est de lordre de 100 dBm, le systme ncessite un amplificateur RF introduisant trs peu de bruit, do son nom damplificateur faible bruit (Low Noise Amplifier, ou LNA). La slection 49

Chapitre 2 : Architecture de rcepteurs W-CDMA du canal utile se fait par filtrage analogique et/ou numrique. En fait, cette slection par le filtre se fait en deux tapes ou plus (en gnral, la slection de la bande de rception tant la premire tape) par un filtrage passe-bande laide de filtres qui possdent des facteurs de qualit trs levs. Ce sont en gnral des filtres onde de surface (SAW, Surface Accoustic Wave filters). Le passage des frquences radio aux basses frquences peut saccomplir en une ou plusieurs fois, lui aussi. Le mlangeur est llment qui accomplit le plus souvent cette opration. En bout de chane, le processeur numrique restitue linformation dorigine.

Traitement RF

Traitement BB

ADC

DSP

OL
analogique RF analogique BB numrique BB

Figure.2.1 : Schma de principe dun rcepteur radiofrquence

2.1. Partie analogique


La partie RF dun rcepteur relie l'appareil la liaison sans fil et transpose la frquence du signal reu vers une frquence centrale, gnralement infrieure celle du signal reu. Cest--dire que son principal travail est dextraire le canal radio et de transposer la frquence de la porteuse vers la bande de base. Cette frquence doit tre choisie en fonction des capacits offertes par le dmodulateur ainsi que par celles du bloc ralisant le traitement numrique. Elles sont troitement lies aux performances demandes. En fait, le traitement RF est fondamentalement caractris par sa capacit dtecter le signal dsir (sensibilit), et sa capacit rejeter les signaux dinterfrence (slectivit) [GROE00]. En plus de fournir la bonne fonctionnalit, la partie radiofrquence doit avoir une surface rduite, tre peu coteuse et efficace en ce qui concerne la puissance. Il est important de noter que la performance de la partie RF a un impact considrable sur la performance de la partie numrique, parce que la dynamique et le taux d'chantillonnage dpendent fortement des proprits de la partie radiofrquence [SPRI02]. En gnral larchitecture du traitement RF est principalement compose des mmes lments de base : lamplificateur faible bruit, le mlangeur et les filtres. Nanmoins, la manire de construire ces blocs influe sur leur efficacit. Nous pouvons distinguer deux grandes familles de rcepteurs RF, selon

50

Chapitre 2 : Architecture de rcepteurs W-CDMA que le passage des frquences RF vers les basses frquences se fait directement (rcepteur homodyne) ou en plusieurs tapes (rcepteur htrodyne) [SPRI02] [CHIE01].

2.1.1. Rcepteur htrodyne


Ce type de rcepteur est le plus utilis dans les mobiles de deuxime gnration, ceci grce ses bonnes performances en termes de slectivit et de sensibilit. Le schma classiquement propos aujourdhui pour un rcepteur htrodyne est reprsent dans la figure 2.2.

Filtre passe-bas

VGA

I+jQ Filtre de Slection de bande LNA Filtre image LO1 Filtre IF /2


VGA

Q Filtre passe-bas LO2

Figure.2.2: Architecture dun rcepteur htrodyne

Le principe de fonctionnement est la transposition de la bande de rception autour dune frquence intermdiaire fixe dite FI (IF, Intermediate Frequency), suivie dune transposition en bande de base centre sur la frquence centrale du canal souhait. Cette transposition du spectre se ralise par la multiplication du signal RF avec le signal issu dun oscillateur local fLO1, puis par la multiplication du signal rsultant par le signal dun second oscillateur local fLO2 centr sur la frquence voulue du canal. Cette architecture est toujours trs utilise car elle prsente de forts avantages techniques. En effet, le filtrage progressif des signaux interfrents permet de grer les contraintes de linarit du rcepteur. L'un des principaux avantages de larchitecture htrodyne est son excellente performance en ce qui concerne la slectivit et la sensibilit. Nanmoins en raison de la complexit de l'architecture et la ncessit de composants externes, larchitecture htrodyne est moins utilit dans la troisime gnration o un haut niveau d'intgration est ncessaire. Un autre point faible est que larchitecture htrodyne ne s'adapte pas facilement aux diffrentes normes sans fil, car elle exige la rplication de la chane de filtres [SPRI02]. Enfin, chacun des filtres possdant un gabarit propre li la norme choisie, un rcepteur htrodyne peut difficilement rpondre aux besoins de reconfigurabilit dun systme de radiocommunication multinorme et multistandard.

51

Chapitre 2 : Architecture de rcepteurs W-CDMA

2.1.2. Rcepteur homodyne


Larchitecture homodyne (galement connu sous le nom de zro FI ou rcepteur conversion directe) vite les inconvnients de l'architecture htrodyne en omettant la partie FI (figure 2.2). En effet, larchitecture homodyne doit transposer la bande de rception RF directement en bande de base. La frquence de loscillateur local charg de raliser la transposition doit alors tre identique celle de la porteuse centrale de la bande RF. La frquence intermdiaire FI est donc nulle. Cette simplicit est trs importante pour obtenir une architecture intgre convenable. De plus, l'utilisation de la conversion directe rend le rcepteur plus adapt aux fonctionnements multimodes.
Filtre passe-bas

VGA

I+jQ Filtre de Slection de bande LNA /2


VGA

Q Filtre passe-bas LO2


Figure.2.3 : Architecture dun rcepteur homodyne

videmment, la simplicit a un prix et le rcepteur homodyne possde quelques inconvnients. Le premier inconvnient de cette architecture est la prsence dune tension continue de dcalage ou DCoffset en sortit du mlangeur cause principalement par des dfauts disolement du mlangeur entre les voies RF et OL. Pour traiter ce problme de tension continue de dcalage, diffrentes solutions matrielles et logicielles ont t proposes dans les rfrences [MIKK99 & SAMP92]. Le deuxime inconvnient est li la topologie mme du rcepteur qui considre que les voies I et Q sont identiques (ce qui est impossible). Cela va se traduire en pratique par une erreur de gain ou de phase qui va dformer la constellation du signal et augmenter le taux derreur binaire. Il faut donc bien contrler dans un rcepteur homodyne lappariement entre les deux voies. Le troisime inconvnient est la dgradation de la sensibilit du rcepteur aux trs basses frquences en raison du niveau lev du bruit (bruit en 1/f et non thermique) qui va se superposer au signal utile. En fait, malgr ces inconvnients, ce type de rcepteur est de plus en plus populaire grce la simplicit du traitement RF associ un niveau dintgration largement amlior par rapport au rcepteur htrodyne. En conclusion, larchitecture homodyne semble tre plus adapte pour

52

Chapitre 2 : Architecture de rcepteurs W-CDMA limplantation des architectures de troisime gnration. De plus, la majorit du travail publi la t sur la base de cette topologie [SPRI02 & PRS99].

3. Convertisseur analogique/numrique
Ltude du rcepteur nous a permis de connatre certaines caractristiques des signaux la sortie analogique. Numriser le signal le plus tt possible dans la chane de rception est un des dfis les plus importants soulever. En effet, nombreux sont les avantages quun rcepteur entirement numrique peut apporter. En fait, la transformation analogique/numrique (CAN) dpend de plusieurs caractristiques du systme, et notamment le taux dchantillonnage qui, dans le systme W-CDMA, est relativement lev, gal 3.84 Mcps. Il est galement possible davoir un taux plus lev afin d'augmenter la rsolution dans le cas de trajets multiples. En plus du taux dchantillonnage, le CAN est sensible la dynamique, c'est--dire au nombre de bits par chantillon. En fait, la qualit du signal la sortie de la partie RF a une grande influence sur le convertisseur analogique/numrique. Dans le CAN le nombre de bits utiliss pour reprsenter un chantillon doit tre minimal et cela est possible seulement si le signal venant de la partie RF n'a pas t dform par un bruit excessif. Le taux d'chantillonnage et le nombre de bits par chantillon ont un impact important sur la taille du circuit (en pratique, 4 7 bits seront suffisants pour reprsenter un chantillon) [OJAN98 & PRS99]. Les architectures flash, approximations successives, sigma-delta () et pipeline sont les plus employes dans les convertisseurs pour les tlcommunications [SEVE00 & FABI00]. Les deux premiers types de convertisseurs (flash et approximations successives) ne sauraient convenir la norme W-CDMA. En effet, les rsolutions (7 bits pour lUMTS une frquence dchantillonnage de 65 MHz) sont trop importantes pour employer un convertisseur aussi gourmand en surface et en puissance quun convertisseur flash. Un CAN approximations successives pourrait convenir, mais dans ce cas nous nous plaons la limite de ses performances. Il reste alors deux architectures possibles, pipeline et . Un convertisseur pipeline est mme de raliser la conversion pour le GSM et lUMTS. Aussi bien les rsolutions que les frquences dchantillonnage requises correspondent aux performances que lon peut esprer avec une telle architecture. Il ne reste alors que les convertisseurs . Les CAN modulation possdent de nombreux avantages. Le sur-chantillonnage permet datteindre des rsolutions leves sans calibrage, car il devient moins sensible la drive des composantes et aux erreurs dappariement [STEV97]. Nanmoins, la rsolution dun tel convertisseur peut se voir limite dans le cas de signaux large bande, comme pour lUMTS.

53

Chapitre 2 : Architecture de rcepteurs W-CDMA

4. Partie bande de base


La partie bande de base comprend toutes les oprations appliques un signal centr (le spectre du signal est centr autour de la frquence zro). En fait, cette partie du traitement inclut toutes les oprations ncessaires pour rcuprer le signal transmis. Ce traitement est ralis parce que dans le canal, le signal a t dform par diffrents types de bruit. En dautres termes, la performance finale du rcepteur est dtermine par la bonne implantation de la partie en bande de base. Cette partie peut tre divise en deux tapes : ltape dextraction et didentification des trajets multiples (Multipath Identificatoin & extraction Stage) et ltape de combinaison des trajets multiples (Multipaths Combination Stage). La figure 2.4 prsente le schma fonctionnel de la partie bande de base du rcepteur W-CDMA. Les entres de la partie bande de base sont les chantillons numriques I/Q (I/Q complexe) provenant de la sortie du CAN, et la sortie est le flux de bits rcupr pour chaque utilisateur.

Dtecteur des trajets multiples

Rcepteur RF

D eM u x
54

Doigts du rcepteur RAKE

Estimation du canal Les donnes Combinaison ratio


maximal (MRC)

Gnrateur de code

Radiofrquence

Extraction et Identification des trajets multiples

Combinaison des trajets multiples

Figure.2.4 : Les blocs fonctionnels de larchitecture du rcepteur RAKE

En gnral, la partie DSP contient un filtre impulsion adapte (Pulse Shaping filter), un dtecteur de trajets multiples (Multipath Searcher), un rcepteur RAKE, un bloc de dsentrelacement, et un dcodeur Viterbi/Turbocode. Cette partie peut tre divise en deux blocs : 1) Le bloc dextraction et didentification des trajets multiples : il est compos des doigts du rcepteur RAKE, le dtecteur de trajets multiples et du gnrateur de code.

Chapitre 2 : Architecture de rcepteurs W-CDMA 2) Le bloc de combinaison des trajets multiples : il contient le bloc destimation complexe de canal (Complex Channel Estimation) et le bloc de combinaison ratio maximal (Maximal Ratio Combiner). Aprs ces deux tapes, nous appliquons le dsentrelacement et le dcodage du canal pour rcuprer les donnes d'origine. Dans le systme W-CDMA, la partie bande de base doit tre la fois flexible et extensible afin de supporter les diffrents types de services proposs. Si nous prenons comme exemple la varit des dbits dans la voie descendante, cette varit est obtenue par la transmission discontinue ou la transmission de multicodes pour chaque utilisateur. D'ailleurs, pour ajouter de la flexibilit et de lextensibilit larchitecture du rcepteur (ici la partie bande de base), nous devons prendre en compte laugmentation de la complexit du rcepteur et la quantit des ressources matrielles utilises dans le systme. On doit aussi noter que les ressources matrielles ncessaires sont toujours multiplies par deux parce que nous avons besoin dune ressource matrielle pour chaque branche de donnes (en fait, nous avons deux branches spares pour les donnes : lune pour les chantillons I et lautre pour les chantillons Q). Il faut noter que si le rcepteur est capable de traiter diffrents canaux pour diffrents codes par utilisateur, cela exige immdiatement des ressources matrielles supplmentaires. Cest--dire, pour chaque trajet du signal reu nous avons besoin dun doigt spar du rcepteur RAKE, ainsi que pour chaque code dutilisateur.

4.1. Filtre dimpulsion adapt


Aprs le convertisseur CAN, le signal doit tre filtr laide dun filtre numrique. Ce filtre est appel filtre impulsion adapte (Pulse Shaping Filter). La rponse impulsionnelle du filtre est le complexe conjugu en temps inverse du signal de lmetteur [LEE98]. En gnral, le filtre est adapt dans le cas o le bruit est blanc et gaussien. Dans le cas contraire, le filtre adapt doit tenir compte de la densit spectrale de puissance du bruit. Dans un systme large bande comme W-CDMA, il est fort probable que lon trouve du bruit color et/ou des interfrences bande troite ; dans ce cas o le bruit nest pas blanc, on le blanchit avant de filtrer le signal par le filtre adapt. Cependant, comme le bruit est mlang au signal utile, ce blanchiment modifie aussi le signal reu ; gnralement, le filtre blanchisseur est associ au filtre adapt pour donner un filtre adapt global. Il est intressant de noter que le bruit reste gaussien la sortie du filtre, car il sagit dun filtrage linaire. La ralisation du filtre impulsion adapte est effectue avec un filtre rponse impulsionnelle finie adapt au canal h(t), qui travaille la cadence chip. En fait, le filtre rponse impulsionnelle finie est un filtre numrique caractris par une rponse base uniquement sur les valeurs du signal d'entre. Par consquent, quel que soit le filtre, la rponse impulsionnelle sera stable et de dure finie

55

Chapitre 2 : Architecture de rcepteurs W-CDMA en fonction du nombre de coefficients du filtre. Il est galement nomm filtre non rcursif ou encore filtre moyenne mobile. Dans le systme W-CDMA, le canal de propagation est constitu de deux filtres impulsion adapte en racine de cosinus surlev (Pulse Shape, Root Raised Cosine, RRC) avec = 0.22, o est le facteur de retombe ou facteur de roll-off , le premier filtre tant situ en sortie du codeur dans lmetteur et le second lentre du dcodeur dans le rcepteur. Bien que la structure de ce filtre soit assez simple, si nous prenons en compte la longueur de la rponse impulsionnelle et le nombre de bits par chantillon, cela va ajouter de la complexit et conduire une consommation d'nergie importante [DO96].

4.2. tape dextraction et didentification des trajets multiples


4.2.1. Dtecteur de trajets multiples
Par dfinition, le dtecteur de trajets multiples estime le retard de chaque composante du trajet multiple. Ce travail de dtection de trajet est trs important pour l'opration de dstalement de spectre. En fait, dans le rcepteur RAKE nous avons besoin dune estimation des retards trs prcise, de faon ce que le gnrateur de code soit align dans le temps avec le signal reu. La tche du dtecteur de trajets est divise en deux parties : la premire a pour rle dacqurir la synchronisation initiale, et la deuxime de surveiller les changements du canal pour chacun des trajets multiples. Ces tches sont souvent dsignes comme lacquisition et la poursuite. Dans le systme de communication sans fil W-CDMA, nous arrivons obtenir la synchronisation initiale partir du canal de synchronisation (SCH) [HOLM04] pendant la procdure de recherche de cellules. Nous pouvons raliser la poursuite l'aide des symboles pilotes. Le dtecteur de trajet excute la corrlation entre les symboles pilotes du signal reu et les symboles pilotes du signal connu. la sortie du dtecteur de trajet, nous pouvons rcuprer les rsultats de corrlation, o les pics les plus forts reprsentent les trajets les plus puissants. En principe, le premier pic fort (la valeur du pic doit dpasser un seuil prdfini par le systme de communication) dtermine le premier trajet et le point dacquisition, les pics suivants dterminant les autres trajets du signal. La distance entre les pics doit tre dtermine par rapport au premier pic fort. En fait, les retards entre pics donnent une mesure du retard pour chaque trajet. En effet, la prcision de la valeur du retard (la valeur estime) est dfinie par le taux d'chantillonnage. Par exemple, avec un surchantillonnage gal 4, lestimation peut tre faite avec une prcision d'un quart de chip [GROE00]. Nous pouvons implanter le dtecteur de trajets multiples en utilisant les deux techniques suivantes : La premire est base sur la corrlation entre le signal reu et les symboles pilotes du signal connu. Cette corrlation est effectue de manire trouver les pics les plus forts la sortie du corrlateur. En fait, nous pouvons calculer la corrlation de faon plus efficace en utilisant une 56

Chapitre 2 : Architecture de rcepteurs W-CDMA structure de type RIF (rponse impulsionnelle finie), parce que les symboles pilotes ne changent pas souvent dans le signal reu, et parce que la priode de corrlation est fixe. Dans le dtecteur de trajet et aprs le RIF se trouve la partie ddie la dtection. Cette partie concerne un algorithme de calcul de la moyenne des bits corrls et un algorithme de recherche des pics [HUAN99]. Cette partie de la dtection peut tre implante, par exemple, avec un algorithme de DSP en calculant la moyenne la sortie du corrlateur (la moyenne des rsultats de corrlation) sur un intervalle temporel puis en dtectant les pics les plus forts. Du point de vue du traitement du signal, il est trs important de dfinir le seuil partir duquel les pics sont slectionns. Naturellement, ce seuil dpend de faon proportionnelle des conditions de bruit dans le canal de transmission [BEJJ99]. La deuxime approche pour obtenir lestimation du retard est d'utiliser des estimations approximatives bases sur le rsultat de corrlation et puis dutiliser la boucle verrouillage de dlai (Delay Locked Loops, DLL) pour le maintien de la phase de synchronisation [ZHUA99]. Mais cela nest pas une solution souhaitable car elle conduit fondamentalement tripler la quantit de doigts ncessaires dans le rcepteur RAKE. Ds lors, nous supposons que l'algorithme d'estimation est assez rapide pour maintenir des estimations prcises du retard sans DLL. 4.2.1.1. Architecture conventionnelle du dtecteur de trajets multiples La figure 2.5 montre larchitecture conventionnelle du dtecteur de trajets multiples. lentre de ce dtecteur, nous utilisons un filtre dinterpolation pour rduire les intervalles du temps des chips (plus prcisment des bits pilotes du signal reu) par lchantillonnage. Ensuite, ces chantillons seront corrls avec le code de brouillage gnr par le gnrateur de code pour produire le profil de retard. En quelque sorte, on peut dire que ce profil de retard exprime les valeurs de puissance des signaux reus. Ces valeurs sont calcules pour des dlais conscutifs afin d'indiquer tous les changements temporels tous les moments pour les signaux dmoduls [KIM05].

Filtre dinterpolation

Corrlateur

Additionneur de phase

Additionneur Filtre de puissance dinterpolation

Contrleur de trajets

Gnrateur de code
Figure.2.5 : Architecture conventionnelle du dtecteur de trajets multiples

57

Chapitre 2 : Architecture de rcepteurs W-CDMA Habituellement, chaque valeur de puissance calcule par le corrlateur reprsente un pic, et ce pic reprsente un trajet de propagation. savoir que chaque pic dtect doit tre compar avec le seuil prdtermin pour dterminer les trajets les plus forts. De plus, le positionnement de ce pic correspond au retard du trajet correspondant. Ce retard doit tre fourni aux doigts du rcepteur RAKE (les dtecteurs de trajets sont associs avec les doigts). En fait, le doigt averti par le dtecteur de trajet doit effectuer le dstalement pour extraire les donnes.

4.2.2. Doigts du rcepteur RAKE


Un rcepteur RAKE comporte un ensemble de doigts, et des moyens de combinaison des signaux issus de ces diffrents doigts. Chaque doigt permet de dstaler le signal reu selon l'un des diffrents trajets pris en compte, les diffrents trajets pris en compte tant dtermins par le dtecteur de trajets. Le principal paramtre qui guide les performances et la complexit du rcepteur RAKE est le nombre de doigts considrs. La banque de doigts du rcepteur RAKE est lensemble des doigts utiliss pour dstaler le signal reu. Dans chaque doigt, le signal reu est corrl avec le code dtalement sur une priode correspondante au facteur dtalement. La fonctionnalit mathmatique d'un doigt de RAKE n'est pas trs complexe, mais son implantation est trs importante si nous considrons la performance, lextensibilit et la flexibilit globale de la partie bande de base du rcepteur. Le nombre de corrlateurs dans le rcepteur RAKE dpend du nombre de doigts (c'est--dire du nombre de trajets multiples traits) et du nombre de codes par utilisateur ; dans le cas conventionnel nous utilisons un corrlateur pour chaque doigt et un corrlateur pour chaque code de canal (code par utilisateur). Par exemple, pour obtenir un dbit de donnes gal 2,3 Mbps dans la voie descendante, nous avons besoin de trois codes par utilisateur (trois codes de canal) avec un facteur dtalement gal 4 (SF=4). Cela se traduit par trois corrlateurs dans chaque doigt, et avec quatre doigts dans le rcepteur RAKE (si nous considrons quatre trajets pour un signal) nous arrivons un total requis de douze corrlateurs. Techniquement, le nombre de doigts dans le rcepteur RAKE dtermine le nombre de trajets multiples que nous pouvons traiter. Bien videmment, plus le nombre de doigts est important, plus lnergie du signal utile est rcolte et plus la capacit du systme va augmenter [WIN98]. Mais, en mme temps, les ressources matrielles ncessaires pour ce traitement vont augmenter, ainsi que linterfrence entre symboles et entre trames [RAJE03]. Du point de vue de limplantation, il est prfrable dutiliser un nombre minimal de doigts la rception. On trouve habituellement de 4 6 doigts dans les rcepteurs RAKE conventionnels.

4.2.3. Gnrateur de code


Ltape dextraction et didentification des trajets multiples ncessite deux gnrateurs de code (gnrateur du code de canalisation et du code de brouillage). Ces deux gnrateurs doivent fournir les codes correspondants aux signaux reus afin de les dstaler correctement. En fait, nous avons besoin

58

Chapitre 2 : Architecture de rcepteurs W-CDMA dun gnrateur de code pour chaque code de canal. Par ailleurs, les gnrateurs de code de canal peuvent tre partags entre les doigts ; de fait, chaque doigt du rcepteur RAKE n'a pas ncessairement besoin dun gnrateur de code ddi. Mais dans ce cas-l, nous devons changer la phase de chaque code avant de le prsenter au doigt. En effet, cette phase dpend du retard fourni au doigt du rcepteur RAKE par le dtecteur de trajets multiples, cest--dire que le gnrateur de code utilis doit avoir une implantation flexible et extensible pour rpondre aux diffrentes demandes des doigts. savoir que mme si les estimations de retard sont fournies avec une prcision assez leve, les gains de traitement seront diminus si le doigt du rcepteur RAKE ne peut pas tre attribu la mme cadence. . Toutes les parties de ltape dextraction et didentification des trajets multiples seront tudies en dtail dans le chapitre suivant.

4.3. tape de combinaison des trajets multiples


4.3.1. Estimation de canal
Dans ce paragraphe, nous tudions de manire rcapitulative les mthodes destimation de canal. Cette mthode introduit lestimation [SAAD03 & BOUJ00] lorsque les trajets sont espacs par des retards infrieurs la dure chip et quils ont un bruit gaussien additif dont la puissance dpend des symboles pilotes. Comme nous lavons vu dans le premier chapitre, les systmes CDMA utilisent, en plus du rcepteur RAKE, un contrle de puissance pour contrecarrer les vanouissements. Cette procdure permet de minimiser la puissance lmission, ce qui est important pour une liaison montante, car le mobile a un budget de puissance limit par sa batterie. Par ailleurs, cette technique permet de rduire linterfrence entre les utilisateurs transmettant simultanment dans un systme CDMA. La boucle de contrle de puissance consiste ajuster la puissance lmission afin dassurer une qualit de service minimale la rception. Pour cela, le rcepteur estime le rapport signal sur bruit pour chaque trame reue. Il compare cette estimation avec une valeur cible qui garantit un taux derreur minimal. Lorsque lestimation est infrieure la valeur cible, le rcepteur transmet une commande appele TPC (Transmit Power Control command) qui demande lmetteur daugmenter sa puissance dun pas gnralement constant. Dans le cas contraire, la commande du retour contient de la demande la rduction de la puissance dmission. Plusieurs travaux ont tudi le comportement du contrle de puissance pour diffrents scnarios. Dans la rfrence [BOUJ02] la puissance moyenne lmission a t value lorsque le canal est parfaitement estim, et elle dpend des caractristiques du canal. Dans ce cas, une transmission sur un canal trajets multiples avec un contrle de puissance est quivalente une transmission sur un canal gaussien. Leffet de lestimation de canal sur la boucle a t tudi dans les rfrences [VISH00 & SIPI99] lorsque les trajets sont spars de plus dune dure chip. Dans le

59

Chapitre 2 : Architecture de rcepteurs W-CDMA cas o les retards des trajets sont proches, lestimation de canal a un rle important sur le rendement de la boucle de contrle de puissance. Ce rendement est valu en termes de puissance moyenne dpense lmission et de probabilit de satisfaction de la qualit de service. Dans notre systme de communication bas sur linterface daccs W-CDMA, pour combiner les diffrents trajets de faon raisonnable, le rcepteur RAKE a besoin des paramtres de canal, par exemple : le nombre de trajets, leurs positions respectives (dans le temps) et leurs attnuations (en valeur complexe). Pour cela, les paramtres du canal doivent tre estims et surveills pendant toute la dure de transmission. Lestimation de canal est une opration complexe qui dtermine les coefficients complexes du canal (les paramtres du canal), cest--dire la phase et lattnuation de chaque composante des trajets multiples. Bien que la fonctionnalit de lestimateur de canal soit fortement lie la fonctionnalit du dtecteur de trajets multiples, comme dans le dtecteur de trajets multiples nous pouvons acqurir lamplitude et lestimation de phase l'aide des symboles pilotes. Il existe trois mthodes pour raliser lestimation de canal. Typiquement, il est possible dutiliser lune des trois mthodes pour la ralisation de lestimation de canal. Pour justifier notre choix, il faut faire un compromis entre la complexit et la performance. Lestimation de canal laide des donnes (Data Aided Channel Estimation) : dans cette mthode, la transmission du signal est effectue avec un pilote connu par le rcepteur. Cest-dire que les symboles pilotes sont dj dfinis au rcepteur. Du ct de la rception, le travail de lalgorithme destimation de canal sera de corrler les symboles reus avec les symboles prdfinis pour produire lestimation de canal [JALL05]. Lestimation directe de canal par dcision (Decision Directed Channel Estimation) : ici, une mthode adapte est utilise pour effectuer une estimation de canal grossire. Le rsultat de la premire estimation est utilis pour construire les symboles de dcision. Ensuite, les symboles de dcision sont utiliss comme rfrences pour amliorer la nouvelle estimation de canal. Cette mthode contient un retard inhrent d au fait que le systme doit produire les symboles de dcision avant de commencer lestimation de canal finale. Aussi, dans ce type de systme nous pouvons observer des problmes lis la propagation derreur. Dans ce cas, nimporte quelle erreur dans un symbole de dcision va tre propage et avoir un impact sur lestimation de canal finale [BYEO00]. Lestimation de canal aveugle (Blind Channel Estimation) : dans cette mthode, le processus destimation de canal ne compte pas sur les symboles pilotes ou les symboles de dcision, mais sur certaines caractristiques du signal modul. Par exemple, lalgorithme CMA (Constant Modulo Algorithm) utilise l'amplitude du signal comme critre pour estimer le canal. Dans la modulation constante dnergie comme, par exemple, la modulation de phase en quadrature (Quadrature Phase Shift Keying, QPSK), le fait de savoir que tous les signaux 60

Chapitre 2 : Architecture de rcepteurs W-CDMA sont transmis avec un niveau constant dnergie (mme nergie pour tous les symboles) est utilis comme rfrence de base pour obtenir lestimation de canal. Un grand effort de recherche a t ddi lestimation aveugle base sur les statistiques de second ordre du signal reu. Cet effort est gnralement justifi par la complexit rduite du canal au second ordre ; cette complexit rend lutilisation de mthodes didentification bases sur les statistiques de second ordre plus justifies que celle des techniques didentification bases sur les statistiques dordre suprieur deux. Ce type d'algorithme ncessite gnralement plus du temps de convergence et plus de protection contre lerreur quadratique moyenne (Mean Square Error, MSE) comparativement aux autres mthodes [ZARI08].

4.3.2. Combinaison ratio maximal (MRC)


La combinaison linaire des doigts du rcepteur RAKE peut se faire de plusieurs manires. En gnral, il y a deux manires pour combiner les diffrents trajets : soit avant lentre des doigts (avant la corrlation) soit la sortie des doigts (aprs la corrlation). Le rcepteur RAKE classique utilise la combinaison ratio maximal (Maximal Ratio Combiner, MRC) pour combiner les sorties des branches. La combinaison ratio maximal est facilement applique si nous utilisons la mthode de dtection prsente dans la section prcdente pour dterminer la position des doigts partir du retard dtect par le dtecteur de retard. Dune part, il faut souligner que la combinaison lentre du rcepteur permet de maximiser le rapport de signal/bruit lorsque les lments combins ne sont pas corrls. Par exemple, lorsque la seule source dinterfrence prsente dans le systme est du bruit blanc gaussien, le rapport signal bruit est maximis et la combinaison est optimale. Cependant, certaines sources dinterfrence entranent une corrlation entre les doigts du RAKE, ce qui rend la combinaison ratio maximal sousoptimale. Dautre part, la combinaison ratio maximal la sortie des doigts du rcepteur RAKE est une mthode simple et intuitive. Cest une mthode intuitive parce que la combinaison consiste simplement ajouter les ensembles de symboles, qui sont dcorrls et stocks, la sortie des doigts. Mais dans la combinaison ratio maximale (MRC), il ne faut pas oublier que les phases des symboles doivent tre alignes et leurs amplitudes jauges avant d'tre ajoutes. Ceci est fait en fonction des coefficients complexes acquis par l'estimateur complexe de canal. La combinaison la sortie du rcepteur permet de maximiser le rapport de signal sur bruit, parce que le SNR final sera gal la somme des SNRs des diffrents trajets multiples. En supposant que les trajets multiples les plus forts ont t indiqus aux doigts du rcepteur RAKE, cette mthode de combinaison donne les meilleurs rsultats [LEEJ98].

61

Chapitre 2 : Architecture de rcepteurs W-CDMA 4.3.3. Dsentrelacements et dcodage canal Les fonctions de dsentrelacements et de dcodage canal sappliquent aux bits dlivrs par la combinaison ratio maximale. Il faut souligner que les fonctions la rception sont, en gnral, linverse des fonctions lmission, cest--dire que les diffrentes oprations de traitement du signal utilises dans la chane de rception sont simplement inverses. Pour cela, lopration de dsentrelacement est linverse de lopration dentrelacement faite par l'metteur. La principale tche de cette opration est de modifier les flux de donnes afin de rduire l'effet des erreurs introduites dans le signal dans le canal de transmission. Cela dpend du systme de codage de canal (dcodage de Viterbi ou Turbo-code) utilis pour rcuprer les donnes d'origine. Le codage de canal convolutionnel est utilis avec des dbits plus faibles, soit l'quivalent de la deuxime gnration de systmes de communication, et le codage Turbo-code de rendement 1/3 est utilis pour les dbits de donnes plus levs [HOLM04]. Le codage de canal permet de rduire les erreurs lies la transmission de linformation sur le canal. Le principe consiste rajouter des symboles de redondance aux symboles dinformation suivant une loi fixe a priori et connue de la partie rception. Ainsi deviennent possibles la dtection et la correction des ventuelles erreurs intervenues au cours de la transmission. En gnral, le dcodage est bas sur un principe itratif utilisant des algorithmes de type MAP ou SOVA [BATT87 & BENE96]. Ces algorithmes tentent de minimiser le taux derreur binaire par lestimation de la probabilit a priori (APP) de chaque bit du mot de code. Ceci constitue un rel avantage sur lalgorithme de Viterbi. Cependant, lestimation des APP rend cet algorithme trs complexe ce qui justifie le recours au SOVA [NAJA04] qui prsente des performances proches de celles dcrites. Les dcodages de Viterbi ou les Turbo-code ssont bass sur des diagrammes en treillis qui ncessitent un calcul intensif. En gnral, limplantation de ce type de dcodage requiert une structure ASIC ou une structure parallle [AMIN04] pour atteindre un dbit suffisant. Mais des processeurs DSP modernes qui mettent en valeur la fonctionnalit matrielle de lacclrateur ou du coprocesseur pour le dcodeur turbo et de Viterbi offrent la possibilit dune implantation logicielle [HOCE00].

5.

Trajets multiples et Diversit


5.1. Trajets multiple

Dans les systmes de radiocommunication, le signal transmis va emprunter des trajets diffrents avant darriver au rcepteur. Dans certains cas, le signal suit un trajet direct sans rencontrer dobstacle. Par contre dans la majorit des cas, les composantes du signal sont rflchies par le sol, les btiments, les voitures, les murs La figure 2.6 illustre ces phnomnes. Ces trajets multiples ont des longueurs physiques diffrentes. Ainsi, le signal issu de chaque trajet arrive avec une amplitude et un retard

62

Chapitre 2 : Architecture de rcepteurs W-CDMA propre au trajet. Ces chos induisent un talement temporel du signal donnant lieu un phnomne dinterfrence entre symboles transmis.

Figure 2.6 : Les blocs fonctionnels de larchitecture du rcepteur RAKE

Toutes les statistiques des signaux de trajets multiples sont bien dcrites par la distribution de Rayleigh [FREE97]. La figure 2.7 prsente les variations de puissance du signal reu par rapport au temps l'antenne du rcepteur, o la puissance svanouit selon une loi de Rayleigh. On peut voir que la puissance du signal reu peut considrablement diminuer (de 15 25 dB) lorsque lannulation entre les rflexions trajets multiples se produit. Les facteurs de la gravit et la dure de ces vanouissements sont la prsence des objets se refltant, la vitesse du mobile, la vitesse des objets dans lenvironnement de transmission et la largeur de bande du signal [RAPP96]. La dispersion de retards reprsente par TM est dfinie comme la diffrence entre les dures ncessaires pour parvenir au rcepteur par les trajets le plus longs et le plus courts [TANA97]. Vu son caractre alatoire, la dispersion des retards est souvent exprime par son cart type appel racine carre moyenne de la dispersion des retards (Root Mean Square (RMS) Delay Spread) et que lon va noter ici TRMS. Dans le milieu urbain, celui-ci peut atteindre quelques nanosecondes, mais dans les

63

Chapitre 2 : Architecture de rcepteurs W-CDMA rgions montagneuses, on peut s'attendre un retard de propagation de l'ordre de quelques dizaines de microsecondes [LEE98, RAPP96 & FREE97].

10 5 0 -5 puissanc (dB) -10 -15 -20 -25 -30 -35 -40

5 ts

10 x 10
4

Figure 2.7 : Les blocs fonctionnels de larchitecture du rcepteur RAKE

En fait, le moyen le plus efficace pour lutter contre lvanouissement d aux trajets multiples est la diversit de rception. Elle consiste en gnral traiter les trajets multiples pour amliorer l'information du signal.

5.2.

Diversit

Lobjectif des techniques de traitement du signal, dites de diversit, est de pallier les distorsions dues aux vanouissements qui peuvent dgrader linformation temporelle et spectrale du signal. Dans un systme de radiocommunications, on parle de diversit lorsque lon reoit plusieurs copies indpendantes du signal dinformation. Autrement dit, le concept de diversit repose sur la prsence de plusieurs copies du signal dsir au rcepteur, chacune ayant travers un canal diffrent [SAUN99]. De cette manire, on rduit considrablement la probabilit que tous les signaux subissent les effets des vanouissements aux mmes instants. En effet, si les vanouissements dans les canaux sont suffisamment indpendants, il y a peu de chance quun vanouissement important se produise simultanment dans tous les canaux, ce qui permet dobtenir une qualit de signal reu plus constante que si on utilisait une seule copie du signal. Il sagit ensuite de raliser un rcepteur capable de

64

Chapitre 2 : Architecture de rcepteurs W-CDMA distinguer et de regrouper les diffrentes copies dun mme signal afin dobtenir la meilleure estimation possible du signal. Plusieurs mthodes existent permettant dobtenir la diversit au niveau du rcepteur [RAPP02]. Celles-ci sont plus ou moins efficaces selon le contexte. Nous prsentons ici quelques-unes des formes de diversit les plus frquemment utilises. 1) Diversit en frquence : la diversit en frquence implique la transmission ou la rception dun signal sur diffrentes frquences porteuses spares par des valeurs suprieures la bande de cohrence du canal, afin de limiter la corrlation entre les vanouissements prsents sur chacune des bandes. 2) Diversit temporelle : afin de lutter, contre les effets causs par un canal vanouissements rapides, nous pouvons transmettre un mme message des intervalles de temps diffrents dont la dure est suprieure au temps de cohrence du canal. La diversit temporelle est possible grce au codage de canal, lentrelacement ou encore la retransmission du signal. Le codage de canal peut tre considr comme une forme dtalement dans le temps. 3) Diversit de trajet multiple ou diversit impulsionnelle : la diversit en impulsions, une lgre variation de la diversit en temps, utilise les rptitions dcales en temps du signal caus par les parcours multiples du canal. Nous utilisons gnralement un rcepteur RAKE pour effectuer la combinaison des signaux. La diversit en impulsions ncessite un systme ayant une largeur de bande suffisante pour rsoudre les diffrentes impulsions de la rponse impulsionnelle du canal. 4) Diversit spatiale : nous pouvons parler de diversit spatiale, ou de diversit dantennes, lorsque lon utilise plus dune antenne lmission ou la rception. Cette technique permet de pallier les effets des vanouissements court terme. Il y a deux types de diversit spatiale : Diversit dantenne en rception : dans un systme W-CDMA, la diversit dantenne en rception peut tre considre comme un moyen daccrotre la diversit des trajets lorsque lon utilise un rcepteur RAKE. Diversit dmission : lmission, le principe qui rgit la diversit dantenne est toujours le mme ; il consiste transmettre le signal via des antennes diffrentes suffisamment espaces afin de dcorrler les effets des vanouissements. Afin de profiter des avantages de la diversit, le rcepteur doit pouvoir combiner les diffrents signaux reus. La mthode utilise dans notre travail suppose que les diffrents signaux devant tre combins sont reus par des branches spares [RAPP02 & SAUN99].

6.

Rcepteur RAKE
La fonctionnalit du rcepteur RAKE peut tre considre comme une structure de ligne retard.

Cette structure est prsente dans la figure 2.8. La ligne retard est utilise pour sparer les phases avec des retards successifs dune dure dun chip (TC). 65

Chapitre 2 : Architecture de rcepteurs W-CDMA

Signal reu

Tc

Tc

Tc

Tc

Corrlateur & Combinateur

Symboles dmoduls et combins


Figure 2. 8 : Conception du rcepteur RAKE

La sortie de la ligne retard est envoye une banque de corrlation. Le corrlateur effectue la dmodulation de chacune des phases et les combine dans le combineur ratio maximal. En dautres termes, nous pouvons dire que le rcepteur RAKE essaye de rcuprer et de combiner toute lnergie du signal partir de toutes les composantes des trajets multiples. C'est cette structure de ligne retard qui a donn son nom au rcepteur RAKE ( rcepteur en rteau ), parce qu'il prsente lallure dun rteau (RAKE) de jardin ordinaire. 6.1.

Concept original du rcepteur RAKE [Price et Green]

Le concept original du rcepteur RAKE a t prsent par R. Price et P. E. Green en 1958 dans leur document de travail intitul A Communication Technique for Multipath Channel. Le rcepteur RAKE original est prsent dans la figure 2.9. Les signaux de sortie de la ligne retard sont d'abord combins l'aide de la mthode MRC. Mais avant la combinaison, elles sont values en proportion du gain estim (n) de cette ligne retard. Le signal combin est ensuite dmodul en utilisant un filtre adaptatif. Price et Green ont utilis un gnrateur de squence de types PN en combinaison avec la modulation FSK (Frequency Shift Keying) pour dvelopper deux formes dimpulsions orthogonales, appele Mark et Space :

m0 (t ) = PN (t ) cos 2f at m1 (t ) = PN (t ) cos 2f a t

Forme d'onde Space Forme d'onde Mark

[2.1] [2.2]

O PN(t) dsigne une squence pseudo-alatoire qui correspond un code dtalement, et fa, fb indiquent respectivement les frquences porteuses Mark et Space . Ces formes d'impulsions ont t utilises pour adapter le signal binaire la forme transmissible. savoir que les gains de trajets multiples n ont t estims en corrlant les sorties de la ligne retard avec la somme de m0(t) et m1(t).

66

Chapitre 2 : Architecture de rcepteurs W-CDMA Aprs la combinaison, le filtre adaptatif prend une dcision pour identifier les symboles transmis [LEE98].

Le signal reu

Tc

Tc

Tc

Tc

L-1

L-2

L-3

( )dt
0

mo (t) = PN (t)cos 2fat


T

Slecteur de Larguer

( )dt
0

m1 (t) = PN (t)cos 2fbt

Figure 2. 9 : Le concept original du rcepteur RAKE

6.2.

Rcepteur RAKE dans le CDMA

Dans le systme de communication bas sur CDMA, nous utilisons des rcepteurs disposant dune structure de rcepteur RAKE, mais dont la conception est lgrement diffrente de lorignal propos par Price et Green. Le principe gnral et la fonctionnalit sont les mmes dans les deux architectures. Mais, la structure de la ligne retard est remplace par des doigts (RAKE fingers) dans les rcepteurs utiliss dans le CDMA, comme prsent dans la figure 2.10. De plus, les rcepteurs CDMA incluent un bloc trs important appel dtecteur de trajets multiples, prsent dans la section 4.2.1 page 55, qui dtecte et mesure la puissance des signaux de trajets multiples pouvant tre utiliss pour la diversit de rception. Ces diffrents trajets sont ensuite assigns aux doigts du rcepteur RAKE qui vont effectuer la dmodulation de ces composantes des trajets multiples. Le concept original du rcepteur RAKE utilise des retards L, qui correspondent la longueur de la ligne retard dont le retard de propagation maximum est gal L Tc,, pour combiner lensemble des trajets. Le rcepteur RAKE utilis dans le systme CDMA utilise l'approche de dtermination des trajets existants (nombre de trajets), plutt que d'avoir une prdtermination du nombre de retards (nombre fixe) comme cest le cas dans la conception originale de Price et Green. Le nombre de retards

67

Chapitre 2 : Architecture de rcepteurs W-CDMA dans larchitecture RAKE originale correspond au nombre de trajets dans le rcepteur RAKE du systme CDMA. La combinaison dans le rcepteur RAKE du CDMA est faite en utilisant la combinaison ratio maximal comme prsent dans le concept, cest--dire que nous effectuons lvaluation et laddition des sorties des doigts selon leurs gains. Le principe de larchitecture de rcepteur en rteau (RAKE) est schmatis dans la figure 2.10. Il est compos dun banc de corrlateurs, dun estimateur de retards et dune combinaison ratio maximal. Dans chaque doigt, on trouve un corrlateur et un gnrateur de code. Le corrlateur effectue la fonction de corrlation entre le signal reu et le code gnr localement. Ce code constitu des codes de canalisation et dembrouillage est utilis pour identifier les utilisateurs dans une cellule. Comme son nom lindique, lestimateur de retards dtecte les retards temporels de chacun des trajets sur une fentre de temps de longueur finie, puis fournit cette information au corrlateur. Chaque doigt doit tre synchronis avec le retard de chaque trajet et le nombre de doigts est gal au nombre de trajets que lon veut traiter. Enfin, le rle du combineur est tout simplement dadditionner les diffrentes copies des symboles dinformation disponibles la sortie de chaque doigt.

6.2.1. Architecture conventionnelle du rcepteur RAKE


Les blocs fonctionnels de larchitecture conventionnelle du rcepteur RAKE sont prsents dans la figure 2.10. Tout d'abord, les chantillons numriques lentre de rcepteur, qui sont reus par le bloc RF et les convertisseurs analogique/numrique, se prsentent sous une forme complexe I/Q. Le dtecteur de trajets multiples utilise un filtre adaptatif pour dtecter les composantes de trajets multiples les plus fortes et dtermine ensuite leurs retards relatifs. Chaque trajet multiple est attribu un doigt qui lui est ddi pour le dstalement et la dcorrlation. Les chantillons de lentre I/Q sont dstals par multiplication avec les bits dlivrs par le gnrateur de code. Lalignement en temps du code avec les chantillons d'entre est gnralement fait en utilisant un multiplexeur. En fait, le multiplexeur choisit une phase spcifique du code partir de la ligne retard. Les rsultats du dstalement, la sortie du corrlateur, sont intgrs sur une priode gale la priode du symbole (comme nous lavons prcis prcdemment, la dure du symbole est gale au produit entre la dure du chip et le facteur dtalement). Les symboles dstals, produits la sortie de lintgrateur doivent ensuite tre aligns en phase avant de pouvoir tre combins. L'alignement en phase est ralis en utilisant des symboles pilotes connus par l'estimateur de canal. Les retards relatifs entre les diffrents trajets tant potentiellement beaucoup plus longs que la priode d'intgration du symbole, un galiseur de retard est ncessaire dans chaque doigt pour compenser la diffrence de temps entre les diffrents symboles traits en sortie de lintgrateur.

68

Chapitre 2 : Architecture de rcepteurs W-CDMA .

Rx RF

CAD

Dstalement

Intgrateur

galiseur de retard

I Q

MUX
Ligne retard Dtecteur de trajets multiples

Estimation de canal

Gnrateur de code Doigt 1 Doigt 2 Doigt 3

Figure 2.10 : Architecture conventionnelle du rcepteur RAKE

Si cette architecture tait utilise dans le systme W-CDMA, elle aurait besoin de beaucoup de matriel ddi pour corrler chaque trajet ; de plus, la quantit de matriel ncessaire sera consquente si nous utilisons des codes multiples par utilisateur. Les inconvnients de larchitecture conventionnelle du rcepteur RAKE apparaissent dans un scnario dutilisation dun nombre variable de codes par utilisateur et du traitement dun nombre variable de trajets multiples. Dans ce cas-l une telle architecture est restrictive en raison de son cot matriel trs lev. En fait, nous explorons les architectures de rcepteur RAKE dans le but de dterminer une architecture configurable dynamiquement pour rpondre toutes les exigences du systme et efficace fonctionnellement dans toutes les conditions de fonctionnement. 6.2.2.

Architecture du rcepteur FlexRAKE

Larchitecture du rcepteur FlexRAKE est une amlioration de l'architecture conventionnelle du rcepteur RAKE. La diffrence principale entre les deux architectures est l'absence de doigts parallles (figure 2.11). Globalement, on peut dire que la fonctionnalit du rcepteur FlexRAKE est quivalente l'architecture conventionnelle pour le contrle de la position du doigt, mais au lieu dutiliser lestimation du retard pour contrler cette position, lestimation sera stocke dans un registre de dcalage dadresse (Offset Address Register) pour tre ensuite utilise dans le gnrateur dadresse qui fournira une adresse correspondant la position du doigt.

69

Chapitre 2 : Architecture de rcepteurs W-CDMA

Gnrateur dadresse circulaire

Registre de dcalage dadresse

RF

Buffer Circulaire
Gnrateur de code

+
Registre d'intgration FIFO

Symboles de donnes

Figure.2.11 : Rcepteur FlexRAKE

Nous pouvons diviser l'architecture du rcepteur FlexRAKE en deux parties : le buffer de flux (SB, Stream Buffer) et le moteur de corrlation (CE, Correlator Engine). Dans le buffer de flux, les chantillons provenant de la partie RF sont stocks dans un buffer circulaire. En fait, ce buffer circulaire doit tre assez long pour contenir tous les chantillons I/Q dans la fentre de poursuite (TW, Tracking Window) du dtecteur de trajets multiples. Ensuite, nous pouvons accder aux composantes des trajets multiples dans le buffer laide dune mthode dadressage spciale, pour les dstaler squentiellement dans le moteur de corrlation. Ce corrlateur est partag par toutes les composantes de trajets multiples, la manire du multiplexage temporel. Cette mthode ressemble la conception conventionnelle du rcepteur RAKE : le buffer de flux peut tre considr comme une ligne retard prolonge et le moteur de corrlation comme un multiplexage temporel du banc de corrlateurs. Le rcepteur FlexRAKE inclut galement une mmoire FIFO dans laquelle sont stocks les rsultats de lintgration de symboles [HARJ01 & HARJ05]. 6.2.3.

Rcepteur Time Multiplexed Parallel RAKE

Le rcepteur Time Multiplexed Parallel RAKE ou RAKE parallle multiplexage temporel est utilis dans le systme W-CDMA pour le traitement du multi-code (ici quatre codes par utilisateur) [CHUG05]. L'ide principale est d'avoir une architecture configurable capable dexploiter, autant que possible, la diversit de trajets multiples par le traitement en parallle des trajets multiples valables (qui ont t valids par le dtecteur de trajets multiples), tout en maintenant la complexit et la consommation d'nergie dans des limites acceptables..

70

Chapitre 2 : Architecture de rcepteurs W-CDMA

Dtecteur de trajets multipleS

registres de loffset de retard chantillons de donnes Q

I-SB Q-SB
Gnrateur de code OVSF Gnrateur de code dE brouillage
Code_sel

I-SB Q-SB I-Reg Q-Reg

I-SB Q-SB I-Reg Q-Reg

I-SB Q-SB I-Reg Q-Reg

I-Reg Q-Reg

Des-talement

Des-talement

Des-talement

Des-talement

Gnrateur de code
symboles corrl Code #

AAAA AAAA AAAA AAAA AAAA AAAA AAAA AAAA


I1 I1 I1 I1 Q1 Q1 Q1 Q1 I2 I2 I2 I2 Q2 Q2 Q2 Q2 I3 I3 I3 1 2 3 4 1 2 3 4 1 2 3 4 1 2 3 4 1 2 3 Q3 Q3 Q3 1 2 3 I4 I4 I4 I4 Q4 Q4 Q4 Q4 1 2 3 4 1 2 3 4

Figure.2. 12 : Rcepteur Time Multiplexed Parallel RAKE

Comme le montre la figure 2.12, les trajets multiples sont essentiellement traits dans des doigts spars. Dans le rcepteur Time Multiplexed Parallel RAKE, les chantillons de donnes I/Q sont stocks en temps rel dans les buffers dchantillons (SB, Sample Buffers). Ensuite, ces chantillons de donnes, qui correspondent une composante de trajets multiples, sont lus en srie dans les registres. Une fois les quatre chantillons de donnes I/Q stocks dans les registres, ils sont lus en parallle par les corrlateurs. Parce que l'opration effectue sur les trajets multiples est une opration de multiplexage temporel, les rsultats du dstalement sont disponibles des cycles d'horloge diffrents ; il y a donc huit accumulateurs pour chaque trajet multiple. En fait, les sorties du corrlateur, qui correspondent un trajet multiple et un code, sont accumules dans un accumulateur ddi. Les paires de symboles de (I1, Q1) (I4, Q4) seront disponibles respectivement en parallle aux sorties des accumulateurs, une sortie pour chaque code et une paire chaque fois.

71

Chapitre 2 : Architecture de rcepteurs W-CDMA

7.

Dtection multi-utilisateur en W-CDMA


7.1.

Interfrence daccs multiple

Linterfrence daccs multiple (MAI , Multiple Access Interference) est un facteur important qui limite la capacit et la performance des systmes DS-CDMA. En effet, la MAI est cause par l'interfrence entre les squences directes des utilisateurs. Cette interfrence est le rsultat du dcalage alatoire entre signaux ; pour cette raison, il est pratiquement impossible d'avoir un code orthogonal capable de protger les signaux contre ce type dinterfrence. Gnralement, la MAI cause par un seul utilisateur est trs faible, mais si le nombre dutilisateurs augmente, la puissance de linterfrence va augmenter, et la MAI va atteindre une valeur importante. Le dtecteur classique ne tient pas compte de l'existence de la MAI, parce quil suit une stratgie de dtection dans laquelle chaque utilisateur est dtect sparment, indpendamment dautres utilisateurs. Les recherches orientes vers l'attnuation de l'effet de la MAI sur le dtecteur conventionnel se sont focalises dans les directions suivantes : La conception du code : cette approche est oriente vers la conception du code d'talement avec de bonnes proprits dintercorrlation. Idalement, si les codes taient tout orthogonaux (cest--dire Rcicj(t) = 0), il n'y aurait pas de MAI dans le canal de la transmission. Mais dans la pratique, la plupart des canaux contiennent un certain degr d'asynchronisme, cest--dire quil n'est pas possible de concevoir un code capable de maintenir l'orthogonalit entre tous les canaux avec tous les retards possibles. Donc, nous devons chercher des codes orthogonaux dont lorthogonalit est capable de rsister au dsalignement des codes et possder une faible intercorrlation. Le contrle de puissance : nous utilisons le contrle de puissance pour tre srs que les signaux de tous les utilisateurs arrivent peu prs la mme puissance (avec la mme amplitude) la station de base, et donc quaucun utilisateur na lavantage sur les autres [GARG96]. En fait, le contrle de la puissance est toujours considr comme indispensable dans les systmes DS-CDMA. Les codes FEC : les codes correcteurs derreur (Forward Error Correction) sont utiliss dans tous les systmes de communication. Ce type de code permet davoir un taux derreur acceptable dans les cas de faible interfrence. Les rseaux dantennes adaptatives : on utilise des antennes directives qui focalisent la rception sur un angle troit. Par consquent, le signal dsir et une certaine fraction de la MAI sont augments grce au gain de l'antenne, c'est--dire que tous les signaux d'interfrence arrivant des angles diffrents sont attnus. La direction de l'antenne peut tre

72

Chapitre 2 : Architecture de rcepteurs W-CDMA fixe ou ajuste dynamiquement. En fait, un traitement adaptatif des signaux est utilis pour focaliser l'antenne dans la direction qui correspond un utilisateur. Cette technique est utilise dans le W-CDMA. [PICK91].

7.2.

Dtection multi-utilisateurs

Nous utilisons la stratgie de dtection multi-utilisateurs (aussi appeler dtection conjointe ou annulation dinterfrence ) pour arriver une meilleure dtection dans le cas dune interfrence entre les utilisateurs. Nous utilisons les informations multi-utilisateurs pour mieux dtecter chaque utilisateur : elle a le potentiel d'offrir des avantages supplmentaires pour les systmes DS-CDMA. Lobjectif de la dtection multi-utilisateur est simple : estimer linterfrence gnre par les autres utilisateurs pour lliminer. La dtection multi-utilisateur possde ainsi un triple intrt : dabord, elle permet de rduire linterfrence daccs multiple intracellulaire qui est particulirement importante dans la voie montante et, par consquent, daccrotre la capacit du systme. Par ailleurs, elle peut savrer efficace pour combattre leffet proche-lointain dans la voie montante. Enfin, cest un lment de lutte contre les effets de linterfrence inter-symboles. Les techniques de dtection multi-utilisateurs partent du principe que le signal dinformation des diffrents utilisateurs peut tre rcupr de manire conjointe et non pas de faon individuelle ou que lon peut dtecter les signaux un par un et les soustraire des autres signaux considrs comme tant de linterfrence. Cela suppose nanmoins que le rcepteur connaisse les codes de canalisation et dembrouillage avec lesquels tous les signaux reus ont t tals lmission. Il est possible de faire une analogie entre linterfrence daccs multiple dans une communication DS-CDMA asynchrone, qui est propre la voie montante, et linterfrence inter-symboles. En effet, on peut considrer que cette premire correspond un utilisateur seul dans une cellule qui, en prsence dinterfrence inter-symboles, reoit K 1 trajets multiples. Cest pour cette raison que les techniques classiques dgalisation utilises pour luter contre linterfrence inter-symboles ont t adaptes pour faire face linterfrence daccs multiple dans les systmes bass sur le DS-CDMA.

7.3.

Techniques de dtection multi-utilisateur

Avant de dcrire les principales techniques de dtection multi-utilisateurs utilises en DS-CDMA, nous allons tudier le dtecteur multi-utilisateurs optimal propos et analys par Sergio Verd [VERD86]. Ce dtecteur est compos dun banc de corrlateurs suivi dun algorithme de Viterbi qui, daprs le principe de maximum de vraisemblance, est capable de dterminer linformation transmise par chacun des K utilisateurs. La complexit de cet algorithme est dordre de 2K. Ainsi, pour 100 utilisateurs, le dtecteur optimal requiert de lordre de 2100 1030 oprations, ce qui rend son implantation impossible dans des applications temps rel. Nanmoins, les travaux de Verd ont servi

73

Chapitre 2 : Architecture de rcepteurs W-CDMA de point de dpart pour la recherche de dtecteurs multi-utilisateurs sous-optimaux moins complexes, avec des performances proches du dtecteur optimal [KLEI96 & MOSH96].

7.3.1. Techniques de dtections individuelles amliores o dtecteurs linaires


Ces techniques sont drives directement des algorithmes linaires ou de la dtection conjointe multi-utilisateur, et elles sont conues pour tre implantes dans la voie descendante [KLIE97]. En fait, il y a un important groupe de dtecteurs multi-utilisateurs utilisant cette technique. Ces dtecteurs utilisent un marqueur linaire la sortie du dtecteur conventionnel dans le rcepteur RAKE pour rduire la MAI vue par chaque utilisateur. Dans ces conditions, le mobile ne traite que le signal dont il connat le code de canalisation et dembrouillage. Lide dans ces techniques est dutiliser un galiseur de canal classique suivi dun ensemble de corrlateurs pour exploiter la diversit de trajets multiples comme dans le rcepteur RAKE. Lgaliseur peut-tre du type forage zro (ZF, Zero Forcing) ou erreur quadratique moyenne minimale (MMSE, Minimum Mean Square Error), ce dernier type tant plus performant. Compars au RAKE, ces algorithmes offrent des performances suprieures, particulirement dans des conditions o linterfrence inter-symboles est importante au prix dune plus grande complexit de mise en uvre.

7.3.2. Techniques dannulation dinterfrence


Le principe des techniques dannulation dinterfrence consiste estimer linterfrence daccs multiple et linterfrence inter-symboles, puis les retrancher de linterfrence totale estime. Les mthodes dannulation dinterfrence sont aussi appeles dtections non linaires et on peut en distinguer trois types. 1) Annulation de linterfrence successive : par principe, dans cette technique SIC (Successive Interference Cancellation), nous analysons dabord les utilisateurs en fonction de leur niveau de puissance. Le signal dinformation de lutilisateur avec la puissance la plus leve est ensuite estim et retranch du signal des autres utilisateurs. Cette procdure est rpte pour tous les utilisateurs. Un rcepteur de type SIC permet de dtecter des signaux faible puissance pouvant tre affects par leffet proche-loin. Il faut nanmoins remarquer que ce type de rcepteur est sous-optimal, dans le sens o seul le dernier utilisateur profite de la rduction totale de linterfrence cause par les autres utilisateurs. De plus, lorsque lestimation de linterfrence des autres utilisateurs nest pas trs prcise, cela peut reprsenter une source derreur qui pourrait se propager tout le long de la boucle dannulation dinterfrence. Quand cela arrive, au lieu damliorer les performances du rcepteur, celles-ci peuvent tre, au contraire, fortement dgrades. Enfin, lorsque le nombre dutilisateurs est

74

Chapitre 2 : Architecture de rcepteurs W-CDMA lev, lalgorithme doit tre suffisamment rapide pour viter des retards qui peuvent contraindre les performances globales du rcepteur. 2) Annulation de linterfrence en parallle : la diffrence du dtecteur SIC, dans un dtecteur PIC (Parallel Interference Cancellation), linterfrence des K utilisateurs est retranche compltement pour chaque utilisateur. Le temps de dtection dans un rcepteur PIC est galement optimis : cette tche est effectue en parallle. Le processus destimation/soustraction peut se rpter en plusieurs tapes en fonction de la prcision souhaite. Un dtecteur PIC est plus complexe mettre en uvre quun dtecteur SIC, car ce premier demande un nombre doprations de lordre de K2, alors que ce dernier requiert seulement un nombre doprations de lordre de K. 3) Annulation de linterfrence suivant des techniques hybrides : ce type de dtecteur combine les ides de lannulation dinterfrence successive et parallle. Dabord, les signaux dinformation des diffrents utilisateurs sont groups. Puis, pour chaque groupe, une premire dtection en parallle est effectue, suivie dune annulation dinterfrence successive.

7.3.3. Dtection conjointe


Les techniques de dtections conjointes, ou Joint Detection , offrent des performances trs proches de la dtection optimale au prix dune trs grande complexit. Comme pour les autres techniques de dtection multi-utilisateurs, son but est de lutter simultanment contre les effets de linterfrence intercellulaire et de linterfrence inter-symboles. Ces dtecteurs sont aussi classs dans la catgorie des dtecteurs linaires [KLEI96 & MOSH96] et ses principales variantes sont dcrites cidessous. 1) Zero Forcing Block Linear Equalizer (ZF-BLE) : cet algorithme permet de sparer et dliminer compltement les interfrences intercellulaires et inter-symboles. Linterfrence restante (par exemple, celle de cellules voisines) et le bruit thermique sont considrs comme du bruit de fond. Dans son implantation, lalgorithme ZF-BLE implique linversion de la matrice de corrlation qui correspond la convolution de la rponse impulsionnelle du canal avec les codes de canalisation et dembrouillage de chaque utilisateur. Une variante du dtecteur ZF-BLE est le dtecteur ZF-DFE (Zero Forcing Detection Feedback Equalizer). En dpit dune meilleure performance en termes de BER, il prsente les mmes inconvnients que les dtecteurs annulation successive dinterfrence, car le principe est similaire. La complexit des techniques ZF-DFE est comparable celle des algorithmes ZF-BLE. 2) Minimum Mean Square Error Block Linear Equalizer (MMSE-BLE) : la diffrence des techniques fondes sur le principe ZF-BLE, les dtecteurs MMSE-BLE tiennent compte de la variance de bruit de fond qui est retranch de la matrice de corrlation et, de ce fait, ses

75

Chapitre 2 : Architecture de rcepteurs W-CDMA performances sont plus intressantes. Soulignons quen labsence de bruit, les performances de ces deux dtecteurs sont quivalentes. En ce qui concerne la complexit de calcul, il faudra tenir compte de cots de lestimation de la variance de bruit. De fait, il existe dautres types de dtection, et des variantes de chaque dtection, qui ne sont pas couverts ici. Il y a aussi une littrature abondante qui traite de lextension des diffrents algorithmes de dtection multi-utilisateurs pour le traitement raliste de lenvironnement raliste. Une explication plus approfondie est disponible dans les rfrences supplmentaires suivantes [VERD92 & MOSH96].

7.4.

volutions applicatives des techniques de dtection utilises par lUTRA

Bien que les recommandations UMTS nimposent pas lutilisation dune technique de dtection en particulier, en premier choix, le rcepteur RAKE sera employ aussi bien dans la station de base que dans le mobile. En deuxime choix, les techniques de dtection multi-utilisateur dcrites dans les paragraphes prcdents feront leur apparition en tenant compte des particularits des voies montantes et descendantes. Clairement, les techniques de dtection multi-utilisateurs sont plus complexes mettre en uvre que les techniques de dtection individuelle et sont, de ce fait, plus susceptibles dtre implantes dans les stations de base. Il faut noter que, pour la voie montante, linterfrence intercellulaire est gnralement plus importante ; elle reprsente plus de la moiti de linterfrence totale dans la cellule. Elle permet galement de pallier linterfrence provoque par leffet proche lointain. Le principe de la dtection multi-utilisateur est applicable aux rcepteurs utilisant la technique UTRA/FDD ou UTRA/TDD. Dans la voie descendante, puisque les signaux tals des diffrents utilisateurs sont orthogonaux (en mission) et suivent le mme canal de propagation, le rcepteur RAKE reste la solution offrant le meilleur rapport entre performances et complexit dimplantation. Rappelons que les performances du RAKE sont limites par linterfrence et plus particulirement par linterfrence entre-symboles. Lutilisation des techniques de dtection multi-utilisateurs la rception nest pas exclue, bien entendu. Nanmoins, il faudra tenir compte des points suivants : la complexit de ces algorithmes est assez leve, ce qui peut se traduire par une augmentation du cot total du terminal ; le mobile ne connat pas les codes des autres utilisateurs dans la cellule. Mme si des techniques telles que la dtection conjointe peuvent tre adaptes pour tre utilises dans le mobile, les performances obtenues sont moins bonnes que celles que lon peut obtenir au niveau de la station de base o lon connait les codes de tous les utilisateurs dans la cellule.

76

Chapitre 2 : Architecture de rcepteurs W-CDMA

8. Conclusion
Au cours de ce chapitre, nous avons prsent la structure et la fonctionnalit du rcepteur utilis dans le W-CDMA. La structure et la fonctionnalit du rcepteur doivent supporter les diffrents services proposs par linterface radio comme la flexibilit et lextensibilit du rcepteur. Nous avons prsent les deux parties (analogiques et numriques) de la chane de rception. Dabord, la partie RF dun rcepteur qui relie l'appareil la liaison sans fil et transpose la frquence du signal reu vers une frquence centrale, gnralement infrieure celle reue. Ensuite, la partie bande de base qui inclut toutes les oprations ncessaires pour rcuprer le signal transmis a t prsente, notamment le dtecteur de trajets multiples qui estime le retard de chaque composante du canal, et lestimation de canal qui est une opration complexe qui dtermine les coefficients complexes du canal. Le cur de la partie bande de base est le rcepteur RAKE qui contient un ensemble de doigts et les moyens de combinaison des signaux issus de ces diffrents doigts. Chaque doigt permet de dstaler le signal reu selon l'un des diffrents trajets pris en compte, ces diffrents trajets tant dtermins par le dtecteur de trajet. La principale architecture et le concept original du rcepteur RAKE ont t prsents comme larchitecture conventionnelle du rcepteur RAKE ; les architectures de FlexRAKE et de Time Multiplexed Parallel RAKE ont ensuite t dtailles. Ltude des diffrentes structures du rcepteur RAKE a permis didentifier les fonctionnalits critiques et de connatre certaines des caractristiques du point de vue des performances (dbit, surface).

77

Chapitre 2 : Architecture de rcepteurs W-CDMA

78

Chapitre 3 : Architecture du rcepteur CodeRAKE

Chapitre 3 :
Architecture du rcepteur CodeRAKE

1. Introduction
Dans un canal de propagation trajets multiples, les signaux transmis parviennent au rcepteur soit de manire directe, soit de manire indirecte par le biais de rflexions sur des obstacles rencontrs par le signal sur son chemin. On peut sattendre alors recevoir plusieurs versions du signal arrivant des instants diffrents. Le moyen le plus efficace pour lutter contre ce phnomne de trajets multiples, cest de pouvoir traiter ces diffrents trajets en utilisant larchitecture du rcepteur RAKE. Dans le chapitre prcdent, nous avons tudi les diffrentes solutions architecturales existantes de rcepteurs RAKE, en analysant leurs structures afin de pouvoir amliorer leurs fonctionnalits. Lobjectif est didentifier les parties critiques et de proposer une architecture optimise du point de vue des performances (dbit, surface) et de la complexit. Nous allons proposer au travers de ce chapitre une nouvelle architecture de rcepteur RAKE baptise CodeRAKE, ainsi quune optimisation de larchitecture conventionnelle du dtecteur de trajets multiples. La performance, la complexit et les choix technologiques de chaque partie de ces architectures seront traits dans les sections suivantes.

2.

Extraction et identification des trajets multiples

Dans un systme daccs radio bas sur le W-CDMA, le principe du rcepteur RAKE est de considrer les composants de trajets multiples comme des signaux de diversit, comme sils taient transmis depuis plusieurs antennes ou diffrents endroits. Nous pouvons alors considrer les trajets multiples comme un avantage. En effet, chaque trajet tant porteur de la mme information, il est possible de combiner les diffrents trajets du mme signal afin dobtenir un signal unique dont la puissance sera renforce. En raison de ltalement de spectre, la largeur de bande du signal sera beaucoup plus grande que la largeur de bande du canal ; les composantes de trajets multiples peuvent ainsi tre rsolues avec une rsolution proportionnelle l'inverse de la largeur de bande. Le fait que les composantes de trajets multiples puissent tre extraites partir du signal reu repose sur les proprits d'autocorrlation et d'talement de spectre des signaux. Ensuite, pour combiner les trajets, il faut que ceux-ci soient

79

Chapitre 3 : Architecture du rcepteur CodeRAKE suffisamment spars dans le temps (le temps darriver au rcepteur) pour pouvoir les dissocier. En fait, la sparation minimale entre deux trajets doit tre gale la dure dun chip. Si la dispersion de retard maximale est TM et la dure dun chip est TC, le nombre maximum de trajets, que lon peut sparer, est calcul selon la relation :

N = int(TM / TC ) + 1

3.1

int( ) reprsente ici le nombre entier directement infrieur la valeur exprime entre les parenthses. On peut en conclure que le nombre maximum de trajets que lon peut sparer est proportionnel au dbit chip.

2.1. Problme li au systme W-CDMA


Linterfrence daccs multiple et linterfrence entre symboles sont les facteurs qui limitent la capacit et la performance des systmes W-CDMA. Cest--dire que la rduction de ces types d'interfrences peut amliorer sensiblement les performances du systme. La MAI provient de la nonorthogonalit entre les codes utiliss pour taler les donnes des diffrents utilisateurs. LISI est cause par les trajets multiples des systmes. Dans l'environnement de la MAI, le maintien de lorthogonalit entre les signaux tals est impossible, parce que l'interfrence est le rsultat dun dcalage temporel alatoire entre les signaux. En fait, la MAI cause la dgradation des taux d'erreurs binaires et des performances du systme. Pour ces raisons, de nombreuses recherches portent sur ce sujet [NAZA98 & MAHA03]. Par consquent, toutes les analyses de la performance dune architecture du rcepteur doivent prendre en compte la valeur de la MAI et de ses effets sur les paramtres qui affectent directement la performance gnrale du systme (spcialement le rapport de signal/bruit au rcepteur et la probabilit d'erreur binaire sur le flux de bits de l'information). En effet, beaucoup de travaux ont t raliss pour calculer la moyenne des taux d'erreur binaire, l'approche la plus utilise et la plus populaire tant l'approximation de Holtzman [HOLT92] et ses variantes.

2.2. Problmes lis aux doigts du rcepteur RAKE


Larchitecture du rcepteur RAKE est gnralement utilise dans le systme de communication WCDMA. Mais cette architecture pose des problmes concernant la flexibilit et lextensibilit. Un premier problme survient si nous augmentons le nombre de trajets multiples ou de codes par utilisateur. Par exemple, pour nous adapter cette augmentation, nous avons besoin dune importante quantit de matriel supplmentaire. En fait, dans larchitecture du rcepteur RAKE, la quantit de matriel est lie au nombre de trajets Np et au nombre de codes par utilisateur Nu. Par exemple, pour atteindre le dbit maximal de 2,3 Mbps dans la voie descendante, il faut utiliser trois codes par

80

Chapitre 3 : Architecture du rcepteur CodeRAKE utilisateur et quatre trajets multiples. Le nombre de corrlateurs ncessitant pour traiter les trois codes et les quatre trajets est donc 4 3 = 12. En revanche, si nous voulons augmenter le nombre de codes quatre, dans ce cas-l le nombre de corrlateurs va augmenter 4 4 = 16, cest--dire que cela augmente de manire consquente la quantit ncessaire de matriel. Le deuxime problme est li lallocation de doigts. Ce problme intervient si le retard de la premire composante des trajets multiples est trs court, cest--dire que le retard du trajet ne correspond pas au point de synchronisation du doigt du rcepteur RAKE (figure 3.1). En consquence, le symbole ne peut pas tre dstal. Dans ce cas-l, on est oblig de retarder ce trajet pour quil puisse repasser au bon instant.

Premire composante du trajet multiple

Mouvement

chantillons point de synchronisation

dure de lintgration de lchantillon

Figure 3.1 : Dplacement de la composante de trajets multiples et du point de synchronisation

Le dernier problme concerne le gnrateur de code et la ligne retard. Il est possible de changer les phases de code fournies aux doigts du rcepteur RAKE par la slection de la bonne phase partir d'une ligne retard. Si le changement de canal est trs rapide, lalignement en phase entre le code et le signal reu sera difficile, car la phase de code nest pas capable de suivre ce changement (la phase de code, fournie par la ligne retard, peut prendre des valeurs diffrentes). En consquence, le code gnr par les gnrateurs de code doit tre avanc ou retard afin de fournir la bonne phase. Selon la mise en uvre, cela peut prendre plusieurs cycles d'horloge et requiert la suppression du rcepteur, ce qui se traduit par l'augmentation temporaire du taux d'erreurs binaires.

81

Chapitre 3 : Architecture du rcepteur CodeRAKE

2.3. Concept architectural du rcepteur CodeRAKE


Larchitecture du rcepteur CodeRAKE est conue pour corriger les points faibles des architectures prcdentes du rcepteur RAKE, ainsi que pour offrir une flexibilit et extensibilit accrues, avec un bon quilibre entre surface et vitesse de traitement.

B u ffe r

D e s - t a le m e n t

SB 2 SB 3 Le registre de l'offset adresses


CodePath Le Dtecteur de trajets

M u ltip le x e u r T e m p o r e lle G ld Q

SB 1

A A

(I/Q)1 de C1 (I/Q)2 de C2

S W IT C H

B u ffe r

A (I/Q)3 de C3 A
(I/Q)4 de C4

B u ffe r

SB 4

I/Q

Figure 3.2 : Architecture du rcepteur CodeRAKE

Dans la figure 3.2, nous pouvons voir le schma architectural du rcepteur CodeRAKE (sa partie didentification et dextraction des chemins multiples) dans le cas dun seul utilisateur pour quatre trajets multiples et quatre codes par utilisateur. Larchitecture est compose dun dtecteur de trajets multiples (le dtecteur CodePath) qui identifie le retard de chaque trajet multiple, le gnrateur de codes qui produit les codes de Gold (brouillage) et OVSF (canalisation) et les doigts du rcepteur
CodeRAKE qui ralisent lopration de corrlation pour recouper les donnes.

La flexibilit et lextensibilit tant nos principaux critres de conception, nous nous sommes orients vers une architecture permettant de changer facilement le nombre de codes par utilisateur et le nombre dutilisateurs. En fait, ce changement doit tre ralis avec peu d'adaptation architecturale et une augmentation aussi faible que possible de la surface.

82

G n r a te u r du code d O V S F G n r a te u r d e code

G n r a te u r du code de

B u ffe r

Chapitre 3 : Architecture du rcepteur CodeRAKE

2.3.1. Architecture du dtecteur CodePath


Pour notre architecture CodeRAKE, nous introduisons un dtecteur de trajets multiples que lon appellera dtecteur CodePath . Ce dtecteur est prsent dans la figure 3.3.

Filtre dinterpolation Contrleur de trajets

Gnrateur Corrlateur 1 de code 1

Gnrateur de code 2

Corrlateur 2

Additionneur de phase

Filtre Additionneur de puissance dinterpolation

Contrleur de trajets Contrleur de trajets

Gnrateur de code 3

Corrlateur 3

Gnrateur de code 4

Corrlateur 4
Figure 3.3 : Architecture du CodePath dtecteur

Contrleur de trajets

lentre de ce dtecteur, nous interpolons des points d'chantillonnage laide dun filtre dinterpolation, ceci afin de rduire les intervalles de temps de chip des signaux reus. Ensuite, le signal interpol sera corrl pour produire le profil de retard. En effet, ce profil de retard est bas sur la corrlation entre le signal reu (plus prcisment les bits pilotes ajouts aux enttes des slots par lmetteur) et le code de brouillage gnr par le gnrateur de code [YOUS08]. En fait, les sries de donnes interpoles sont fournies en parallle aux entres des diffrents corrlateurs avec un retard adapt la dure du chip. En mme temps, les quatre gnrateurs de codes produisent les codes de dstalement correspondant aux canaux de transmission, comme on peut le voir dans la figure 3.3. Les codes gnrs seront retards (avec la mme dure de retard des sries de donnes interpoles) avant dtre injects lentre du corrlateur. savoir que chaque gnrateur de code gnre un code de dstalement correspondant un canal de transmission. De plus, le choix de ces codes de dstalement est bas sur l'information de code allou au dtecteur CodePath par le gestionnaire de paramtres. Dans la deuxime partie de larchitecture, le multiplexeur slectionne un chantillon de chaque entre et l'envoie l'entre de ladditionneur de phase. L'additionneur de phase excute un certain nombre dadditions, appeles additions In-phase , comme I+I et Q+Q. En fait, les I et Q sont les

83

Chapitre 3 : Architecture du rcepteur CodeRAKE composantes imaginaire et relle du signal. Ensuite, le signal en sortie de ladditionneur de phase sera inject sur lentre de l'additionneur de puissance pour effectuer laddition de puissance, telle que I2+Q2. Nous utilisons ensuite un deuxime filtre dinterpolation de mme structure que le premier pour interpoler les donnes en sortie de l'additionneur de puissance, ceci afin de rduire au maximum lintervalle de temps de chip. Dans la dernire partie du dtecteur, on trouve un dmultiplexeur temporel. En fait, les rsultats de linterpolation en sortie du deuxime filtre dinterpolation seront disponibles aux diffrentes sorties du dmultiplexeur, car l'opration de dstalement est multiplexe dans le temps. Finalement, le contrleur de trajet dtecte les pics dpassant un seuil prdtermin, et indique le retard correspondant aux pics dtects par le rseau de doigts du CodeRAKE. En fait, en raison du paralllisme, ds la deuxime partie de larchitecture CodePath (cest--dire partir de l'additionneur de phase) nous devons augmenter la vitesse de traitement de tous les blocs pour quils puissent traiter plusieurs chantillons au lieu d'un seul pendant la mme dure de traitement. En consquence, la frquence oprationnelle de l'additionneur de phase, de l'additionneur de puissance et du deuxime filtre d'interpolation doit tre suffisamment leve pour quils puissent effectuer leur travail avant larrive dune nouvelle srie dchantillons I et Q.

2.3.2. Doigts du rcepteur CodeRAKE


Pour la conception des doigts de notre rcepteur CodeRAKE, nous avions utilis le nombre de codes par utilisateur et le nombre dutilisateurs pour partitionner l'architecture. Comme le montre la figure 3.4, les lments composant les doigts du rcepteur CodeRAKE sont : les buffers dchantillons, les buffers, les multiplexeurs, les corrlateurs, les dmultiplexeurs et les accumulateurs. En fait, nous avons divis larchitecture CodeRAKE en deux parties : les buffers dchantillons et les buffers pour diriger et stocker les composantes de trajets multiples, et le multiplexeur avec ses entres parallles pour traiter les multi-codes de chaque utilisateur. Ici, nous avons utilis un multiplexeur quatre entres pour traiter quatre codes par utilisateur (nombre d'utilisateurs Nu=1), cest--dire une voie de transmission simple. En effet, cette division de la fonctionnalit rend dune part l'architecture du rcepteur flexible et extensible, et dautre part, aide amliorer l'architecture afin de pouvoir supporter tous les changements comme le nombre de trajets multiples, le nombre de codes par utilisateur ou le nombre de canaux. Il faut noter que le fait dutiliser un multiplexeur pour partager les blocs de traitement situs entre les corrlateurs, o chaque corrlateur est utilis pour destaler lun des quatre trajets I ou Q, nous permet de rduire la surface consomme et de favoriser lextensibilit de ce rcepteur. Par exemple, pour un trajet supplmentaire, il suffit d'ajouter un nouveau buffer dchantillons avec son buffer et une entre au multiplexeur ; nous utilisons dans ce cas un multiplexeur cinq entres [YOUS06].

84

Chapitre 3 : Architecture du rcepteur CodeRAKE


Gnrateur du code de Gold pour I

Buffer

Des-talement

Multiplexeur Temporelle Multiplexeur Temporelle


Gnrateur du code dOVSF

SWITCH

SB Q4 SB I3 SB Q3 SB I2 SB Q2 SB I1 SB Q1

Buffer

SB I4

Buffer

Buffer

Buffer

Des-talement

Buffer

SWITCH

Gnrateur du code de Gold pour Q

CodePath dtecteur de I Q trajets multiples

Figure 3.4 : Concept des doigts du rcepteur CodeRAKE

2.3.3. Buffer dchantillons (SB)


La mthode dadressage utilise dans le buffer dchantillons est troitement couple avec le dtecteur de trajets multiples. La fonctionnalit de ce buffer dchantillons est quivalente l'allocation des trajets multiples utilise dans les doigts de larchitecture conventionnelle du rcepteur RAKE. Mais ici, au lieu d'utiliser les estimations de retard pour contrler l'allocation de doigts, elles sont stockes dans des registres doffset dadresse (OAR, Offset Address Registers) et utilises par le gnrateur d'adresses (AG, Address Generation) pour calculer ladresse correspondant au retard estim dans le buffer circulaire. La mthode dadressage avec offset (Offset Addressing) est illustre dans la figure 3.5. Le buffer circulaire y est prsent avec la rponse impulsionnelle du canal (Channel Impulse Response). Les pics de la rponse impulsionnelle du canal reprsentent les composantes de trajets multiples dtectes. En fait, les positions de ces composantes indiquent les points de dpart pour lintgration des symboles

85

Gnrateur de code

registre de l'offset adresses

Buffer

Buffer

Gnrateur de code
A I1 de C1 A I2 de C2 A I3 de C3 A I4 de C4 A A A A

Gnrateur du code dOVSF

Q1de C1 Q2 de C2 Q3 de C3 Q4 de C4

Chapitre 3 : Architecture du rcepteur CodeRAKE dans le buffer circulaire. Les adresses qui correspondent ces points sont calcules en ajoutant les offsets la valeur du curseur. Les offsets dadresse correspondent aux retards des composantes de trajets multiples ; par exemple, si le retard d'une composante de trajets multiples est gal 9 s, la valeur correspondant ladresse de dcalage est gale la division de la valeur de ce retard par la priode d'chantillon.
Curseur dcriture

Pre -Window

Curseur de lecture

Curseur de lecture

Offset Trajets multiples Post -Window Offset

Curseur dcriture

Rotation

Trajets multiples

Figure 3.5 : Fonctionnement du buffer de flux SB

Le calcul des adresses du curseur peut tre considr comme une rotation du buffer circulaire, comme illustr dans la partie suprieure de la figure 3.5. Les flches correspondant aux curseurs dcriture et de lecture peuvent tre considres comme stationnaires tandis que le buffer circulaire tourne dun pas dans le sens horaire aprs chaque cycle de traitement. Lorsque les positions des composantes de trajets multiples dans le profil de retard changent, les offsets dadresse sont mis jour, alors que les positions des flches correspondant aux adresses de lecture sont dplaces le long du buffer.

86

Chapitre 3 : Architecture du rcepteur CodeRAKE L'avantage de ladressage par offset est que lallocation dun doigt spar pour chaque trajet multiple n'est plus ncessaire. C'est--dire quavec le buffer circulaire et la mise jour de la valeur des offsets dadresse, nous obtenons la mme fonctionnalit pour les doigts. Par ailleurs, le fonctionnement du rcepteur nest pas affect par dventuels changements dus la manire de traiter les trajets multiples. La conception du buffer dchantillons a t prsente dans [HARJ01]. Il se compose du buffer circulaire, dun registre doffset dadresse et du gnrateur dadresse circulaire. Nous pouvons voir dans la figure 3.6 les compositions du buffer circulaire, divis en trois fentres : Write-Window , Pre-Window et Post-Window .

gnrateur dadresse circulaire


Write_Ptr

registres dcalage dadresse

Read_Ptr

criture des chantillons I/Q


Write Win

Pre Win

Post Win Read Win

lecture des chantillons I/Q


Figure 3.6 : Mthode dadressage dans le buffer circulaire

La fentre Write-Window est utilise pour stocker les nouveaux chantillons. Sa longueur est gale la longueur dun chip, qui peut correspondre plusieurs chantillons lorsque le surchantillonnage est utilis. Elle est utilise pour crire les nouveaux chantillons dans le buffer circulaire. La fentre Post-Window contient les chantillons des trajets multiples. Ladresse de lecture dans la Post-Window correspond ladresse gnre l'intrieur de la fentre de poursuite, cest dire ladresse gnre par le dtecteur de trajets multiples. Ladresse de dbut de la fentre Post-Window est indique par le curseur de lecture Read_Ptr ; ce curseur est utilis comme base pour calculer l'adresse de lecture. La fentre Pre-Window fournit la possibilit de prolonger les offsets dadresse. Cette partie du buffer contient les chantillons les plus anciens et son objectif est de fournir une marge de protection concernant la variation de retard entre larrive des diffrents composants de trajets multiples. En fait, nous utilisons un pointeur dcriture Write_Ptr pour indiquer les endroits qui correspondent lcart entre les diffrents chantillons de donnes I et Q. Les registres doffset dadresse (Offset Address Registers) contiennent les estimations des retards qui correspondent aux trajets multiples valides. La vritable adresse de mmorisation de l'chantillon 87

Chapitre 3 : Architecture du rcepteur CodeRAKE de donnes, pour chaque composante du trajet multiple, sera calcule en ajoutant l'adresse du pointeur dcriture loffset du retard de la composante. En effet, les offsets dadresse correspondent aux retards des composantes des trajets multiples. En pratique, le buffer circulaire est un bloc de mmoire dont la taille dtermine le dlai maximum de propagation support par le rcepteur. La taille de la mmoire est affecte galement par le sur-chantillonnage et le nombre de bits utiliss par chantillon. La taille du buffer dchantillons peut tre calcule avec lexpression suivante [HARJ01] :

T + Tpre + Tpost N buf = c 2 R N sample Tc


N buf = 2Twin RN sample Tc

3.2

3.3

o Nbuf reprsente la taille en bits du SB, Tc est la dure du chip (correspondant la largeur de la fentre dcriture), Tpre est la dure correspondant la largeur de la Pre-Window , Tpost est la dure correspondant la largeur de la Post-Window , R est le facteur de sur-chantillonnage, et Nsample est la longueur des mots d'chantillon pour toutes les donnes I et Q. TPost est dtermin par le retard maximum de propagation support par le CodeRAKE.
Tableau 3.1 : Exemples de tailles du circulaire buffer en octets avec un sur-chantillonnage 4

Twin Nsample (bits) 6 8 10 12 16 4.17 s 96 128 160 192 256 8.33 s 192 256 320 384 512 16.67 s 384 512 640 768 1024 33.33 s 768 1024 1280 1536 2048 66.67 s 1536 2048 2560 3072 4096

Tableau 3.2 : Exemples du retard typique de propagation dans diffrents environnements mobiles [RAPP96].

Environnement Rural Urbain Indoor

Le retard typique de la propagation 1-20 s 0.1-1 s 1-10 ns

88

Chapitre 3 : Architecture du rcepteur CodeRAKE Le retard de propagation est gnralement compris entre 1 s et 2 s dans les zones urbaines et banlieues. Il peut atteindre 20 s ou plus avec une nergie significative pour les signaux dans les zones montagneuses [HOLM04]. La dure du chip est gale 0,26 s, quand le taux d'chantillonnage est gal 3,84 Mcps. En fait, si les diffrents retards entre les composantes des trajets multiples sont suprieurs la dure du chip (0,26 s), le rcepteur W-CDMA utilis (ici CodeRAKE) peut sparer les composantes des trajets multiples, pour ensuite les combiner de manire cohrente afin dexploiter la diversit des trajets multiples. Des exemples de tailles du buffer circulaire sont prsents dans le tableau 3.1 pour diffrentes tailles de fentres (Twin) et longueurs de mots d'chantillonnage (Nsample), et un sur-chantillonnage de 4. Avec une longueur de mot (pour les chantillons I et Q) gale 8 bits et une fentre de 33,33 s, un buffer dchantillon de 1 ko serait ncessaire. De toute faon, mme dans le pire des cas comme les zones rurales par exemple, nous avons besoin dun buffer de 1 1,5 ko. Cela signifie que limplantation matrielle de ce buffer est envisageable. Comme prsent dans la figure 3.7, le gnrateur dadresses du buffer dchantillons est compos de lensemble des registres dcalage, d'un gnrateur dadresse circulaire et d'un additionneur pour calculer les adresses de lecture. L'lment cl de cette opration dadressage est le gnrateur dadresse circulaire, car il fournit squentiellement les adresses ladditionneur. Il s'agit essentiellement d'un compteur qui incrmente sa valeur aprs chaque cycle de traitement.
slection du dcalage registre dcalage registre dcalage registre dcalage registre dcalage 11 00 dcalage de la PreWin Gnrateur dadresse circulaire

+
adresse de lecture adresse dcriture

Figure 3.7: Gnrateur dadresse du buffer dchantillons (SB)

Dune part, l'adresse dcriture est obtenue directement partir de la valeur de sortie du compteur, et dautre part, l'adresse du curseur de lecture est obtenue en ajoutant la largeur de la pre-window la valeur de sortie du compteur. Les registres dcalage contiennent les adresses de dcalage qui seront utilises pour calculer les adresses de lecture. Le dcalage adquat sera slectionn laide dun multiplexeur, puis il sera additionn l'adresse du curseur de lecture.

89

Chapitre 3 : Architecture du rcepteur CodeRAKE

2.3.4. Unit de dstalement


Lunit de dstalement effectue la multiplication complexe entre les chantillons I et Q (Dini + jDinq) et les codes gnrs par le gnrateur de code, comme indiqu dans lquation suivante :

Douti + jDoutq = Dini + jDinq * Csi + jC sq * Co


brouillage ; Co est la valeur relle du code OVSF.

)(

3.4

o Dini + jDinq reprsente les chantillons du signal dentre I/Q, et Csi + jCsq le code complexe de

En fait, les valeurs des deux parties (relle et imaginaire) du code de brouillage sont gales 1, ainsi que la valeur de la partie relle du code OVSF (o +1 et 1 illustrent respectivement les valeurs logiques des 0 et 1). Ainsi, l'quation [3.4] peut tre simplifie, si nous excutons d'abord la multiplication des bits du code de brouillage par les bits du code OVSF. Comme il est indiqu dans lquation [3.5] ci-dessous :

R c i + jR

cq

= C

si

+ jC

sq

)* C

= (Csi * Co ) + j (Csq * Co )

3.5

Pour calculer Rci et Rcq, nous ralisons les deux oprations de multiplications de lquation [3.4] laide des deux oprations XOR ((Co Csi) et (Co Csq)), parce que la multiplication de valeurs sur 1 bit en +1 ou 1 ne peut produire que 1 ou 1. partir des quations [3.4] et [3.5] , nous arrivons au rsultat suivant :

Dout i + jDout q = Dini + jDin q * Rci + jRc q

)(

= Dini * Rci + jDin q * Rci + jDini * Rc q Din q * Rc q = Dini * Rci Din q * Rc q + jDini * Rc q + jDin q * Rci = ( Dini * Rci Din q * Rc q ) + j ( Dini * Rc q + Din q * Rci )
3.6

Par consquent, nous pouvons remarquer que la multiplication des chantillons de donnes d'entre (Dini + jDinq) par le rsultat intermdiaire du code (qui a la forme 1) changera le signe de (Dini + jDinq) ou le laissera inchang (quation [3.6]) [KUMA02]. Ainsi, Douti et Doutq peuvent prendre lune des quatre valeurs suivantes : (Dini + Dinq), (Dini Dinq), (Dini Dinq) et (Dini + Dinq), selon les valeurs des codes dtalement et de brouillage, et selon les indications des quations suivantes :
Douti + jDoutq = + Dini jDinq + + Dini + jDinq Douti + jDoutq Douti + jDoutq Douti + jDoutq
ini

( = (+ D = ( D = ( D

+ jDinq jDinq + jDinq

ini

ini

) ( ) + ( D ) + (+ D ) + ( D

ini

+ jDinq jDinq jDinq

ini

ini

) ) ) )

quand quand quand quand

Rci = 0 & Rcq = 0 Rci = 0 & Rcq = 1 Rci = 1 & Rcq = 0 Rci = 1 & Rcq = 1

3.7 3.8 3.9 3.10

90

Chapitre 3 : Architecture du rcepteur CodeRAKE Les oprations ralises sur les chantillons de l'entre sont rsumes dans le tableau 3.3 pour les diffrentes valeurs du code. Nous pouvons remarquer que dans la partie relle, les chantillons d'entre sont ajouts ou soustraits selon la somme modulo 2 des bits du code. Concernant la partie imaginaire, la slection se fait de la mme faon, mais avec l'inverse de la somme modulo 2. Le rsultat la sortie du corrlateur est donc dtermin par la partie relle et l'inverse de la partie imaginaire du code.
Tableau 3.3: Oprations entre les chantillons d'entre pour diffrentes valeurs de code

Rci 0 0 1 1

Rcq 0 1 0 1

Douti Dini Dinq Dini + Dinq


Dini Dini

Doutq Dini + Dinq


Dini

+ Dinq

Dinq

Dini Dinq
Dini

+ Dinq

Dinq

Larchitecture du corrlateur utilis dans les doigts du rcepteur CodeRAKE est base sur les quations (3.7 3.10). Par consquent, lopration de dstalement peut tre effectue laide des lments logiques suivants : des XOR, des additionneurs/soustracteurs et des multiplexeurs [CHUG05]. On peut voir dans la figure 3.8 les composantes matrielles de l'unit de dstalement utilise dans le rcepteur CodeRAKE. Le fonctionnement de cette unit est le suivant : au dbut on calcule les parties relles et imaginaires de l'chantillon d'entre ; ensuite, on ajoute ou soustrait les ensembles des rsultats du calcul ; enfin, on slectionne les donnes en sortie par lutilisation des codes dembrouillage et OVSF. En effet, lensemble des oprations de corrlation peut tre ralis en deux tapes avec une structure additionneur/soustracteur.
Dini Dini + Dinq Douti

+ +
Inversion de signe Inversion de signe

- Dini -Dinq Dini - Dinq - Dini+Dinq

2 MUX 4 :1

Doutq

Dinq +

Select

Gnrateur du code dembrouillage

Gnrateur du code dOVSF

Figure 3.8 : Conception matrielle de l'unit de dstalement

Aprs le dstalement des donnes, celles-ci sont accumules sur une priode gale la priode dun symbole, Tb. Cela signifie qu'une quantit totale de donnes gale SF sera accumule pour produire les symboles la sortie du corrlateur. Les registres dintgration requis pour lopration de

91

Chapitre 3 : Architecture du rcepteur CodeRAKE corrlation constituent une partie importante des ressources matrielles ncessaires. En effet, un registre d'intgration est ncessaire pour chaque code et pour chaque composante du trajet multiple. Par exemple, si lon considre quatre codes par utilisateur et quatre trajets multiples, nous avons besoin de 16 registres dintgration dans laccumulateur. En revanche, dans le cas pratique, nous avons besoin de doubler les registres dintgration, car il y a deux branches (I et Q) et nous devons aussi doubler la taille des registres pour viter les dbordements (la longueur dintgration atteignant quelquefois 512 : SF = 512).

2.3.5. Gnrateur de code du rcepteur CodeRAKE


Dans les architectures prcdentes (figure 3.3 et figure 3.4), nous avons utilis deux types de gnrateurs de code. Le premier est utilis dans le dtecteur de trajet (CodePath). Ce gnrateur produit uniquement le code dtalement correspondant au canal de transmission. Le deuxime type de gnrateur de code est employ dans les doigts du CodeRAKE. Ce gnrateur contient quatre gnrateurs de code OVSF (un code pour chaque canal) et un gnrateur de code de Gold. savoir quun gnrateur de code de Gold supplmentaire est ncessaire pendant le soft-handover, ou pendant les situations o tous les codes OVSF possibles dans une cellule sont dj utiliss. En fait, les proprits des codes OVSF et des codes de Gold ainsi que les rgles pour les produire sont dcrites de manire gnrale dans le premier chapitre, une description plus dtaille est disponible dans les caractristiques de la Third Generation Partnership Project (3 GPP). Mais, aucune solution architecturale na t propose pour son implantation. 2.3.5.1. Implantation de gnrateur de code OVSF

partir de ltude thorique du code OVSF faite dans le chapitre 1, nous pouvons remarquer que le nombre total de codes OVSF disponibles est trop grand si nous considrons une architecture base sur des tables. Une approche diffrente est donc ncessaire. Par exemple, dans la voie descendante, le facteur dtalement a des valeurs comprises entre 4 et 512 (SF = 2N, N = 2 9). Cest--dire que chaque facteur dtalement correspond un nombre diffrent de codes dtalement ; par consquent 44 octets de mmoire seraient ncessaires pour une implantation base sur des tables. cause des inconvnients de larchitecture du gnrateur de code OVSF base sur des tables, nous utilisons dans notre implantation une autre architecture base sur l'arbre du code (OVSF) prsent dans le chapitre 1 [MAYE98 & 3GPP01]. Dans la figure 3.9, nous pouvons voir larchitecture du gnrateur de code OVSF utilis dans notre rcepteur CodeRAKE. Ce gnrateur produit le code souhait au taux dun chip par cycle d'horloge. Le gnrateur de code OVSF est compos de cinq blocs : un bloc de dcalage, un contrleur, un compteur, un rseau des portes logiques (AND, XOR) et un multiplexeur.

92

Chapitre 3 : Architecture du rcepteur CodeRAKE


Facteur dtalement MUX du Facteur dtalement + dcalage logique (9-bit)

Compteur
10-bit

Index

Registre dcalage (9-bits)

AND XOR

AND XOR

AND

AND

AND XOR

AND XOR

AND XOR

AND XOR

AND

XOR

XOR

111 Sortie (OVSF)

000

Figure 3.9 : Gnrateur de code OVSF

On peut exprimer le fonctionnement de ce gnrateur de code par les tapes suivantes : tout d'abord, le bloc de contrle reoit le facteur dtalement et le convertit en un code binaire de largeur 3 bits. Ce code est utilis comme signal de contrle pour le bloc de dcalage et le multiplexeur. En fait, ce contrle des deux blocs sert slectionner le code OVSF en sortie du gnrateur. Ensuite, le bloc de dcalage stocke les index du code slectionn sous forme binaire de largeur 9 bits (0511). L'index sera dcal gauche selon le signal de commande de 3 bits, ce signal provenant du bloc de contrle. De son ct, le compteur va compter jusqu' atteindre la valeur correspondant au facteur dtalement indiqu par l'index au bloc de contrle. Les neuf bits en sortie du bloc de contrle sont relis aux paires de portes (AND/XOR) correspondantes pour tre employs comme signaux de commande. Finalement, le rseau de portes logiques (AND, XOR) produit les vritables squences dOVSF qui seront disponibles la sortie de multiplexeur [HARJ05]. 2.3.5.2. Limplantation de gnrateur de code de Gold

Limplantation du gnrateur de code de Gold est beaucoup plus simple. Daprs ltude thorique du code de Gold du premier chapitre et le 3GPP, larchitecture du gnrateur du code de Gold utilis dans le systme W-CDMA est base sur une combinaison de deux sries de registres linaires dcalage (m-squences). Ces registres ont une largeur 18 bits et sont combins avec des portes logiques. Les deux polynmes utiliss pour gnrer ces deux m-squences sont dcris dans les deux expressions suivantes [SPRE01] :

1 + X 7 + X 18 1+ X + X + X
5 7 10

3.11

+X

18

3.12

93

Chapitre 3 : Architecture du rcepteur CodeRAKE La figure 3.10 montre limplantation de larchitecture du gnrateur de code de Gold compose de deux m-squences de 18 bits et de portes XOR.

17

16

15

14

13

12

11

10

17

16

15

14

13

12

11

10

Figure 3.10 : Gnrateur de code de Gold

3.

Avantages de l'architecture du rcepteur CodeRAKE

partir des tudes faites dans ce chapitre, nous pouvons dire que larchitecture du rcepteur
CodeRAKE prsente un certain nombre d'avantages. Le premier rsulte de lutilisation de la mthode

de dcalage dadresse, celle-ci conduisant une grande flexibilit par des allocations des trajets multiples du signal. En effet, les composantes des trajets multiples sont poursuivies par le buffer chantillon qui stocke les valeurs de leurs retards dans les registres dcalage dadresse. Par consquent, nous navons plus besoin de la mthode complexe consistant employer des doigts. De plus, en cas de dcalages ngatifs, la mmoire circulaire peut se dplacer vers les positions inverses (mouvement ngatif) jusquau point de synchronisation. Cela garantit quaucun symbole nest perdu, mme lorsque le profil de retard change de faon spectaculaire. Le deuxime avantage est que les phases des codes OVSF/Gold nont pas besoin d'tre alignes sparment dans le temps selon les retards des trajets multiples, car les trajets sont dj aligns par le buffer dchantillons. Cest--dire que toute la corrlation est effectue avec la mme phase de code, ce qui facilite limplantation des gnrateurs de code. De mme, le traitement du code multiple en rception sera galement simple, car le mme chantillon de trajet multiple (I/Q) peut tre corrl avec plusieurs codes dtalements et il n'est pas ncessaire d'effectuer plusieurs lectures de la mme adresse du buffer circulaire. Lefficacit matrielle, la flexibilit et lextensibilit architecturale sont les amliorations principales du rcepteur CodeRAKE par rapport aux autres architectures du rcepteur RAKE. En effet, lutilisation dun multiplexeur pour partager l'opration de corrlation entre les composantes de trajets

94

Chapitre 3 : Architecture du rcepteur CodeRAKE multiples simplifie larchitecture du rcepteur. Grce au multiplexeur, il nest pas ncessaire de dupliquer les ressources matrielles dans chaque doigt du rcepteur CodeRAKE. Avec le rcepteur
CodeRAKE, laugmentation du nombre de codes dtalement pour supporter un nouveau canal de

transmission, par exemple, ne ncessite lajout que dun seul gnrateur de code et des registres. Bien entendu, le partage de ressources nous force augmenter de la frquence d'horloge, ce qui conduit une augmentation de la consommation en nergie.

4.

Conclusion

Dans ce chapitre, nous avons prsent nos deux nouvelles architectures. La premire pour le rcepteur RAKE (CodeRAKE) et la deuxime pour larchitecture du dtecteur de trajets multiples (CodePath). Le but de ces nouvelles architectures est damliorer les points critiques des architectures du rcepteur RAKE prcdentes, notamment la surface et le dbit, ainsi que doffrir une flexibilit et une extensibilit accrues, avec un bon quilibre entre surface et vitesse de traitement. Larchitecture du rcepteur CodeRAKE possde ainsi un certain nombre d'avantages par rapport aux architectures existantes du rcepteur RAKE, notamment en ce qui concerne le problme dinterfrence daccs multiple, limportante quantit de matriel ncessaire leur implantation, lallocation des doigts et lalignement de code. De plus, lutilisation dun multiplexeur pour partager le traitement dans les deux architectures nous permet de rduire la surface consomme et de favoriser lextensibilit du rcepteur CodeRAKE. En revanche, du fait du paralllisme de fonctionnement li au multiplexeur, nous devons augmenter la vitesse de traitement de tous les blocs situs aprs le ce dernier pour quils puissent traiter plusieurs chantillons au lieu d'un seul pendant la mme dure de traitement. En consquence, la frquence de fonctionnement doit tre suffisamment leve pour quils puissent achever leur traitement avant larrive dune nouvelle srie dchantillons I et Q. En rsum, lefficacit matrielle, la flexibilit et lextensibilit architecturale sont les amliorations principales du rcepteur CodeRAKE par rapport aux autres architectures. En effet, avec le rcepteur CodeRAKE, laugmentation du nombre de code dtalement permettant de supporter un nouveau canal de transmission ne ncessite pas beaucoup du matriel supplmentaire, mais le partage de ressources mis en uvre conduit une augmentation de la consommation dnergie. .

95

Chapitre 3 : Architecture du rcepteur CodeRAKE

96

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

1. Introduction
La conception de ltape dextraction et didentification des trajets multiples sera exprimente au cours de ce chapitre. En effet, le point de dpart de ce travail sera la simulation dun systme de communication complet sous MATLAB Simulink afin de valider la fonctionnalit du rcepteur
CodeRAKE. Nous mesurerons tout dabord le BER dans le systme afin dvaluer la performance de

notre architecture de rcepteur RAKE dans diffrents environnements, par exemple un canal avec vanouissements. Ensuite, nous comparerons la performance obtenue celle des autres architectures de rcepteurs RAKE (larchitecture conventionnelle du rcepteur RAKE, FlexRAKE, ainsi que Time Multiplexed Parallel RAKE). Suite cette tude comparative et fonctionnelle, le rcepteur CodeRAKE sera modlis en VHDL (VHSIC Hardware Description Language) au niveau RTL (Register Transfer Level) puis synthtis sur FPGA (Field Programmable Gate Array). Le modle RTL du rcepteur CodeRAKE est compos des trois blocs dcrits dans le chapitre prcdent : les doigts du rcepteur CodeRAKE, le dtecteur
CodePath et le gnrateur de code.

Il est noter que lestimation de canal et la combinaison ratio maximal ont t exclues de notre implantation, car les modifications introduites par le rcepteur CodeRAKE et le dtecteur CodePath ne concernent que limplantation des doigts du rcepteur RAKE et plus prcisment la partie didentification et dextraction des trajets multiples. Les fonctionnalits et les interfaces des autres blocs du rcepteur ne sont pas affectes par ces changements. Deux architectures sont prsentes dans ce chapitre : celles des rcepteurs CodeRAKE utiliss dans les stations mobiles et dans la station de base. Les deux versions sont tudies en dtail, puis compares aux autres architectures du rcepteur RAKE.

2. Flot de conception
Cette section prsente la mthodologie de conception et d'implantation exprimentale utilise pour effectuer ce travail. Le flot de conception suivi est prsent dans le schma fonctionnel de la figure 4.1.

97

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE


Vrifiez l'algorithme et la fonctionnalit des rcepteurs RAKE Et le modle de systme A. Vrifier, la fonctionnalit et la place en route des rcepteurs RAKE B. Comparez la surface, la frquence & la puissance

Vrifier la fonctionnalit

MATLAB, Simulink Du dfrent architecteur du rcepteur RAKE

La modlisation des rcepteurs RAKE (VHDL)


Figure 4.1 : Flot de conception

Synthtiser, Placer en route le rcepteur RAKE En utilisant l'outil Quartus II de lALTERA

Tout dabord, des modles fonctionnels des diffrentes architectures du rcepteur RAKE ont t dvelopps sous MATLAB/Simulink. En fait, cet outil Simulink, sinscrit dans lenvironnement MATLAB, permet de raliser un systme sous forme dun schma de blocs reprsentant les fonctions. Ce logiciel est visuel et permet de simuler tout systme temps discret. Il est trs utilis de par sa souplesse dutilisation, et par la rapidit de faire une pr-tude dun systme complexe. Ensuite, les modles VHDL au niveau RTL ont t dvelopps puis synthtiss en utilisant l'outil Quartus II dALTERA, pour vrifier et valider les rsultats obtenus lors de ltude fonctionnelle. Le comportement du rcepteur CodeRAKE dans diffrents environnements a t compar celui des autres architectures du rcepteur RAKE, et les effets sur le taux d'erreur binaire ont t contrls en faisant varier le rapport signal sur bruit.

3. Simulation sous MATLAB/Simulink


3.1. Ralisation de la chane de transmission
Cette section est consacre la ralisation de larchitecture de la chane de transmission (metteur/canal/rcepteur) sous MATLAB/Simulink. Ce modle est utilis pour valider la fonctionnalit des rcepteurs RAKE. Il sagit dune chaine de transmission intgrant des modules numriques et analogiques (cf. figure 4.2). Le point de dpart est une information code en code binaire et tale par un code dtalement (code de brouillage, code OVSF). Une modulation QPSK assure ensuite la mise en forme physique des signaux dans la bonne gamme de radiofrquences. Ces signaux sont transmis par un canal proprit variable, et ils parviennent au rcepteur sous forme bruite. Le rcepteur est constitu en premier lieu dune dmodulation de signaux et en second lieu dun dtecteur de type RAKE pour rcuprer les informations.

98

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE


Signal transmis

Source binaire (donne et signalisation)

Etalement de spectre

Modulation RF Frquence porteuse

Code dtalemen

Canal Signal reu


Dmodulation RF Frquence porteuse Code dtalemen

C
Bits estims (donnes et signalisation) Dtection (avec un rcepteur RAKE

Figure 4.2 : Chane de transmission sous MATLAB

Une modlisation complte englobant la fois les parties numriques et analogiques est envisageable ds lors que lon dispose doutils de simulation suffisamment fiable et compatibles. Avec la plateforme Simulink, il est possible de raliser les modles fonctionnels suivants : dans l'metteur, les donnes seront gnres, tales avec les codes de brouillage et le code OVSF, puis modules en utilisant la modulation QPSK avant dtre envoyes dans le canal de transmission ; dans le canal de transmission, les donnes subiront l'vanouissement d aux trajets multiples ainsi que le bruit du canal ; dans le rcepteur, les donnes seront dmodules en utilisant la dmodulation QPSK, dtectes par le dtecteur de trajets multiples, dstales dans les doigts du rcepteur RAKE et combines laide du combinateur ratio maximal. savoir que le multiplexage et le codage de canal ont t exclus de notre simulation du systme de communication. Dans notre environnement de simulation, tous les blocs ont t slectionns dans les bibliothques standards Simulink (Simulink Reference & Communication block-set). Cest le cas pour le gnrateur de nombres alatoires et les gnrateurs de code de Gold et de code OVSF utiliss dans l'metteur. D'autre part, un bloc mmoire, un intgrateur, et des registres sont utiliss dans le rcepteur, et un bloc de bruit blanc gaussien (Multipath Additive White Gaussian Noise AWGN) est employ pour modliser le canal. Il faut noter que chaque bloc de notre modle est configurable. Par exemple, il est possible de modifier les dbits de donnes, le facteur dtalement, le nombre de composantes des trajets multiples, le retard du trajet ainsi que les largeurs de mots.

99

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE Diverses simulations ont t menes pour un ou plusieurs utilisateurs dans le systme, et pour diffrents dbits de donnes, et le BER a t calcul par comparaison des bits d'entre et de sortie.
Tableau 4.1: Rsultats des simulations sous MATLAB pour 4 codes et 4 trajets multiples

Le rcepteur conventionnel RAKE le nombre de doigts le nombre des Sample Buffers le nombre du corrlateur le nombre d'accumulateurs la frquence de fonctionnement 16 X 16 16 Chip rate

Le rcepteur
FlexRAKE

Le rcepteur Time Multiplexed Parallel RAKE 1 8 4 32 Chip rate

Le rcepteur
CodeRAKE

1 4 4 4 12*Chip rate

1 8 2 4 4*Chip rate

Les diffrentes architectures du rcepteur RAKE (larchitecture conventionnelle, larchitecture


FlexRAKE, larchitecture Time Multiplexed Parallel RAKE et larchitecture CodeRAKE) sont simules.

Comme nous lavons soulign, cette simulation fonctionnelle est trs importante pour comprendre et valider le comportement des diffrents blocs fonctionnels. Le tableau 4.1 rcapitule les caractristiques les plus importantes des quatre rcepteurs RAKE dans le cas de quatre composantes de trajets multiples et quatre codes par utilisateur : le nombre de doigts, de buffers dchantillons, de corrlateurs, d'accumulateurs, et la frquence de fonctionnement. Les rsultats du tableau confirment que la principale diffrence entre larchitecture conventionnelle du rcepteur RAKE et les trois autres architectures est le nombre de doigts. En fait, larchitecture conventionnelle du rcepteur RAKE a besoin dun doigt pour chaque trajet et pour chaque code de lutilisateur (cest--dire, 44=16 doigts). En revanche, dans les trois autres rcepteurs les doigts sont remplacs par des buffers dchantillons (4 pour FlexRAKE et 8 pour Time Multiplexed Parallel RAKE et CodeRAKE).

3.2. Calcul du taux derreur binaire (BER)


Il existe deux approches principales pour dterminer le taux derreur binaire (cest--dire la qualit de la sortie de l'architecture du rcepteur RAKE). La premire approche utilise des squences de symboles connues du rcepteur (symboles pilotes) pour calculer le BER. Dans ce cas, les symboles pilotes sont transmis en parallle avec les donnes. loppos de la chane de transmisison, cest-dire la rception, le BER peut tre dtermin en comparant les symboles pilotes reus avec les

100

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE symboles attendus. La deuxime approche est base sur lemploi de modles ; ces modles ncessitent de connatre ltat instantan de l'environnement et de lutiliser comme entre pour le calcul du BER. Dans notre simulation, nous utilisons une troisime approche de type statistique pour calculer les diffrentes valeurs du BER la sortie du rcepteur RAKE. Pour cela, nous navons besoin ni de connatre des informations supplmentaires sur l'environnement, ni de transmettre les symboles pilotes. En fait, dans notre approche statistique nous utilisons le bloc de calcul de taux d'erreur (Error Rate Calculation block ERB). Ce bloc compare directement les donnes reues avec les donnes mises en utilisant une mthode statistique. Cette mthode est base sur le rapport entre les nombres de paires de donnes errones et les nombres de paires de donnes appliques lentre de la source. Ce bloc ERB produit les trois valeurs suivantes : le taux d'erreur binaire au signal reu ; le nombre total derreurs ; le rapport entre le nombre total derreurs et le nombre total de bits tests. En pratique, lestimation du BER la rception donne beaucoup d'informations sur la qualit du signal reu. En fait, cette information est ncessaire pour dterminer si le code correcteur derreur FEC (Forward Error Correction) peut corriger le signal reu ou non. Lestimation du BER nous permet donc de dterminer si la qualit de la sortie du rcepteur RAKE doit tre amliore ou non. Revenons notre chane de transmission sous Simulink (figure 4.2). Nous allons vrifier dans cette chane le changement du BER en fonction du rapport signal sur bruit. Les points de vrification se situent la sortie de la source de donnes ct metteur, et aux entres/sorties du rcepteur RAKE (cest--dire entre les points A et B/C de la figure 4.2). Dans notre systme de la simulation, les nombres de code transmis simultanment par un utilisateur Nc, le nombre de trajets multiples Np et le facteur dtalement SF sont fixes pendant toutes les tapes de la simulation. Ils ont les valeurs suivantes : Nc est gal quatre codes par utilisateur, Np est gal quatre trajets multiples et SF est gal seize. En revanche, le niveau de bruit blanc gaussien varie avec le changement de rapport signal sur bruit. Le BER en sortie de chaque rcepteur a t compar avec le BER obtenu sans utiliser le rcepteur RAKE. Il faut noter que nous avons estim les valeurs du BER brutes , cest--dire sans utiliser aucun code correcteur d'erreur.

101

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE


0

La performance du rcepteur FlexRAKE BRE sans utiliser le rcepteur RAKE BRE pour le rcepteur FlexRAKE

10

10

La performance du rcepteur Time Multiplexed Parallel RAKE BRE sans utiliser le rcepteur RAKE BRE pour le rcepteur TimRAKE

10

-1

10

-1

BER

10

-2

BER 10
-2

10

-3

3 4 5 6 Eb/No (dB) a : performance du rcepteur FlexRAKE


La performance du rcepteur CodeRAKE BRE sans utiliser le rcepteur RAKE BRE pour le rcepteurr CodeRAKE

10

3 4 5 6 7 Eb/No (dB) b : performance du rcepteur Time Multiplexed Parallel RAKE La performance du rcepteur des trois rcepteurs 0 10 BRE sans utiliser le rcepteur RAKE BER pour le rcepteur CodeRAKE BRE pour le rcepteur FlexRAKE BER pour le rcepteur TimRAKE
10
-1

10

-3

10

-1

BER

BER
10
-2

10

-2

10

-3

10
0 1 2 3 4 Eb/No (dB) 5 6 7

-3

3 4 Eb/No (dB)

c : performance du rcepteur CodeRAKE

d : performance du rcepteurdes trois rcepteurs RAKE

Figure 4.3: taux d'erreur binaire en fonction de rapport Eb/N0 de la chane de transmission pour un utilisateur, 4 code par utilisateur, 4 trajets multiples

En fait, cette simulation a t ralise pour les trois architectures du rcepteur RAKE (FlexRAKE, Time Multiplexed Parallel RAKE et CodeRAKE). Les figure 4.3.a, figure 4.3.b et figure 4.3.c tracent le taux d'erreur binaire en fonction du rapport Eb/N0 pour les trois rcepteurs dans les cas suivants : la figure 4.3.a montre la performance (BER en fonction de Eb/N0) avec et sans rcepteur
FlexRAKE ;

102

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE la figure 4.3.b montre la performance avec et sans rcepteur Time Multiplexed Parallel RAKE; la figure 4.3.c montre la performance avec et sans rcepteur CodeRAKE Ces rsultats montrent que la probabilit d'erreur binaire la sortie des trois architectures est meilleure que celle obtenue sans utiliser les architectures de rcepteur RAKE, en particulier dans un environnement o la valeur de lvanouissement est trs leve (cest--dire, quand Eb/N0 est faible). On peut en conclure que les trois architectures offrent une bonne rsistance aux erreurs binaires produites par le canal de transmission. Cela signifie que les architectures RAKE amliorent la performance dun systme W-CDMA en rduisant le BER, quand lvanouissement dans le canal atteint une valeur trs leve. Finalement, si nous comparons la performance des trois architectures (cf. figure 4.3.d), nous constatons que le rcepteur FlexRAKE prsente la meilleure performance ; en revanche les rcepteurs
CodeRAKE et Time Multiplexed Parallel RAKE offrent la mme performance contre le bruit. En

conclusion, cette comparaison nous montre que les trois rcepteurs offrent une bonne rsistance aux erreurs produites par le systme de transmission.

4. Implantation de larchitecture CodeRAKE


Pour limplantation sur un FPGA de la gamme ALTERA Stratix II, nous avons utilis loutil Quartus II. Comme illustr dans la figure 2.4 page 53, l'architecture gnrale du rcepteur repose sur les trois lments suivants : le bloc radiofrquence, le bloc dextraction et didentification des trajets multiples, le bloc de combinaison des trajets multiples. Nous avons dvelopp et implant le bloc dextraction et didentification des trajets multiples qui contient lui-mme trois sous-blocs : le dtecteur de trajets multiples (le dtecteur CodePath) ; les gnrateurs de codes (le code dtalement OVSF et le code de brouillage de Gold) ; les doigts du rcepteur CodeRAKE (le buffer dchantillon et lunit de dstalement). Nous allons donc procder, dans un premier temps, la dfinition des paramtres de limplantation. Par la suite, nous passerons la modlisation, limplantation et la comparaison de diffrentes architectures du rcepteur RAKE dans plusieurs cas.

103

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

4.1. Paramtres de limplantation


Les paramtres de limplantation ont t choisis de sorte que ltape dextraction et didentification des trajets multiples puisse supporter la rception de quatre composantes de trajets multiples et de quatre codes parallles pour chaque utilisateur. En tenant compte de cet aspect, nous avons choisi des chantillons de 8 bits avec un facteur de sur-chantillonnage gal quatre. La profondeur du buffer circulaire doit tre choisie de faon trs attentive de manire correspondre la largeur totale de la fentre de poursuite. Cette fentre est utilise pour surveiller la variation du retard dans tous les trajets multiples. Dans notre architecture, cette largeur est gale 33 s ce qui correspond 128 chips. Par ailleurs, avec le facteur de surchantillonnage et des chantillons de 8 bits, nous avons besoin dune taille mmoire gale 1 K-octet (81024). Il faut noter que cette taille doit tre double, car le stockage est ncessaire pour les chantillons des deux branches I et Q. Afin de limiter la surface requise, une mmoire un seul port est utilise, car elle ncessite moins de matriel que les mmoires multiports.

4.2. Implantation de ltape dextraction et didentification des trajets multiples pour le rcepteur mobile (UE)
4.2.1. Architecture simple du rcepteur CodeRAKE
La figure 3.4 page 85 montre une architecture simple du rcepteur CodeRAKE. Dans le doigt de ce rcepteur, les donnes des trajets multiples sont stockes dans un buffer dchantillons. Nous avons donc besoin dun buffer dchantillons pour chaque trajet multiple et pour chaque branche I et Q. Consquemment, le nombre de buffers dchantillons NSB utilis dans le doigt de notre rcepteur est gal au nombre de trajets multiples NTrajet multipli par le nombre de branches NBronche. Cest--dire que NSB sera donn par lexpression suivante :

N SB = NTrajet N Branche = 4 2 N SB = 8

4.1

Concernant le fonctionnement de cette architecture, nous pouvons le dcrire de manire trs simple. Les chantillons de donnes des branches I et Q sont dabord stocks dans les buffers dchantillons. En mme temps, le dtecteur CodePath dtermine la valeur du retard du trajet multiple. Cette valeur du retard est envoye au registre doffset dadresse pour calculer ladresse de lecture. Ensuite, les chantillons de donnes correspondant une composante de trajets multiples sont lus en parallle dans les buffers ; en effet, chaque buffer dchantillon est accompagn dun buffer dont le nombre Nb est gal NSB. Ensuite, les chantillons sont lus en parallle depuis les buffers lentre du multiplexeur. En fait, le nombre de branches du multiplexeur (ou le nombre dentres) correspond au nombre de codes par utilisateur NCU.

104

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE Le rle de ce multiplexeur est de prendre un chantillon de chaque entre et de lenvoyer au corrlateur. De son ct, le corrlateur est le cur du rcepteur, car cest lui qui ralise le dstalement entre codes et chantillons I et Q reus (cf. chapitre 3, architectures du gnrateur de code et du corrlateur). En raison du paralllisme de donnes lentre du multiplexeur, le corrlateur doit traiter lensemble des quatre chantillons en un temps identique celui requis pour un seul chantillon dans larchitecture traditionnelle. De plus, les gnrateurs de code doivent produire simultanment quatre bits de code. Par consquent, la frquence de fonctionnement du corrlateur et du gnrateur de code doit tre suffisamment leve, car les corrlations doivent tre ralises, pour toutes les composantes de trajets multiples, avant larrive de nouveaux chantillons I et Q. Dans la dernire partie de larchitecture CodeRAKE, nous trouvons un dmultiplexeur pour chaque branche I/Q. Ce dmultiplexeur est ncessaire, car l'opration entre les diffrents codes et les chantillons I et Q dans le corrlateur est multiplexe dans le temps. Ainsi, un accumulateur pour chaque sortie du dmultiplexeur est indispensable et le nombre daccumulateurs ncessaires est gal au nombre de codes. Cet accumulateur intgre la sortie du dmultiplexeur pendant une dure gale la dure de chip (SF). Les rsultats de la corrlation de chaque code sont donc disponibles en sortie des accumulateurs diffrents cycles dhorloge. 4.2.1.1. Modle RTL pour larchitecture simple du rcepteur CodeRAKE

Suite l'tude fonctionnelle du rcepteur CodeRAKE, des modles architecturaux en VHDL au niveau RTL ont t dvelopps pour le dtecteur CodePath, le gnrateur de code (code de Gold, code OVSF) et les doigts du rcepteur CodeRAKE (tape dextraction et didentification des trajets multiples). Ces modles ont t synthtiss et implants sur des FPGA de la famille Stratix II, laide du logiciel ALTERA/Quartus II. Considrons larchitecture du rcepteur CodeRAKE de la figure 3.4. Il s'agit deffectuer une modlisation au niveau RTL dun systme un seul utilisateur avec quatre composantes de trajets multiples et quatre codes par utilisateur. Les rsultats concernant les surfaces, les frquences et les dbits sont prsents dans la figure 4.4. La surface est mesure par les nombres de A-LUT combinatoires et de registres logiques ddis. La frquence et le dbit sont calculs partir de la frquence dhorloge.

105

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

500

500

500 500

500

233

113 69 33 11 28 31 61

120 64 39

130 125 125 125

ALUTs

Registres

surface

frquence
Dtecteur CodePath Totale

Dbit

Doigts du rcepteur CodeRAKE Gnrateur de code de Gold

Figure 4.4 : Surfaces, frquences et dbits pour les trois parties de larchitecture du rcepteur CodeRAKE

En nous basant sur les rsultats de la figure 4.4, nous pouvons calculer la rpartition de la surface entre les diffrentes parties de l'architecture. Par contre, la figure 4.5 donne les pourcentages de chaque partie de larchitecture. Il est clair que les deux gnrateurs de code (code de Gold, code OVSF) occupent la surface la plus importante en totalisant 56 % de la surface totale. Ceci est le rsultat du grand nombre de registres utiliss par les gnrateurs, en particulier le gnrateur de code de Gold (cf. figure 3.10 page 94), ainsi que par limportante quantit doprations logiques employes dans le gnrateur de code OVSF (cf. figure 3.9 page 93). Dans cette partie, nous comparons la performance du rcepteur CodeRAKE celle dautres rcepteurs prsents dans la littrature. Cest pourquoi nous avons implant des modles RTL des diffrentes architectures de rcepteurs RAKE (FlexRAKE et Time Multiplexed Parallel RAKE). En fait, les architectures conventionnelles du dtecteur de trajets multiples, les gnrateurs de code et les doigts du rcepteur FlexRAKE et Time Multiplexed Parallel RAKE sont implants pour un seul utilisateur avec quatre composantes de trajets multiples et quatre codes par utilisateur (les mmes paramtres dimplantation que ceux utiliss pour limplantation du CodeRAKE).

106

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE


Surface

17% 37%

27% 19%

Gnrateur de code de Gold Dtecteur CodePath

Gnrateur de code dOVSF Doigts du rcepteur CodeRAKE

Figure 4.5 : Distribution de la surface dans le rcepteur CodeRAKE

La figure 4.6 illustre les rsultats (surfaces et dbits) de limplantation sur FPGA de chacun des blocs dcrits pour les trois rcepteurs. Si nous prenons la surface et le dbit comme critres de comparaison, nous obtenons les rsultats suivants : Les surfaces et les dbits binaires sont les mmes dans les trois gnrateurs de code, ce qui est normal, puisque nous utilisons le mme gnrateur de code dans les trois rcepteurs, la surface consomme par le dtecteur CodePath est approximativement moiti moindre de celle consomme par le dtecteur de trajets multiples conventionnel. En revanche, le dbit du dtecteur conventionnel est quatre fois plus lev que celui du dtecteur CodePath ; le dbit du dtecteur CodePath nest donc pas trs lev, mais il est compatible avec le dbit du
CodeRAKE ;

les doigts du rcepteur Time Multiplexed Parallel RAKE ont un meilleur dbit binaire. En effet, leur dbit binaire est approximativement dix fois plus lev que pour les doigts du rcepteur FlexRAKE et quatre fois plus lev que pour le rcepteur CodeRAKE. En contrepartie, les doigts du rcepteur CodeRAKE ncessitent la surface la plus petite, environ la moiti de celle requise pour le FlexRAKE et quatre fois moindre que pour le rcepteur Time Multiplexed Parallel RAKE. Les rsultats globaux du systme complet montrent que larchitecture du rcepteur CodeRAKE occupe une surface moindre que le rcepteur Time Multiplexed Parallel RAKE pour un dbit binaire identique.

107

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

les surfaces et les dbits dans les trois partis


125 125 46,7 233 surface totale Dtecteur des trajets multiple Doigts du rcepteur 125 Dbit 500 46,7 39 surface 148 67 125 Dbit 64 surface 124 124 125 125 125 130 130 130 0 50 100 150 200 250 300 350 400 450 500 500 500 402 321

Gnrateur de code

Rsultat

Dbit Moyen

Dbit

surface

Rcepteur CodeRAKE Rcepteur Time Multiplexed Parallel RAKE Rcepteur FlexRAKE

Figure 4.6 : Rsultats de limplantation des trois architectures sur FPGA

Si nous analysons bien les rsultats prcdents, nous constatons que la partie critique dans le rcepteur Time Multiplexed Parallel RAKE est le gnrateur de code ; il fait baisser la performance du systme, car son dbit binaire est plus faible que celui des autres blocs fonctionnels. Nous pouvons en conclure que larchitecture de notre rcepteur CodeRAKE constitue un bon compromis parmi les architectures RAKE, en offrant un bon niveau de dbit binaire et une faible consommation de surface. En rsum, pour valuer les performances des trois architectures, nous avons compar les rapports entre le dbit binaire et la surface consomme dans un environnement soft handover. partir de cette comparaison illustre dans la figure 4.7, nous aboutissons la mme conclusion. Cest--dire que le meilleur compromis en ce qui concerne un systme complet est obtenu avec l'architecture CodeRAKE.

108

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE


Soft-handover.

0,35 0,3 0,25 0,2 0,15 0,1 0,05 0 Dbit /surface


Rcepteur FlexRAKE Rcepteur Time Multiplexed Parallel RAKE Rcepteur CodeRAKE

0,32722

0,20458

0,08811

Figure 4.7 : Performances des trois architectures dans un environnement soft-handover

En dfinitive, nous pouvons dire, partir de ltude thorique et des rsultats exprimentaux, que larchitecture du rcepteur CodeRAKE prsente de bons niveaux de flexibilit, dextensibilit et offre un bon compromis entre dbits et surface pour le systme complet. Nanmoins, le dbit du CodeRAKE reste le point faible de larchitecture propose, aussi prsentons-nous dans les sections suivantes des variantes parallles de cette architecture afin den amliorer les performances. 4.2.2.

Architectures parallles du rcepteur CodeRAKE

Aprs limplantation initiale du rcepteur CodeRAKE, nous avons identifi les parties critiques de son architecture, dans le but damliorer le dbit de cette architecture. En fait, des dbits plus importants peuvent tre obtenus par lintermdiaire de techniques de paralllisation architecturale. En effet, larchitecture CodeRAKE propose permet denvisager de parallliser les registres utiliss pour stocker les diffrents trajets. Ce paralllisme permet essentiellement dviter une frquence d'horloge leve dans le corrlateur et le gnrateur de code. En effet, cette augmentation de la frquence d'horloge est le rsultat de lutilisation de plusieurs codes pour chaque utilisateur. Dans la pratique, la paralllisation est applique au buffer dchantillons. En fait, le paralllisme qui est mis en uvre pour le rcepteur CodeRAKE est diffrent de celui appliqu dans l'architecture du rcepteur RAKE traditionnel. Dans l'architecture traditionnelle, le paralllisme a pour but de traiter plusieurs codes du canal ou diffrents trajets multiples. Dans le cas du rcepteur CodeRAKE, la paralllisation est employe pour rsoudre les deux problmes en mme temps. Deux architectures parallles sont proposes pour les doigts du rcepteur de CodeRAKE, et reprsentes dans les figures 4.8 et 4.9. Un corrlateur ddi un gnrateur de code est utilis pour chaque code par utilisateur. Les modifications apportes larchitecture originale du rcepteur
CodeRAKE (cf. figure 3.4 page 85) sont dune part, l'absence de multiplexeurs, et dautre part la

109

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE prsence des buffers dchantillons et des corrlateurs supplmentaires. En fait, la fonctionnalit de lensemble du gnrateur de code, du corrlateur et des buffers dchantillons na pas t touche par ces changements [YOUSS07]. En fait, lavantage de cette approche parallle du rcepteur CodeRAKE peut-tre apprci si nous considrons une situation de rception typique. Chaque fois que les trajets multiples existent, ils sont exploits par la diversit de rception, c'est--dire que lexploitation des doigts du rcepteur RAKE est optimale. De plus, les canaux de codes parallles sont gnrs par une frquence dhorloge plus basse. En consquence, les architectures parallles du CodeRAKE constituent une meilleure solution, surtout si elles sont utilises dans la voie descendante. 4.2.2.1. Version A du CodeRAKE multichemin parallle

I Q

Figure 4.8 : Concept des doigts de la version A du CodeRAKE multichemins parallle pour 4 trajets multiples et 4 codes par utilisateur

110

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE La premire architecture parallle du rcepteur CodeRAKE est prsente dans la figure 4.8. Pour atteindre ce paralllisme, nous avons ajout quatre SBs chaque doigt, cest--dire quatre SBs pour chacun des trajets multiples. D'ailleurs, le nombre de SBs (NSB) est gal au nombre de trajets multiples (Ntrajet) multipli par le nombre de codes par utilisateur (NCU), par le nombre de branches (NBronche) et par le nombre de l'utilisateur (Nu). Autrement dit, le nombre de SBs est donn par lexpression suivante :

N SB = N trajet * N CU * N u N Bronche
utilisateur.

4.2

Dans la voie descendante, le nombre dutilisateurs Nu est gal 1, car nous navons quun seul

N SB = N trajet * N CU N Bronche

4.3

Le schma de la version A du CodeRAKE multichemins parallle apparat trs compliqu, mais sa fonctionnalit est aise comprendre. En nous rfrant la figure 4.8, la fonctionnalit de ce rcepteur peut tre dcrite comme suit : dabord, un dtecteur conventionnel est utilis pour dtecter les diffrents retards des trajets multiples, la frquence dhorloge de ce dtecteur tant plus leve que celle du dtecteur CodePath. Ces retards sont envoys au registre d'offset dadresse pour calculer ladresse de lecture. En mme temps les chantillons de donnes des branches I et Q sont stocks dans les buffers dchantillons. En effet, quatre copies des donnes de chaque trajet multiple sont stockes dans quatre buffers dchantillons diffrents. Dans la version A, le nombre de buffers dchantillons ncessaires pour stocker les composantes de trajets multiples est donn par lexpression [4.3]. Ces copies des donnes sont ensuite lues en parallle depuis les buffers. Une fois que les quatre copies des chantillons de donnes I et Q sont stockes, elles sont lues en parallle par les corrlateurs pour effectuer le dstalement. Enfin, un accumulateur est indispensable (le nombre daccumulateurs est gal au nombre de codes). Cet accumulateur intgre la sortie du corrlateur pour une dure gale la dure de chip (SF), donc les rsultats de la corrlation de chaque code sont disponibles en sortie daccumulateurs diffrents cycles dhorloge. Nous pouvons rsumer le rsultat du paralllisme comme suit : il y a un corrlateur pour chaque code dutilisateur, et le gnrateur de code doit produire quatre bits de code corrler avec les quatre copies des donnes de chaque trajet multiple. Le paralllisme nous aide ici traiter en mme temps les diffrentes copies des donnes des trajets multiples, ce qui augmente le dbit binaire de l'architecture [YOUS07]. 4.2.2.2.
Version B du CodeRAKE multichemins parallle

Dans la figure 4.9, nous prsentons une deuxime proposition darchitecture parallle pour le rcepteur CodeRAKE. Dans cette architecture, le nombre de SBs dans chaque doigt du rcepteur
CodeRAKE est augment pour obtenir le paralllisme.

111

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE De manire gnrale, la seule diffrence entre les deux architectures parallles est la mthode utilise pour corrler les chantillons de donnes : dans la version B, le corrlateur traite les donnes de chaque trajet multiple quatre fois au lieu de ne traiter les copies des donnes de quatre trajets quune seule fois comme dans la version A. Autrement dit, il ny a ici quun seul corrlateur pour les quatre codes au lieu dun corrlateur pour chaque code, et les gnrateurs de code produisent sparment quatre bits pour les quatre diffrents codes.

I Q

Figure 4.9 : Concept des doigts de la version B du CodeRAKE multichemins parallle pour 4 trajets multiples et 4 codes par utilisateur

112

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE La fonctionnalit de cette architecture est simple et peut tre explique comme suit : dans la version B, nous utilisons le dtecteur CodePath pour identifier les diffrents retards des trajets multiples, car la vitesse de traitement de ce dtecteur est suffisante pour cette architecture parallle. Ensuite, le retard dtect est envoy au registre d'offset dadresse pour calculer ladresse de lecture. En mme temps, les chantillons de donnes des branches I et Q sont stocks dans les buffers dchantillons. En effet, quatre copies des donnes de chaque trajet multiple sont stockes dans quatre buffers dchantillons diffrents. Ces copies des donnes sont ensuite lues en parallle depuis les buffers. Une fois les quatre copies dchantillons de donnes I et Q stockes, le dstalement entre les codes et les copies dchantillons de donnes sont effectus dans les corrlateurs. Finalement, les sorties des corrlateurs sont cumules laide dun accumulateur. Les rsultats de la corrlation sont disponibles pour chaque code des cycles d'horloges diffrents aux sorties des accumulateurs [YOUS07]. 4.2.2.3. Implantions et rsultats

Suite ltude prcdente, deux modles ont t dveloppes en VHDL au niveau RTL pour les deux architectures parallles. Ces modles ont t synthtiss et implants sur un FPGA de la famille Stratix II, laide du logiciel ALTERA/Quartus II. Les deux architectures parallles ont t modlises pour le cas dun seul utilisateur, quatre composantes de trajets multiples et quatre codes par utilisateur. Cest--dire, nous avons effectu limplantation des blocs suivants : pour la version A du CodeRAKE multichemins parallle : les blocs des doigts de la version A, les gnrateurs de code pour chaque doigt du rcepteur et le dtecteur conventionnel de trajets multiples ; pour la version B du CodeRAKE multichemins parallle : les blocs des doigts de la version B, les gnrateurs de code et le dtecteur CodePath. Les rsultats obtenus pour ces implantations sont prsents et compars dans la figure 4.10 en termes de surface, frquence et dbit pour chacune des parties. savoir que nous avons utilis la mme mthode pour mesurer la surface de chaque partie du rcepteur ainsi que pour calculer la frquence et le dbit. En prenant, la surface et le dbit comme critres de comparaison entre les deux architectures, nous pouvons remarquer que : les gnrateurs de la version A occupent une plus surface plus importante, mais offrent aussi le plus grand dbit (un gnrateur de code dans chaque doigt du rcepteur) ; le dtecteur de trajets multiples utilis dans la version B occupe approximativement la moiti de la surface du dtecteur des trajets multiples de la version A, mais son dbit est quatre fois moindre, ce qui est normal puisque nous utilisons le dtecteur conventionnel de trajet multiple pour A et le dtecteur CodePath pour B.

113

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE Les doigts de larchitecture A offrent le meilleur dbit binaire ; celui-ci est en effet quatre fois plus important que pour larchitecture B La surface consomme est en revanche approximativement deux fois plus grande. Les rsultats globaux (figure 4.10) pour le systme complet montrent que larchitecture A prsente un bon dbit avec une bonne surface de consommation

Surfaces et dbits des architectures papallles


125 500 348 748 125 500 154 surface 104 125 Dbit 64 124 125 Dbit 130 520 0 100 200 300 400 500 600 700 800 500 500

Rsultat

Dbit Moyen

surface totale

Dtecteur des trajets m ultiple

Doigts du rcepteur

Dbit

surface

Gnrateur de code

surface

Version A de parallle Multi-Path CodeRAKE

Version B de parallle Multi-Path CodeRAKE

Figure 4.10 : rsultats de limplantation des deux architectures parelles sur FPGA

Pour comparer les performances des toutes les architectures (FlexRAKE, Time Multiplexed Parallel RAKE, CodeRAKE, les versions A et B du CodeRAKE multichemins parallle, toutes utilises dans les stations mobiles UE , nous avons valu le rapport entre dbit binaire et surface pour les cinq architectures dans un environnement soft-handover.

114

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

Soft-handover
0,6 0,5 0,4 0,3 0,2 0,1 0 Dbit /surface Rcepteur FlexRAKE Rcepteur Time Multiplexed Parallel RAKE Rcepteur CodeRAKE Version A de parallle Multi-Path CodeRAKE Version B de parallle Multi-Path CodeRAKE
Figure 4.11 : Performance des cinq architectures dans un enivrement soft-handover

0,52246

0,32722 0,20458 0,08811 0,2515

La figure 4.11, montre clairement que la meilleure performance gnrale au niveau dun systme complet est obtenue par l'architecture A du premier rcepteur CodeRAKE multichemins parallle.

4.3. Implantation de ltape dextraction et didentification des trajets multiples pour le rcepteur de la station de base (BS)
Comme nous lavons montr au chapitre 2, deux problmes principaux sont prendre en compte concernant la rception dans la voie descendante : les trajets multiples et les codes multiples. Ces problmes sont plus compliqus grer dans la voie montante parce que le rcepteur doit prendre en compte le problme de utilisateurs multiples souhaitant communiquer en mme temps vers la station de base. Cela signifie que la station de base requiert un rcepteur RAKE capable de traiter simultanment tous les problmes du signal reu (les trajets multiples, les multiples codes et les utilisateurs multiples) voqus prcdemment. Larchitecture CodeRAKE que nous avons dveloppe et prsente ici est adapte un traitement multiutilisateur.

4.3.1. Fonctionnalit
proprement parler, le dveloppement de larchitecture du rcepteur CodeRAKE pour la station de base est trs simple, puisquil suffit de dupliquer larchitecture CodeRAKE principale. La figure 4.12 prsente notre architecture CodeRAKE multi-utilisateurs : les doigts, les gnrateurs de code et les dtecteurs CodePath sont dupliqus pour traiter un ensemble dutilisateurs connects en mme temps la station de base. En effet, la technique mise en uvre dans le CodeRAKE multi-utilisateurs consiste

115

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE utiliser une architecture parallle pour un traitement fortement rgulier. Chaque bloc est conu pour traiter un utilisateur, quatre codes et quatre trajets multiples.
SB I1
1 2

Buffer
1 2

SB I2
1 2

Buffer

D es- ta lem en t

1 2

A
2

I11 de C1
I12 de C1

M u ltip lex e u r T e m p o re lle

S W IT C H

SB I3 C o d eP a th L e D te cteu r d e trajets m u ltip les


1 2

Buffer
1 2

A
2

I21 de C2
I22 de C2

A
2

I31 de C3

I32 de C3

L e r eg istre d e l'o ffset a d re sses

SB I4
1 2

Buffer
1 2

A
2

I41 de C4
I42 de C4

SB Q1
1 2

Buffer
1 2

SB Q2
1 2

Buffer

D es-ta lem en t

1 2

G nnr ateuurr G n ra ate u r G enrrate r G e ccod ee d o d teu d d c G n ddee coordeeu ra teu d

G n ra teu rr dduu G n d raG o r G co ddeera teuold d u co nedeeeteuo ld G copd e dr e G ldld codoouud II G o pp o urr I po r I G n rauteu rr G n ra teu G nco teu ra dduu co ddee r d ddO uVcoF e OV SSFd d O V S F

C1 C2 C3 C4

A
2

Q11 de C1
Q12 de C1

M u ltip le x e u r T em p o relle

S W IT C H

SB Q3
1 2

Buffer
1 2

A
2

Q21 de C2
Q22 de C2

A
2

Q31 de C3

Q32 de C3

SB Q4
1 2

Buffer
1 2

A
2

I41 de C4
Q42 de C4

Figure 4.12 : Architecture CodeRAKE multi-utilisateurs

116

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

4.3.2. Analyse de l'architecture


Pour valuer les performances en termes de surface et de dbit binaire des diffrentes architectures du rcepteur RAKE dans un environnement multi-utilisateurs (station de base), nous avons dvelopp les modles suivants : rcepteur Time Multiplexed Parallel RAKE multi-utilisateur ; rcepteur FlexRAKE multi-utilisateur; rcepteur CodeRAKE multi-utilisateur. Les trois architectures multi-utilisateurs ont t dveloppes pour traiter quatre composantes de trajets multiples et quatre codes pour chaque utilisateur. Ces modles ont t modliss en VHDL au niveau RTL, puis synthtiss et implants sur un FPGA de la famille Stratix II, avec le logiciel ALTERA/Quartus II. Le but final de cette implantation est de synthtiser ces architectures pour diffrents nombres dutilisateurs, Les architectures doivent tre gnrales, cest--dire capables de traiter un nombre variable d'utilisateurs (N = 1, 2, 3, ).
3500 3000 2500 2000 1500 1000 500 0 1 2 3 4 5 6 7 8

Multi utilisateurTime Multiplixed parallel RAKE Multi utilisateur FlexRAKE Multi utilisateur CodeRAKE
Figure 4.13 : Distribution de la surface selon le nombre d'utilisateurs pour les trois rcepteurs

Les rsultats obtenus par simulation nous permettent dvaluer les performances des diffrentes architectures et danalyser limpact de laugmentation du nombre dutilisateurs sur la surface et le dbit binaire. La figure 4.13 permet de comparer lvolution des surfaces globales des trois architectures avec laugmentation du nombre dutilisateurs. On peut observer que le rcepteur CodeRAKE donne les meilleurs rsultats, car quil conserve lavantage davoir la plus petite surface dans tous les cas. Autrement dit, cest sur la version multi-utilisateur que CodeRAKE est le plus intressant.

117

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE La figure 4.14 compare la performance des trois architectures mesure comme un rapport entre dbit binaire et surface en faisant varier le nombre dutilisateurs : on constate que le CodeRAKE multiutilisateur donne les meilleurs rsultats, suivis par le Time Multiplexed Parallel RAKE multiutilisateur, alors que larchitecture FlexRAKE multi-utilisateur prsente des performances dcevantes compare aux autres architectures.
0,6

0,5

0,4

0,3

0,2

0,1

0 1 2 3 4 5 6 7 8

Multiuser Time Multiplixed parallel RAKE Multiuser FlexRAKE Multiuser CodeRAKE

Figure 4.14 : Performance des trois architectures

5. Conclusion
Dans la premire partie de ce chapitre, un systme de communication complet simul sous MATLAB/Simulink a t prsent. Lobjectif de cette simulation fonctionnelle tait dtudier les diffrentes structures du rcepteur, didentifier les fonctionnalits critiques, et de valider la fonctionnalit des diffrentes architecteurs du rcepteur RAKE. Suite l'tude fonctionnelle du rcepteur, le bloc dextraction et didentification des trajets multiples a t modlis en VHDL au niveau RTL. Ces modles ont t synthtiss et implants sur des FPGA de la famille Stratix II, laide du logiciel ALTERA/Quartus II. En effet, larchitecture du rcepteur CodeRAKE simple et les deux architectures parallles de CodeRAKE ont t implantes et testes pour le rcepteur mobile (UE) dans le cas de la rception de quatre composantes de trajets

118

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE multiples et de quatre codes parallles par chaque utilisateur. Ensuite larchitecture du rcepteur
CodeRAKE a t dveloppe pour la station de base sur la mme plate forme.

En dfinitive, nous pouvons dire, partir de ltude thorique et des rsultats exprimentaux, que larchitecture du rcepteur CodeRAKE prsente de bons niveaux de flexibilit, dextensibilit et offre un bon compromis entre dbit et surface pour le systme complet du rcepteur la station mobile ou station de base.

119

Chapitre 4 : Simulation et implantation de larchitecture du rcepteur CodeRAKE

120

Conclusion gnrale

Conclusion gnrale

Ce mmoire porte sur la conception de l'interface numrique s'occupant, lors de la rception au sein d'un systme de transmission de donnes, des problmes d'accs au canal dans les protocoles large bande de type W-CDMA (Wideband Code Division Multiple Access ou Multiplexage large bande par code). Les diffrents systmes daccs multiple prsentent de nombreux avantages pour les systmes de communication actuels et futurs, et tout particulirement, la mthode daccs multiple par talement de spectre. Une tude approfondie est effectue travers le chapitre 1 sur les mthodes daccs multiple au canal dans le domaine des tlcommunications. Il en ressort que la mthode CDMA permet, par ltalement de la puissance sur une large bande de frquence du canal, de mieux rsister aux vanouissements slectifs en frquence et de donner au signal transmettre la forme dun bruit le rendant difficilement dtectable par des rcepteurs auxquels le message nest pas destin. Un autre avantage est celui de la rsistance au bruit que confre ltalement. Le chapitre 2 traite de la structure et des fonctionnalits du rcepteur, cest dire des diffrentes parties analogiques et numriques intervenant dans la rception. Parce que dans le rcepteur les exigences de performance sont rparties entre la partie radiofrquence et la partie numrique en bande de base, la partie radiofrquence est charge de dtecter la bande de frquence souhaite, et de dtecter le signal dsir seulement, et ce avec un rapport signal sur bruit maximal. La partie bande de base rcupre ensuite toutes les donnes transmises et applique au signal tous les traitements ncessaires pour extraire le signal transmis. En pratique, une grande partie de la modularit et des exigences concernent en particulier la partie bande de base. En fait, le cur de la problmatique le rcepteur RAKE se situe dans la partie numrique en bande de base. Ce rcepteur est responsable de la dmodulation du signal et de l'exploitation de la diversit du signal en identifiant et combinant les composantes de trajets multiples d'un mme signal. En effet, cette dernire fonction est particulirement importante dune part par son rle pour contrer les effets des vanouissements causs par les trajets multiples, et dautre part, du fait du rle central du rcepteur RAKE. La conception et l'implantation de celui-ci revtent un caractre primordial. En gnral, larchitecture conventionnelle du rcepteur RAKE fait intervenir une structure base sur des doigts parallles. Le concept de ce type darchitecture peut tre problmatique si nous prenons en compte toutes les contraintes du systme W-CDMA. Les travaux prsents dans ce mmoire ont deux objectifs principaux. Le premier est dvaluer la performance des rcepteurs RAKE dans les diffrents environnements (station mobile, station de base), et le deuxime est de dvelopper un rcepteur qui satisfasse aux trois conditions suivantes :

121

Conclusion gnrale traitement rapide des donnes (haut dbit) ; architecture extensible et configurable avec les diffrents environnements ; utilisation de technologies faible cot. Dans ce mmoire, nous avons propos une nouvelle architecture pour le rcepteur RAKE, baptise
CodeRAKE. Les caractristiques architecturales principales recherches sont une grande flexibilit et

une extensibilit aise, tout en prservant la fonctionnalit et un bon quilibre entre ressources utilises (et donc surface consomme) et performances (vitesse de fonctionnement). Pour satisfaire notre contrainte de flexibilit et d'extensibilit, l'architecture CodeRAKE est partitionne (pour tre modulaire) en fonction du nombre d'utilisateurs et du nombre de codes par utilisateur. Cette partition est faite en respectant les autres contraintes du systme comme la limitation des ressources utilises et la performance. En effet, avec cette approche de partition, nous arrivons rduire au maximum les ressources matrielles ncessaires son implantation, ce qui amliore l'efficacit du systme. Cest pourquoi ce rcepteur offre un bon compromis par rapport aux autres architectures du point de vue du dbit et de la surface. La modularit leve de CodeRAKE permet l'application aise de techniques de paralllisation permettant d'augmenter facilement les performances pour satisfaire notamment les besoins du ct de la station de base et de station mobiles. En fait, des dbits plus importants ont t recherchs par lintermdiaire de techniques de paralllisation architecturale. En fait, larchitecture de CodeRAKE propose met en uvre un paralllisme des registres utiliss pour stocker les diffrents trajets multiples. Ce paralllisme nous permet daugmenter le dbit, en accroissant la surface, avec un rapport dbit/surface qui reste lgrement suprieur aux autres architectures. La suite du travail a port sur la gnralisation de la paralllisation lintgralit du rcepteur RAKE, en abordant dautres fonctions critiques telles que le dtecteur de trajets multiples. Dans cette optique, le dtecteur CodePath a t prsent dans notre travail comme une version parallle de larchitecture conventionnelle du dtecteur de trajets. Les diffrentes architectures du rcepteur CodeRAKE ont t implantes en utilisant une description VHDL au niveau RTL. Limplantation a t divise en trois blocs : les doigts du rcepteur
CodeRAKE, le dtecteur CodePath et le gnrateur de code. Il s'agit deffectuer une modlisation au

niveau RTL dun systme avec un seul utilisateur, quatre composantes de trajets multiples et quatre codes par utilisateur. partir du travail prsent dans cette thse, on a pu constater que par l'volution de limplantation, de la flexibilit globale et de la modularit du rcepteur, nous pouvons augmenter ses performances sans aucun changement dans sa fonctionnalit mathmatique. Ce type d'optimisation est invitable pour les futurs systmes de communication : le volume de donnes qui vont trafiquer sur l'interface ne va probablement pas diminuer, et les ressources en frquences disponibles ne seront

122

Conclusion gnrale certainement pas augmentes. Bien entendu, cela implique des exigences encore plus leves pour l'efficacit spectrale. Comme nous nous dirigeons vers la quatrime gnration des systmes de communications mobiles, des techniques telles que les Software-Defined Radio (SDR) se dveloppent. Cela signifie qu l'avenir, le matriel du rcepteur devra s'adapter aux diffrentes interfaces daccs ; cette adaptation sera contrle par un logiciel. L'ide derrire cette approche est que les diffrents systmes de communication sans fil pourraient former un grand systme hirarchis. Cest--dire que nous oprons le transfert du systme vers un intrasystme : les utilisateurs peuvent changer n'importe quel moment dans un sous-systme qui fournit les dbits de donnes ncessaires. Cela conduit une situation o le rcepteur du terminal mobile doit tre en mesure de s'adapter toutes les interfaces daccs GSM, GPRS, CDMA2000 et W-CDMA. Bien que l'objectif de ce travail n'ait pas t de fournir une solution compatible avec les SDR, mais de dvelopper une architecture capable dobtenir un bon rsultat en termes de compromis dbit/surface, et de concevoir une architecture matrielle performante pour les applications sans fil, tant pour la station de base que pour la station mobile. Pour cela, l'approche architecturale mise en uvre est souple et peut tre facilement adapte d'autres protocoles existants ou futurs. Elle peut rpondre ainsi aux dfis des annes venir o les rcepteurs devront tre capables de supporter de multiples protocoles et interfaces d'accs, notamment sous le contrle de couches logicielles.

123

Conclusion gnrale

124

Table des figures

Figure 1.1: Modle rfrence OSI ......................................................................................................... 10 Figure 1.2: Modle rfrence TCP/IP ................................................................................................... 12 Figure 1.3: Modle de rfrence UIT-T ................................................................................................ 13 Figure 1.4 : Les diffrences entre les modle OSI et le modle IEEE .................................................. 14 Figure 1.5 : La couche physique et la couche liaison dun systme de transmission................ 15 Figure 1.6 : Principe conceptuel de ltalement de spectre ................................................................... 20 Figure 1.7: chane simplifie dmission et de rception dun systme DS-CDMA............................. 22 Figure 1.8 : Exemple dtalement de spectre ........................................................................................ 24 Figure 1.9: La famille des talements de spectre .................................................................................. 29 Figure 1.10 : Fonctions effectues par la couche physique dans la chane de transmission ........... 38 Figure 1.11 : Structure en arbre pour la gnration des codes orthogonaux de canalisation................. 41 Figure 1.12 : Schma gnrique dun registre dcalage ..................................................................... 43 Figure 1.13: exemple de gnration de codes Gold .............................................................................. 44 Figure 1.14 : Processus dembrouillage complexe appliqu en mission ............................................. 45 Figure 1.15 : Exemple dune chane dmission utilisant la modulation radio QPSK .......................... 45 Figure.2.1 : Schma de principe dun rcepteur radiofrquence ........................................................... 50 Figure.2.2: Architecture dun rcepteur htrodyne.............................................................................. 51 Figure.2.3 : Architecture dun rcepteur homodyne ............................................................................. 52 Figure.2.4 : Les blocs fonctionnels de larchitecture du rcepteur RAKE............................................ 54 Figure.2.5 : Architecture conventionnelle du dtecteur de trajets multiples ......................................... 57 Figure 2.6 : Les blocs fonctionnels de larchitecture du rcepteur RAKE............................................ 63 Figure 2.7 : Les blocs fonctionnels de larchitecture du rcepteur RAKE............................................ 64 Figure 2. 8 : Conception du rcepteur RAKE ....................................................................................... 66 Figure 2. 9 : Le concept original du rcepteur RAKE........................................................................... 67 Figure 2.10 : Architecture conventionnelle du rcepteur RAKE .......................................................... 69 Figure.2.11 : Rcepteur FlexRAKE...................................................................................................... 70 Figure.2. 12 : Rcepteur Time Multiplexed Parallel RAKE .................................................................. 71 Figure 3.1 : Dplacement de la composante de trajets multiples et du point de synchronisation ......... 81 Figure 3.2 : Architecture du rcepteur CodeRAKE ............................................................................. 82 Figure 3.3 : Architecture du CodePath dtecteur ................................................................................ 83 Figure 3.4 : Concept des doigts du rcepteur CodeRAKE................................................................... 85 Figure 3.5 : Fonctionnement du buffer de flux SB ................................................................................ 86 Figure 3.6 : Mthode dadressage dans le buffer circulaire .................................................................. 87 Figure 3.7: Gnrateur dadresse du buffer dchantillons (SB) ........................................................... 89 Figure 3.8 : Conception matrielle de l'unit de dstalement .............................................................. 91 Figure 3.9 : Gnrateur de code OVSF ................................................................................................. 93 Figure 3.10 : Gnrateur de code de Gold............................................................................................. 94 Figure 4.1 : Flot de conception.............................................................................................................. 98 Figure 4.2 : Chane de transmission sous MATLAB ............................................................................ 99 Figure 4.3: taux d'erreur binaire en fonction de rapport Eb/N0 de la chane de transmission pour un utilisateur, 4 code par utilisateur, 4 trajets multiples........................................................................... 102 Figure 4.4 : Surfaces, frquences et dbits pour les trois parties de larchitecture du rcepteur CodeRAKE ........................................................................................................................................ 106 Figure 4.5 : Distribution de la surface dans le rcepteur CodeRAKE................................................ 107 Figure 4.6 : Rsultats de limplantation des trois architectures sur FPGA.......................................... 108 Figure 4.7 : Performances des trois architectures dans un environnement soft-handover .................. 109

125

Figure 4.8 : Concept des doigts de la version A du CodeRAKE multichemins parallle pour 4 trajets multiples et 4 codes par utilisateur ...................................................................................................... 110 Figure 4.9 : Concept des doigts de la version B du CodeRAKE multichemins parallle pour 4 trajets multiples et 4 codes par utilisateur ...................................................................................................... 112 Figure 4.10 : rsultats de limplantation des deux architectures parelles sur FPGA........................... 114 Figure 4.11 : Performance des cinq architectures dans un enivrement soft-handover ........................ 115 Figure 4.12 : Architecture CodeRAKE multi-utilisateurs.................................................................. 116 Figure 4.13 : Distribution de la surface selon le nombre d'utilisateurs pour les trois rcepteurs ........ 117 Figure 4.14 : Performance des trois architectures ............................................................................... 118

126

Liste des Rfrence

[ADCH97] F. Adchi, M. Sawahashi, K. Okawa, Tree-Structured generation of orthogonal spreading codes with different lengths for forward link of DS-CDMA mobile radio , Electronics Letters, vol. 33, p. 2728, janv. 1997. [AMIN04] Amine Msir, Fabrice Monteiro, Abbas Dandache et Bernard Lepley, Design of a high speed parallel encoder for convolutional codes , Microelectronics Journal, Elsevier Science, vol. 35, p. 151166, 2004. [ANDR03] A. Andreadis G. Giambene, Protocols for High-Efficiency Wireless Networks , Kluwer Academic Publishers, 2003. [BADR02] J. Badrikian, Mathmatiques pour tlinformation : codes correcteurs : principes et exemples , Ellipses, Paris, 2002. [BAIE94] A. Baier, U.-C. Fiebig, W. Granzow, W. Koch, P. Teder, and J. Thielecke, Design Study for a CDMA-Based Third Generation Mobile Radio System , IEEE Journal Select. Areas Commun., vol. 12, p. 733 743, mai 1994.. [BATT87] G. Battail., Pondration des symboles dcods par lalgorithme de Viterbi , Ann. Tlcommun. Fr., 42, n 12, p. 3138, 1987. [BANA01] S. Bana, P. Varaiya, Space Division Multiple Access (SDMA) for Robust Ad Hoc Vehicle Communication Networks , Proc. IEEE ITS Conference, p. 962967, 2001. [BEJJ99] E. Bejjani, J-F. Bouquier, and B. de Cacqueray, Adaptive Channel Delays Selection for W-CDMA Mobile System , IEEE Vehicular Technology Conference, Amsterdam, Netherlands, vol. 1, p. 203207, sept. 1999. [BENE96] S. Benedetto, A soft-input soft-output maximum A Posteriori (MAP) module to decode parallel and serial concatenated codes , TDA progress report, p. 42127, 1996. [BIAN03] A. Bianco, A. Dassatti, M. Martina, A. Molino, and F. Vacca. A Reconfigurable, Power Scalable Rake Receiver IP for WCDMA, Proceedings of the ASP-DAC 2003, pages 499502, Jan 21-24 2003. [BOSS95] M. Bossert, T. Frey, Interference Cancellation in the Synchronous Downlink of CDMA-Systems , ITGFACHTAGUNG: Mobile Kommunikation, sept. 1995. [BOUJ00] H. Boujemaa and M. Siala, On the Rake Receiver Performance , IEEE VTC, vol. 3, sept. 2000. [BOUJ02] H. Boujemaa M. Siala and R. Visoz, Multipath Diversity and Power Control , IEEE VTC Spring, vol. 2, p. 876879, mai 2002.

127

[BYEO00] Byeong Hoon Park, Ki Jun Kim, Soon-Yil Kwon, Keum Chan Whang, Multistage decisiondirected channel estimation scheme for DS-CDMA system with M-ary orthogonal signalling , IEEE Transactions on Vehicular Technology, vol. 49, p. 4349, janv. 2000. [CHIE01] les mme rfrence et en plus le C. Chien, Digital Radio System on a Chip , Kluwer, Norwell, MA, U.S.A, 2001. [CHUG05] M. Chugh, D. Bhatia and T. Balsara, Design and Implementation of ConfigurableW-CDMA RAKE Receiver architectures on FPGA , Proc. of 19th IEEE Int. Parallel and Distributed Proc. Symposium, p. 145b, avr. 2005. [DAHL98] E. Dahlman, B. Gudmundson, M. Nilsson, and J. Skld, UMTS/IMT-2000 Based on Wideband CDMA , IEEE Communication Magazine, vol. 36, n 9, p. 7080, sept. 1998. [DINA98] E. H. Dinan, B. Jabbari, Spreading Codes for Direct Sequence CDMA and Wideband CDMA Celular Networks , IEEE Comm. Magazine, sept. 1998. [DO96] G. L. Do and K. Feher, Efficient filter design for IS-CDMA System , IEEE Transactions on Consumer Electronics, vol. 42, n 4, p. 10111019, aot 1996. [ERIC00] Yi-Pin Eric Wang and Tony Ottosson, Cell Search in W-CDMA , IEEE J. Selected Areas in Communication, vol. 18, n 8, p. 14701482, aot 2000. [FABI00] Fabio Braz, Convertisseur analogiquenumrique de type SigmaDelta pour applications en radiocommunications , thse de doctorat de 3e cycle, 2000. [FAZE93] K. Fazel, L. Papke, On the Performance of Convolutionally Coded CDMA/OFDM for Mobile Communication Systems Proc. of IEEE PIMRC93, p. 468472, Yokohama, Japon, sept. 1993. [FRAI99] Ph. Fraisse, R. Protire, D. Mary-Dessus, Tlcommunication 1 : Transmission de linformation , Ellipses, Paris, 1999. [FREE97] R. L. Freeman, Radio Sytem Design for Tlcommunications , Second Edition, John Wiley & Sons Inc, West Sussex, England, 1997. [GARG96] V. K. Garg, K. Smolik, and J. E. Wilkes, Applications of Code-Division Multiple Access (CDMA) in Wireless/Personal Communications , Upper Saddle River, N.J., Prentice Hall, 1996. [GOLD68] R. Gold, Maximal recursive sequences with 3-valued recursive cross-correlation function , IEEE trans. Info. Theory, vol. 4, p. 154156, janv. 1968. [GOLO92] S. W. Golomb, Shift Register Sequences , Aegean Park Press, 1992. [GROE00] J. B. Groe and L. E. Larson, CDMA Mobile Radio Design , Artech House, Norwood, MA, U.S.A, 2000. [HANZ02] L. Hanzo, T. H. Liew, and B. L. Yeap, Turbo Coding Equalisation and Space-Time Coding for Transmission over Fading Channels , IEEE Press and John Wiley & Sons, Ltd., 2002.

128

[HARJ01] L. Harju, M. Kuulusa, and J. Nurmi, A Flexible RAKE Receiver Architecture for W-CDMA Mobile Terminals , Proc. IEEE Third Workshop on Signal Processing Advances in Wireless Communications (SPAWC 01), p. 912, 2001]. [HARJ05] L. Harju, M. Kuulusa, and J. Nurmi, Flexible Implementation of a W-CDMA RAKE Receiver , Journal of VLSI Signal Processing, vol. 39, p. 147160, 2005. [HOCE00] D. E. Hocevar and A. Gatherer, Achieving Flexibility in a Viterbi Decoder DSP Coprocessor , IEEE Vehicular Technology Conference, Boston, MA, U.S.A, vol. 5, p. 22572264, sept. 2000. [HOLM04] H.Holma and A. Toskala, W-CDMA for UMTS , 3rd Edition, John Wiley & Sons, West Sussex, England, 2004. [HOLT92] J. M. Holtzman, A simple, accurate method to calculate spread spectrum multiple-access error probabilities , IEEE Transactions on Communications, vol. 40, n 3, p. 461464, mars 1992] [HUAN99] A. Huang, M Hall, and I. Hartimo, Multipath Channel Estimation for W-CDMA Uplink , in Proc. IEEE Vehicular Technology Conference, vol. 1, p. 141145, Amsterdam, Netherlands, sept. 1999. [JALL05] L. M. A. Jalloul, R. M. Misra, Data-aided channel estimation for wideband CDMA , IEEE Transactions on Wireless Communications, vol. 4, p. 16221634, juil. 2005. [JUAN89] Juan J. Olmos Effets de la dynamique des vanouissements slectifs dans un galiseur et une boucle de rcupration de porteuse coupls Annales des Tlcommunications 44:381-391, July 01, 1989. [KIM05][Kim-Chyan Gan, Path Searcher for a W-CDMA Rake Receiver , A.N. 2252, rev. 3, Freescale Semiconductor, mars 2005. [KLEI96] A. Klein, G. K. Kaleh, and P. W. Baier, Zero Forcing and Minimum Mean-Square-Error Equalization for Multi-User Detection in Code-Division Multiple-Access Channels IEEE Trans. on Vehicular Technology, vol. 45, no. 2, p. 27687, mai 1996. [KLIE97] A. Klien, Data detection algorithms specially designed for the downlink of CDMA mobile radio systems , Proceeding of IEEE Vehicular Technology Conference, Phoenix, AZ, p. 203207, 1997. [KOFM99] D. Kofmam and M. Gagnaire, Rseaux haut dbit : Tome I Rseaux ATM et rseaux locaux , 2e dition, Dunod, Paris, 1999. [KUMA96] P. V. Kumar, T. Helleseth, A. R. Calderbank, A. R. Hammons, Large Families of quaternary sequences with low correlation , IEEE Trans. on info. Theory, vol. 42, mars 1996. [KUMA02] R. V. R. Kumar and A. Kumar, Development of a CDMA System with RAKE Receiver for Third Generation Wireless Communication System , Proc. IEEE Int. Conf. on Personal Wireless Communications, p. 309313, dc. 2002]. [LACA03] D.L. Iacano, et al., Code Generation for Wideband CDMA , ST Journal of System Research, ST Microelectronics, vol. 1, p. 7080, 2003. [LAGR00] X. Lagrange, P. Godlewski, S. Tabbane, Rseaux GSM-DCS Des principes la norme , Herms, 2000.

129

[LAGR00] X. Lagrange, P. Godlewski, S. Tabbane, Rseaux GSM , ditions Herms, 2000. [LEE02] H. J. Lee and D. S. Ha, A new Low-power and Area Efficient RAKE Receiver Design without Incurring Performance Degradation, Proc. 15th Annual IEEE Inte. ASIC SOC Conference, pp. 251255, September 2002. [LEE98] J. S. Lee and L. E. Miller, CDMA Systems Engineering Handbook , Artech House, Norwood, MA, U.S.A, 1998. [LEE98] E.A. Lee and D.G. Messerschmitt, Digital Communication , Kluwer, Norwell, MA, U.S.A, 1998. [LAUR92] Laurence B. Milstein, Donald L Schilling, Raymond L. Pickholtz, Vinko Erceg, Marvin Kullback, Emmanuel G. Kanterakis, Douglas S. Fishman, William H. Biederman, Donald C. Salerno, On the Feasibility of a CDMA Overlay for Personal Communications Networks , vol. 10, p. 655668, mai 1992. [MAHA03] Mahmoud, S. Seedahmed and Hussain, M. Zahir and OShea, J. Peter, BER Performance of DSCDMA System over a Frequency Selective Multipath Rayleigh Fading Channel , in Proceedings Australian Telecommunications, Networks and Applications Conference, Southbank, Melbourne, 2003]. [MAYE01] H. Mayer, M. Moeneclaey, S. Fechtel, Digital Communication Receivers Synchronization, Channel Estimation and Signal Processing , John Wiley & Sons, Inc., 1998] et [ Spreading and Modulation (FDD) , 3GPP Technical Specification 25.213, Release 4, juin 2001] [MIKK99] J.H. Mikkelesen et al., Study of DC Offset Filtering for UTRA-FDD/W-CDMA Direct-Conversion Receiver , in Proceeding 17th NORCHIP Conference, 1999. [MOSH96] S. Moshavi, Multi-user detection for DS-CDMA communication , IEEE Communication Magazine, vol. 34, p. 124136, oct. 1996. [MOSH96] S. Moshavi, Survey of Multi-User Detection for DS-CDMA Systems , Bellcore pub., IM-555, aot 1996. [NAJA04] S.Najah & all 2004, Conception VHDL et Implmentation de lAlgorithme SOVA sur un circuit VLSI du type FPGA , in Proceeding. Optique et Traitement de lInformation, Optique 2004, Fs, p.134138. [NAZA98] Nazari, Nersi, Ziemer, and E. Rodger, Computationally efficient bounds for the performance of direct-sequence spread-spectrum multiple access communications system in jamming environment , IEEE Transactions on Communications, vol. 36, n 5, p. 577587, mai 1998] [OJAN98] T. Ojanper and R. Prasad, An overview of air interface multiple access for IMT-2000/UMTS , IEEE Communications Magazine, vol. 36, pp. 8295, September 1998. [OJAN98] T. Ojanpera and R. Prasad, Widebande CDMA for Third Generation Mobile Communication , Artech Housz, Norwood, MA, U.S.A, 1998. [OJAN98] T. Ojanper and R. Prasad, Wideband CDMA for Third Generation Mobile Communications , Artech House, Norwood, MA, USA, 1998. [PICK91] R. L. Pickholtz, L. B. Milstein, and D. L. Schilling, Spread Spectrum for Mobile Communications , IEEE Trans. Vehic. Tech., vol. 40, n 2, p. 31322, mai 1991.

130

[PUJO03] G. Pujolles, Les rseaux , 3e dition, Eyrolles, Paris, 2003. [RAJE03] A. Rajeswaran, V. Srinivasa Somayazulu et J. R. Foerster, Rake performance for a pulse based UWB system in a realistic UWB indoor channel , in Proc. of the IEEE International Conference on Communications, p. 28792883, Anchorage, USA, mai 2003. [RAPP02] T. S. Rappaport, Wireless Communications Principles and Practice , Pearson Education Inc., Second edition, 2002. [RAPP96] T. S. Rappaport, Wireless Communications : Principles and Practice , Prentice Hall, Upper Saddle River, NJ, U.S.A, 1996. [PAPP96] T. S. Rappaport, Wireless Communications: Principles and Practice , Prentice Hall, Upper Saddle River, NJ, USA, 1996. [RAPP02] T. S. Rappaport, Wireless Communications : Principles and Practice, Prentice Hall Professional Technical Reference, New Jersey, deuxime dition, 2002.. [PRS99] A. Prssioen, J. Jussila, J. Ryynnen, L. Sumanen, and K. I. Halonen, A 2-GHz Wide-Bande Direct Conversion Receiver for W-CDMA Application , IEEE Journal of Solid State Circuits, vol. 34, n 12, p. 18931903, dc. 1999. [PROA03] G. Proakis, Les rseaux , 3e dition, Eyrolles, Paris, 2003. [SAAD03] A. Saadani,S. Wendt, D. Duponteil, Channel estimation effects on the RAKE receiver performance , 4th IEEE Workshop on Volume, Signal Processing Advances in Wireless Communications, p. 344347, 1518 juin 2003. [SAMP92] S. Sampei, K. Feher, Adaptative DC-offset Compensation Algorithm for Burst Mode Operated Direct Conversion Receiver , in Proceedings VTC Spring 1992 the IEEE Semiannual Vechicular Technology Conference, mai 1992. [SANC04] J. Sanchez, M. Thioune, UMTS : Services, architecture et W-CDMA , 2e dition, ditions Herms, 2004. [SARW80] D.V. Sarwate, M.B. Pursley, Crosscorrelation Properties of Pseudo-Random and Related Sequences , Proc. IEEE, vol. 68, n 5, p. 593619, mai 1980. [SAUN99] S. R. Saunders, Antennas and Propagation for Wireless Communications Systems, Wiley, New York, premire dition, 1999.. [SEVE00] Jan Sevenhans and Zhong-Yuan Chang, A/D and D/A Converters for Telecommunications , Circuit and Devices, janv. 1998. [SIPI99] K. Sipila, J. Laiho-Steffens, A. Wacker, M. Jasberg, Modeling the impact of the fast power control on the W-CDMA uplink , 49th IEEE Vehicular Technology Conference, vol. 2, p. 12661270, juil. 1999. [SPREA01] Spreading and Modulation (FDD) , 3GPP Technical Specification 25.213, Release 4, juin 2001]

131

[SPRI02] A. Springer, L. Maurer, and R. Weigel, RF System Concepts for Highly Interrated RFICs for WCDMA Mobile Radio Terminals , IEEE Transactions on Microwave Theoty and Techniques, vol. 50, n 1, p. 254267, janv. 2002. [STEV97] Steven R. Norsworthy, Richard Schreier, and Gabor C. Temes, Delta-Sigma Data Converters Theory, Design and Simulation . IEEE Press,1997. [TANE03] A. Tanenbaun, Rseaux , 4e dition, Eyrolles, Paris, 2003. [TABB97] TABBANE S., Rseaux mobiles, Hermes, 1997. [TANA97] Tanaka S., Sawahashi M., Adachi F., Pilot symbole-assisted decision-directed coherent adaptive array diversity for DS-CDMA mobile radio reverse link , IEICE Trans. Fundamentals, E80-A, 12, 2445-1454, 1997. [VANG04] V. Vanghi et al., The CDMA 2000 system for mobile communication , Communications Engineering and Emerging Technologies Series, Prentice Hall, 2004. [VERD86] S. Verdu, Minimum Probability of Error for Asynchronous Gaussian Multiple-Access Channels , IEEE Trans. lnfo. Theory, vol. 32, p. 8596, janv. 1986. [VERD92] S. Verd, Multi-User Detection , Advances in Statistical Signal Processing, vol. 2, JAI Press, p. 369409, 1993. [VISH00] R. Vishwakarma, K. S. Shanmugan, Modeling the Impact of the Fast Power Control On the WCDMA Uplink , IEEE International Conference on Personal Wireless Communications, p. 14, sept. 2000. [VITE04]A.J. Viterbi, CDMA, Principles of spread spectrum comunication , Addison Weslay Lorgman Inc., Massachussetts, 1997. [WALS23] J. L. Walsh, A closed set of normal orthogonal functions , American J. Mathematics, vol. 45, p. 524, 1923. [WERN] [S. Werner and J. Lilleberg, Downlink Channel Decorrelation in CDMA Systems with Long Codes , VTC, Texas, USA, p. 16141617, mai 1999. [WIN98] M. Z. Win et R. A. Scholtz, On the energy capture of ultrawide bandwidth signals in dense multipath environments , IEEE Communications Letters, vol. 2, p. 245247, sept. 1998. [YEE93] N. Yee, J.-P. Linnatz, G. Fettweis, Multi-carrier CDMA in indoor wireless radio networks , Proc. of IEEE PIMRC93, p. 109113, Yokohama, Japon, sept. 1993. [YOUS08] M.YOUSSEF, F.MONTEIRO, A.DANDACHE, C.DIOU An Effective and Scalable Multiuser Architecture for the Base Station Receiver, the 2nd International Conference on Signal Processing and Communication Systems, ICSPCS2008, from 15-17 December 2008, Gold Coast, Australia. [YOUS07] M. Youssef, F. Monteiro, A. Dandache, C. Diou, A Cost-Effective Parallel Architecture for the CodeRAKE Receiver , Proc. 14th IEEE International Conference on Electronics, Circuits, and Systems, p. 447450, dc. 2007.

132

[YOUS06] M. Youssef, C. Diou, F. Monteiro, A. Dandache, CodeRAKE: a new small-area scalable architecture for the multi-user/multi-code RAKE receiver , Proc. 13th IEEE International Conference on Electronics, Circuits, and Systems, p. 148151, dc. 2006. [ZARI08] K. Zarifi, A. B. Gershman, Blind channel estimation in DS-CDMA systems with unknown widesense stationary noise using generalized correlation decomposition , ICASSP 2008, IEEE International Conference on Acoustics, Speech and Signal Processing, p. 28092812, 31 mars 4 avr. 2008. [ZHUA99] A. Zhuang, Channel Estimation in Wideband DS-CDMA , Master of Science Thesis, Tampere University of Technology, Tampere, Finland, mai 1999.

133

134

Liste des publications

[1] YOUSSEF, MONTEIRO, DANDACHE, C. DIOU An Effective and Scalable Multiuser Architecture for the Base Station Receiver, the 2nd International Conference on Signal Processing and Communication Systems, ICSPCS2008, from 15-17 December 2008, Gold Caost, Australia. [2] M. YOUSSEF, F. MONTEIRO, A. DANDACHE, C. DIOU A Cost-Effective Parallel Architecture for the CodeRAKE Receiver Proc. 14th IEEE International Conference on Electronics, Circuits, and Systems, pp 447-450, Dec 2007. [3] M. YOUSSEF, F. MONTEIRO, A. DANDACHE, C. DIOU, CodeRAKE: a scalable and costeffective RAKE architecture for multi-user reception , GDR System on Chip - System in Package, 13-15 juin 2007, Paris, France. [4] M. YOUSSEF, C. DIOU, F. MONTEIRO, A. DANDACHE CodeRAKE: a new small-area scalable architecture for the multi-user/multi-code RAKE receiver Proc. 13th IEEE International Conference on Electronics, Circuits, and Systems, pp 148-151, Dec 2006.

135

136

Rsum anglais/franais

Ce mmoire porte sur la conception de l'interface numrique s'occupant, lors de la rception au sein d'un systme de transmission de donnes, des problmes d'accs au canal dans les protocoles large bande de type W-CDMA (Wideband Code Division Multiple Access / Multiplexage large bande par code). Le cur de la problmatique se situe dans la partie numrique en bande de base, le rcepteur RAKE. Ce rcepteur est responsable de la dmodulation du signal et de l'exploitation de la diversit du signal en identifiant et combinant les composantes de trajets multiples d'un mme signal. En effet, cette dernire fonction est particulirement importante dune part de son rle pour contrer les effets d'vanouissement causs par les trajets multiples, et dautre part du rle central du rcepteur RAKE. La conception et l'implantation de celui-ci revtent un caractre primordial. Dans ce mmoire, nous proposons une nouvelle architecture pour le rcepteur RAKE: CodeRAKE. Les caractristiques architecturales principales recherches sont une grande flexibilit et une extensibilit aise, tout en prservant la fonctionnalit et un bon quilibre entre ressources utilises (et donc surface consomme) et performances (vitesse de fonctionnement). Pour satisfaire les contraintes de flexibilit et d'extensibilit, l'architecture CodeRAKE est partitionne (pour tre modulaire) en fonction du nombre d'utilisateurs et du nombre de codes par utilisateur, sans perdre de vue les contraintes de limitions des ressources utilises et de prservation des performances. La modularit leve de CodeRAKE permet l'application aise de techniques de paralllisation permettant d'augmenter facilement les performances pour satisfaire notamment les besoins du ct de la station de base. L'approche architecturale mise en uvre est souple et peut tre facilement adapte d'autres protocoles existants ou futurs. Elle rpond ainsi au dfi des annes venir, o les rcepteurs devront tre capables de supporter de multiples protocoles et interfaces d'accs, notamment sous le contrle de couches logicielles.
Mots-cls : W-CDMA, Rcepteur RAKE, Rcepteur CodeRAKE, Dtecteur CodePath, architecture numriques haut dbit, modlisation RTL, application tlcom.

This thesis focuses on the design of the air interface of W-CDMA (Wideband Code Division Multiple Access) systems, particularly on the aspects related to the chann access problems at the reception side. The main concern herein is the design of the baseband digital parts, that is, the RAKE receiver. This receiver is in charge of the signal demodulation and responsible for making profit of signal diversity. This late functionality is particularly important as it allows to counter signal fading by detecting and combining multipath components (leading to signal reinforcement) Given the central role of the RAKE receiver, its design and implementation are of paramount importance. In this thesis, we propose a new architecture for the RAKE receiver: CodeRAKE. The main architectural characteristics being aimed are high flexibility and scalability, yet preserving a good trade-off between resource use (and hence, area consumption) and performance (operation speed). In order to satisfy the flexibility and scalability constraints, the CodeRAKE architecture is modular and partitioned according to the number of users and the number of codes per user, with the resource limitation and performance preservation constraints in mind. The high levels of modularity of the CodeRAKE architecture allow an easy use of parallelisation techniques, which in turn allow an easy increase of performances, particularly at the base station side.The architectural approach proposed herein are versatile and can be easily adapted to other existing or future protocols. It responds to the challenge of the coming years, where the receiver will have to support multiple protocols and access interfaces, including control software layers. Keyword: W-CDMA, RAKE receiver, CodeRAKE receiver, CodePath searcher, highthroughput digital architectures, RTL modulation, telecommunication application

137

You might also like