You are on page 1of 9

ALD - Your Solution, Our Passion!

Picosun Brochure 2012

Picosun The ALD company


There is not a single ALD company in the world with credentials matching those of Picosun
Picosuns history and background date back to the very beginning of the field of Atomic Layer Deposition (ALD). ALD was invented in Finland in 1974 by Dr. Tuomo Suntola, who today serves as Member of the Picosun Board of Directors. Picosun founder and Chief Technology Officer (CTO) Mr. Sven Lindfors has created outstanding ALD systems since 1975 and is known as worlds most experienced ALD reactor designer. Almost 40 years exclusively on ALD Today Picosun combines almost 40 years of continuous, exclusive ALD system development with over 200 person years of first hand know-how in the field. The company was established in 2004 and our core team consists of highly trained academic personnel, all experts in ALD. Picosun team, described by many the best ALD team ever, has contributed to over 100 patents on ALD and our close collaboration with major industries and top research organizations solidifies our frontline position in the global ALD network. Unique scalability from research to production Picosun is an international equipment manufacturer with a world-wide sales and service organization. We develop and manufacture ALD reactors for all kinds of micro- and nanotechnology applications. Picosun provides its customers with user-friendly, reliable and productive ALD process tools with top level after-sales, demo coating and process consulting services. The companys headquarters are located in Espoo, Finland, its production facilities in Masala, Finland, its US headquarters in Detroit and its Asian headquarters in Singapore. PICOSUN ALD systems are used by leading companies and scientific institutions across four continents. We get it right What makes us special in the field is our exclusive focus on ALD. We get it right, where many just struggle. We understand the customers needs and can offer unmatched quality coating solutions that fulfill even the most stringent research and productivity requirements. With our uniquely compact, upscalable and versatile reactor design, there is no hindrance to the transition from research to industrial production.

ALD Winner technology for thin films


As a surface controlled, self-limiting chemical vapor processing method, ALD ensures 100 % uniform, conformal, defect-, crack- and pinhole-free thin film growth both on large area substrates and challenging nanoscale architectures such as ultra-high aspect ratio trenches and high tortuosity through-porous samples. Wide range of e.g. metal oxide, nitride, sulfide, fluoride and pure (also noble) metal coatings as well as nanolaminates, graded layers, mixed oxide and doped thin films can be used in numerous applications for example in semiconductor and integrated circuits (IC) industry, MEMS/NEMS (micro/nanoelectromechanical systems), sensors, optics and optoelectronics, catalyst manufacturing, clean and renewable energy technologies, corrosion protection, decorative coatings and antitarnishing, water purification and innovative packaging materials. Examples of ALD applications
ALD application Read heads for hard drives MEMS devices/processes Role of ALD in it Passivation layer Etch stop layers Protective layers Anti-stiction layers Hydrophobic layers Adhesive layers Layers against friction and wear Electrical shorting prevention Charge dissipative layers High-k gate dielectrics ALD material/s Aluminum oxide Al2O3 Al2O3 Al2O3 Titanium oxide TiO2 Al2O3 Al2O3 Al2O3, TiO2 Al2O3 Aluminum-doped zinc oxide ZnO:Al Hafnium oxide HfO2, TiO2, tantalum oxide Ta2O5, zirconium oxide ZrO2, hafnium-silicon oxide HfxSiyO Tantalumcarbonitride TaCxNy HfO2 (orthorhombic) Gadolinium oxide Gd2O3, erbium oxide Er2O3, dysprosium oxide Dy2O3, holmium oxide Ho2O3 Ruthenium, iridium Noble metals Al2O3, silicon oxide SiO2 Copper, ruthenium, titanium nitride TiN TiO2 Doped (e.g. manganese, erbium) zinc sulfide ZnS (light emitting layer) and Al2O3 (passivation layers) Al2O3 Zinc-manganese oxide ZnxMnyO ZnO:Al Ta2O5, Al2O3 ZnO, TiO2 Al2O3 Palladium, platinum, rhodium Iridium Iridium Al2O3, TiO2

CMOS

Memories

Contact electrodes Ferroelectric materials Paramagnetic materials Non-magnetic coupling Electrodes Passivation of read heads, gap fill in hard drives Through silicon vias Biocompatible materials Light emitting layer and passivation layers

Sensors 3D packaging (IC) Medical applications Flat panel electroluminescent displays Crystalline silicon solar cells CIGS thin film solar cells Corrosion protection Water purification membranes Recyclable, paper/cardboardbased packaging materials Fuel cells Optical applications

Surface passivation Buffer layers Transparent conductive oxide (TCO) layers Corrosion protection film on the surface Antibacterial layer Gas/moisture diffusion barrier Catalytes Microchannel plates (e.g. X-ray optics) reflective coating Fresnel-zone lenses for X-ray optics Colored, "metallic" films

Picosun Board of Directors and Executive Team. From left to right: Prof. Lauri Niinist, Mr. Timo Malinen (COO), Mr. Sven Lindfors (CTO), Mr. Juhana Kostamo (Managing Director), Dr. Tuomo Suntola, Mr. Kustaa Poutiainen (CEO), and Prof. Jorma Routti.

Decorative coatings

PICOSUN R-SERIES ALD process tools


Manual or semi-automatic processing for research and development
ALD application Anti-tarnisning Lighting Low n layers on glass High n layers on glass Window layers on glass Glass strengthening Role of ALD in it Protection of noble metal items against darkening OLED (organic light-emitting diode) passivation ALD material/s Al2O3, TiO2 Al2O3 Magnesium fluoride MgF2, SiO2 ZnS, TiO2, Ta2O5, HfO2, ZrO2 TiO2 SiO2

Anti-cracking layers on glass

High standard R&D requires the best equipment. PICOSUN R-series ALD tools unique hot-wall top-flow dual-chamber design guarantees the deposition of highest quality ALD films with excellent uniformity even on the most challenging structures such as throughporous samples, ultra-high aspect ratio trenches or nanoparticulate powders. Our highly functional and easily exchangeable precursor sources for liquid, gaseous and solid chemicals enable particle-free processing of a wide range of materials on wafers, 3D objects and all nanoscale features.

Although capable of serving even the most stringent overall requirements of thin film research of the highest calibre, PICOSUN R-series reactors are specifically designed for research that aims to bring its achievements out of the laboratory, into industrial manufacturing. Unmatched versatility, speed and quality are combined with a compact, space-saving package ready to be integrated e. g. to vacuum line, glove box etc. systems. R-series ALD tools invite corporate funding -- because of their unique scalability the results do not fall into the usual technology gap between research and production but can be directly transferred into production with PICOSUN Pseries. R-series ALD tools are the systems of choice for the most productive research work.

Excellent film uniformities achieved in Picosun thermal and plasma (PEALD) processes. Wafer size 6, 49 point measurement.

Material

Non-uniformity (1) 0.13 % 0.77 % 0.28 % 0.94 % 1.0 % 1.83 % 3.41 % 1.10 % 0.50 % 0.62 % 2.16 % 2.87 % 0.87 % 2.64 %

AI2O3 SiO2 TiO2 ZnO Ta2O5 HfO2 Pt TiN PEALD Al2O3 PEALD AlN PEALD TiN PEALD TiAlN PEALD In2O3 PEALD ZnO

Single (S) / batch (B) process B B S S S S S S S S S S S S

PICOSUN R-SERIES technical features


Basic features Substrate size and type 50 200 mm single wafers Wafer minibatch up to 150 mm 156 mm x 156 mm solar Si wafers 3D objects Powders and particles Through-porous and HAR samples 50 500 C, higher on request Pneumatic lift (manual loading) Load lock with magnetic manipulator arm Semi-automatic loading with handling robot Cassette-to-cassette loading with cluster tools Liquid, solid, gas, ozone, plasma Up to 12 sources with 6 separate inlets 350 kg Depending on options Minimum 146 cm x 146 cm x 84 cm Maximum 189 cm x 206 cm x 111 cm 400 VAC, 3 phase with N or 200-210V 3 phase, 50/60 Hz Fuse 3 x 16 Amps Power depending on options Recommendation min. 35 420 m3/h, mechanical particle trap 99.999 % N2 / Ar, min 2 slm 4 5.5 bar overpressure Only required for dry vacuum pump and plasma generator, not for the reactor Vacuum pump, source cabinets

PICOSUN P-SERIES ALD process tools


Manual or semi-automatic processing for research and development
PICOSUN P-series and PICOBATCH define the new era of high volume ALD production. P-series ALD tools are fully automated and capable of coating hundreds or even thousands of wafers per hour, with several P-series reactors equipped with PICOBATCH system clustered together and operated automatically. Picosuns ALD process tools are well known for the fact that their generic design allows results of research to be smoothly turned into production use. PICOSUN P-series ALD tools are a prime example of this, offering Picosuns trademark fast, safe, reliable, versatile and uniquely upscalable high volume ALD manufacturing without compromising even the strictest industrial quality and repeatability standards. Excellent film uniformities in batch (thickness STD < 1 % with Al2O3 on up to 300 mm silicon wafers), and particle level down to 1-2 added particles (>70 nm) per wafer have been achieved. PICOSUN P-series ALD tools are production line and vacuum cluster compatible and ensure maximum, costefficient throughput under all conditions. Our compact, highly functional reactor design saves expensive facility space whereas quick and easy maintenance results in minimal system downtime. Our unmatched level of knowhow on ALD process mechanics ensures that production progresses smoothly with even the most challenging precursor chemistries and substrate architectures. Picosuns support organization is always ready to offer on- or off-site consulting from process chemistry to maintenance and customization of the PICOSUN reactors. Prior to purchase, our demo service ensures the reactor is optimized for 100 % fulfillment of your most demanding production requirements.

Process temperature Substrate loading options

Precursors Measures Weight Dimensions (W x H x D)

Customer data of Al2O3 batch process in a PICOSUN P-300B batch ALD tool. Target Thickness non-uniformity in-wafer Thickness non-uniformity in-batch Deposition rate variation batch-to-batch Added particles/ wafer (>70 nm) Refractive index @ 190 nm Film delamination or pinholes after HF etch Film stress Alkali contamination MTTM < 4 h MTBM > 6 months Uptime > 90 % < 1 % 1 < 1 % 1 < 1 % 1 <8 >1.86 no < 200 Mpa < 10E10 at/cm2 Measured 0.51 % 1 0.80 % 1 0.18 % 1 1-2 >1.864 no < 200 Mpa < 0.02E10 at/cm2

Utilities Power supply

Vacuum pump Carrier gas Compressed dry air Cooling water Exhausts Options

PICOFLOW diffusion enhancer, QCM, RGA, UHV compatibility, N2 generator, gas scrubber, customized designs

PICOSUN P-300B ALD system technical features


Basic features Substrate size and type 300 mm wafers in batches of 25 wafers/run 200 mm wafers in batches of 50 wafers/run 156 mm x 156 mm solar Si wafers in batches of 100/200 wafers/run (both sides/ back-to-back) Up to 300 x 300 mm glass wafers in batches of 100/200 wafers/run (both sides/ back-to-back) 3D objects, e.g. steel, aluminum, plastic, silverware, watches Powder and particle substrates Microchannel plates and through-porous substrates High-aspect ratio substrates (1000:1) Continuous ALD and R2R: please contact us for more details 50 500 C Pneumatic loader, robotic loader Liquid, solid, gas, ozone Level sensors, cleaning and refill service Up to 12 sources with 6 separate inlets (P-300S single wafer tool) Up to 6 sources with 4 separate inlets (P-300B batch tool) 700 kg 149 cm x 191 cm x 111 cm 400 VAC, 3 phase with N or 200-210V 3 phase, 50/60 Hz Fuse 3 x 16 Amps Power depending on options Recommendation min. 420 m3/h, mechanical particle trap 99.999 % N2 / Ar, min 2 slm 5 6 bar overpressure Only required for the dry vacuum pump and ozone generator, not for the reactor Vacuum pump, source cabinets 300 mm Si wafer coated in a PICOSUN P-300B ALD reactor

PICOSUN P-300B batch ALD reactor for HVM

Process temperature Substrate loading options Precursors

Measures Weight Dimensions (W x H x D) Utilities Power supply

Vacuum pump

Carrier gas Compressed dry air Cooling water

Exhausts Options

Cluster tools, automatic loading modules, gas scrubbers, chillers, nitrogen generators, factory host software connectivity

PICOPLASMA source system


Picosuns innovative PICOPLASMA plasma enhanced ALD (PEALD) source system is based on highly advanced, ion-free remote plasma source, proven by top research customers on three continents. Various excited species such as oxygen, nitrogen and hydrogen radicals can be generated to broaden the range of ALD process chemistries especially metal and metal nitride thin films can be deposited at low temperatures with activated species and the remote source enables processing of the most sensitive substrates and delicate device structures without plasma damage due to very low ion count but still high reactive species flux. With PICOPLASMA, there are also no short-circuiting problems even when depositing metals. PICOPLASMA source system can be mounted on existing PICOSUN ALD reactors or the whole PEALD system can be installed as one compact, small footprint deposition unit of easy implementation, quick maintenance and low cost of ownership. The system can also be fully automated by integrating it into the PICOPLATFORM cluster tool with cassette-to-cassette loading via a vacuum load lock. Fast matching and stable power delivery of the plasma unit enable high yields with fast process speed and excellent film uniformity (thickness STD 0.7 % with Al2O3 and AlN on silicon, deposited from TMA and oxygen radicals) and good conformality in deep trenches up to AR of 1:48 for oxygen plasma processes and 1:25 for nitrogen/hydrogen plasma processes. In short, the novel PICOPLASMA tool increases the already top level versatility, customizability and upscalability of Picosuns existing ALD systems.

PICOPLASMA technical features


Commercial Matching Network, plasma generator and power supply integrated in one compact system, weight 22.2 kg Mounted to the transfer chamber with connection to reaction chamber Commercial RF plasma generator with adjustable 100 3000 W power, 1.7 3 MHz RF frequency AC Power 208 VAC, 35 A, 3 phases, AC input current 16 A max Cooling water flow 5.71 lpm, T < 35 C Analog (25 pin) and RS-232 (AE Bus) interfaces Chemraz O-ring sealing Generator MTBF > 100 000 h Generator compliant with the following certifications: CE 73/23/EEC & 89/336/EEC, IEC/EN 61010-1, CSA C22.2 No. 1010.1, ANSI/ISA-82.02.01, NRTL/C, SEMI S2-0302, SEMI F47, EN 55011, EN61326 and 47 CFR

Highly conformal and uniform films of PEALD TiN and TiN+Cu on high aspect ratio trenches PICOPLASMA remote plasma source system installed on a PICOSUN R-200 ALD reactor

10

11

PICOPLATFORM vacuum cluster tool


PICOPLATFORM vacuum cluster continues the idea of unique scalability which is inbuilt in all Picosuns products. The system comprises of several individual ALD reactors clustered around a central vacuum robot loading and controlling unit, which enables automatic cassette-to-cassette loading between the carrier cassettes and clustered ALD tools without breaking the vacuum in between. Clustering with other process modules such as pre-treatment and deposition systems is also possible. First of the new PICOPLATFORM systems was built in 2008 and has already undergone and passed our rigid testing procedures. The large customer base of PICOSUN ALD tools and the fact that Picosun is working closely with the leading provider of vacuum automation solutions to the semiconductor industry, Brooks Automation, guarantees optimal performance, support, manufacturability and maintainability of automated PICOSUN ALD systems with flexibility to meet the unique automation requirements of any industry. The updated, next generation PICOPLATFORM system, launched to the market 2011, has also the option for plasma-enhanced ALD and UHV (ultra-high vacuum) compatibility, it can process Si wafers up to 300 mm / 12 in diameter and the selection of depositable materials ranges from the conventional oxides, nitrides and sulfides to metals (including noble ones) and polymers. Most of the PICOPLATFORM system customers represent the integrated circuits (IC) industry but the system has also been a success in solar cell industry and research, which is why it is also available for square solar wafers of 156 mm x 156 mm of size.

PICOPLATFORM 200 technical features Automatic cassette-to-cassette substrate loader for up to 25 wafers with a loader chamber, a gate valve, cooling chamber, a wafer load lock and a dedicated dry vacuum pump for the load lock An additional port for integrating other process equipment to the loader Transfer chamber with a wafer handling robot Thin film is deposited on one wafer at a time Transport plane: 900 mm at VCE platform (SEMI-std). 1100 mm (43.31 in) per SEMI std E21 at process module side (9.4 mm (0.37 in) above slot centerline) (for 200 mm). Wafer sensors: Polar-reflective beam break, bottom mount Integrated wafer aligner. Alignment mode: Rotary. Alignment time: 4.8 sec (wafer) The reaction chamber is isolated from room atmosphere during the loading and unloading of the substrate Leak rate: 1.0 x 10-8 Torr-l/sec He max Maximum noise level: NC55 Environmental specifications: Class 1000 or better cleanroom ambient Operating atmosphere temperature: 10 C to 30 C Operating atmosphere humidity: 5% to 80 % (relative, non-condensing) Cassette to cassette automatics system: DeviceNet control network, PC based cluster control software with touch screen. Semiautomatic system without the cassette lift is PLC-controlled with PC user interface. E95 standard compliant software with optional host connectivity Electricity: Single phase 200-240V, 10A (Usually supplied from the common cabinet of the clustered system) Dimensions: 868 mm x 1147 mm x 1392.5 mm (W x D x H). Weight: 708.5 kg PICOSUN PICOPLATFORM multipurpose ALD cluster tool

12

13

Additional options
PICOFLOW diffusion enhancer
PICOFLOW system is used for increasing retention time of the precursors in the reaction chamber by slowing down the speed with which the reactant gases are pumped out from the reactor. This enables and improves the coating of challenging through-porous, highly tortuous, nanoporous, powderous or otherwise nanoscale complicated structures by allowing the precursors more time to diffuse in and interact with the surface to be coated. PICOFLOW diffusion enhancer system enables coating of extremely high aspect ratio samples without a risk of back-diffusion of the precursors into the inlet lines.

Glove box compatibility


All of PICOSUN ALD tools can be integrated with various glove boxes to eliminate handling of moisture sensitive substrates in the air. Tools both with separate load locks or the standard elevator can be connected with a leak tight sealing to the glove box wall or bottom plate. Moreover, having a glove box at hand reduces possible dangers to the operator when using or storing toxic, very volatile, oxygen or moisture sensitive precursors.

UHV compatibility
All of PICOSUN ALD systems can also be made ultra-high vacuum (UHV) compatible either by integration with a pump-down chamber or by modifying the tool itself enabling pump-down down to UHV region. UHV ALD tools can be integrated with other deposition and measurement tools and make possible to reach highquality interface between ALD films and III-V semiconductors, for example.

14

15

Main Headquarters: Picosun Oy, Tietotie 3, FI-02150 Espoo, Finland Tel: +358 50 321 1955 Email: info@picosun.com Web: www.picosun.com Production Facilities: Picosun Oy, Masalantie 365, FI-02430 Masala, Finland

North American Headquarters: Picosun USA, LLC, 719 Griswold Street, Suite 820 Detroit, Michigan 48226, USA Tel: +1 313 967 7854 Email: sales@picosun.com Asian Headquarters: Picosun Asia Pte. Ltd., 1 North Bridge Road, #12-01 High Street Centre Singapore 179094, Singapore Tel: +65 63364502 Email: sales@picosun.com

Regional sales and support: See www.picosun.com/contact/ for details of regional sales offices.

You might also like