You are on page 1of 130

Chng I. C s l thuyt v iu khin Logic I.1 L thuyt v iu khin Logic I.1.

1 nh ngha Khi nim v logic 2 trng thi: Trong cuc sng hng ngy nhng s vt hin tng chng ta thng quy c 2 trng thi ny i lp nhau hon ton, v d: c/khng; thiu/; cn/ht; trong/c; nhanh/chm...

Bng 1.1 V d v bng chn l hm 1 bin Trong k thut, 2 trng thi thng s dng l: ng/m; chy/dng... lng ha 2 trng thi ny, trong ton hc, ngi ta quy c bng con s 0 v 1. T , xy dng nn cc hm v bin da trn 2 bin logic ny. I.1.2 Cc hm logic c bn Hm logic 1 bin Hm logic n bin Hm logic n bin : y = f(x1,x2,x3,..,xn). Ta c: 1 bin nhn 21 gi tr n bin nhn 2n gi tr; m mt t hp nhn 2 gi tr, do vy hm c tt c l trng hp. V d :1 bin to 4 hm. 2 bin to 16 hm. 3 bin to 256 hm. Qua v d trn, ta c th thy kh nng to hm rt ln nu s bin cng nhiu. Tuy nhin tt c kh nng ny u c hin qua cc kh nng sau: tng logic, nghch o logic, tch logic.
1

I.1.3 Tnh cht Quan h gia cc h s: 0. 1 =0 1. 0 =0 0 +0 =0 0 +1 =1 1 +0 =1 1 +1 =1 =1 =0 y l quan h gia 2 hng s 0 v 1, l tin cho cc hm logic sau ny. Quan h gia cc bin v hng s: A.0 =0 A. 1 =A A+1 =1 A +0 =A A. A =0 A + A =1 Cc tnh cht khc: + Lut giao hon : A. B =B. A A +B =B +A + Lut kt hp : ( A +B) +C =A +( B +C) ( A. B). C =A. ( B. C) + Lut phn phi : A ( B +C) =A. B +A. C + Cc tnh cht c th logic: A. A =A A +A =A + nh l De Mogan :
2

+ =

+ Lut hm nguyn : =A. I.1.4 Cc phng php biu din hm logic Bng logic * Nu hm c n bin th bng c n+1 ct (n ct cho bin & 1 ct cho hm) * 2n hng tng ng vi 2n t hp bin. Bng ny gi l bng s tht hay l bng chn l. V d: Trong nh c 3 cng tc A,B,C. Khi mun n sng khi: cng tc A,B,C u h; hoc A ng B, C h; hoc A h B ng C h. Vi gi tr ca hm y cho trn ta biu din thnh bng nh sau: Cng tc n A B C 0 0 0 0 0 1 0 1 0 0 1 1 1 0 0 1 0 1 1 1 0 1 1 1 n Y 1 sng 0 1 sng 0 1 sng 0 0 0

Bng 1.2 Bng chn l cc gi tr tip im Biu din hnh hc Thng thng, vi s lng bin t 2 n 3 bin, ngi ta biu din trn hnh hc ging nh cc h ta . V d biu din 2 bin nh hnh 1.1

Hnh 1.1 Biu din bin s trn h ta Biu din biu thc i s i vi tt c cc hm logic u c th biu din c di dng tng chun v tch chun y . Biu din dng ba Karnaugh

Hnh 1.2 Biu din bng dng ba Karnaugh Bng cc phng php biu din trn, ta s tng hp mch logic c kt qu rt gn. Da trn cc kt qu ta s c cc phng php tnh ton iu khin bi ton ti u nht.

I.2 Logic trnh t v mch t hp I.2.1 M hnh ton hc mch t hp nh ngha: Mch t hp l mch m tn hiu u ra thi im bt k ch ph thuc vo t hp cc gi tr tn hiu u vo thi im Mch t hp thng c nhiu tn hiu u vo (x1, x2, x3, ) v nhiu tn hiu u ra (y1, y2, y3, ). Mt cch tng qut c th biu din theo m hnh ton hc nh sau: y1 = f1(x1, x2,... ) y2 = f2(x1, x2,... ) ... Hnh 1.3 Mch t hp logic I.2.2 Phn tch mch t hp T yu cu nhim v cho ta bin thnh cc vn logic, tm ra bng chc nng ra bng chn l. c thc hin theo cc bc sau:
x1; x2;... y1; y2;...

Mch t hp

Hnh 1.4 Cc bc thc hin phn tch mch t hp logic Cc bc thc hin: Phn tch yu cu: cn nm r yu cu ca bi ton + xc nh no l bin u vo. + xc nh no l bin u ra. + tm ra mi lin h gia chng vi nhau. iu ny i hi ngi thit k phi nm r yu cu thit k y l mt vic kh khn nhng rt quan trng trong qua trnh thit k K bng chn l: lit k thnh bng v mi quan h gia u vo v ra
5

V d:

Tng hp mch chn l: Nu s bin tng i t th dng phng php hnh v Nu s bin tng i nhiu th dng phng php i s

Mt s mch t hp thng gp trong thc t: nh b m ha, mch lt FF I.2.3 Logic trnh t a, nh ngha: tn hiu u ra ch c thc hin khi cc tn hiu u vo c thc hin theo trnh t thit lp t trc. V d: cc mch lt FF... b, Phng php m t mch trnh t: Bng trng thi Hnh trng thi Grafcet
ph x1; x2;... Mch t hp y ; y ;... 1 2 Logic trnh t

Mt s mch t hp thng gp trong h thng thng l b gii m, dn knh,...

Hnh 1.5 Mch t hp logic trnh t I.2.4 Khi nim v mch trnh t a, nh ngha: l mch c tn hiu u ra ch b kch hot khi cc u vo c kch hot theo mt trnh t no . iu ny c th thy khng th thc hin mch logic trnh t theo cc mch logic thng thng m s dng cc c tnh ca mch lt FF Mt s phn t logic trnh t: r le thi gian, cc mch lt FF: RS, JK,...
6

Hnh 1.6 V d v mch trnh t dung mch lt FF b, M t mch trnh t Phng php chuyn trng thi Sau khi kho st mt cng ngh no , ta c c bng 1.3:

Bng 1.3 Bng m t s cng ngh + Cc ct ca bng ghi bin u vo v bin u ra (x1 y1) + S hang ghi r s trng thi cn c ca h (S1) + giao gia ct xi vi trng thi Sj ghi trng thi ca mch, nu trng thi mch trng vi trng thi hng th trng thi n nh + giao gia yi v Sj l tn hiu ra tng ng thc hin tt vic lp bng, ta phi nm r c quy trnh cng ngh v bit chc
7

cc trng thi chuyn i r rng. Cc trng thi khc khng bit hoc cha bit th b xung sau. Phn tch tng xt trng thi. Tuy nhin, s rt kh khn khi phn bit cc trng thi tng t nh bng 1.4

Bng 1.4 Cc trng thi tng t Phng php lu + Khi biu th gi tr ban u + Khi biu th cng vic tnh ton + Khi kim tra iu kin + Khi kt thc cng vic Phng php ny m t trc quan, bao gm cc khi c bn:

I.3 Grafcet I.3.1 nh ngha Trong dy chuyn sn xut cng nghip my mc thng hot ng theo trnh t logic cht ch nhm m bo cht lng sn phm, an ton cho ngi v thit b. Cu trc hot ng trnh t ca dy chuyn a ra yu cu cho iu khin ng thi cng gi cho ta s phn nhm logic ca hot ng trnh t bi cc tp hp con ca my mc v cc thut ton iu khin bng chng trnh con. S khi ca h iu khin qu trnh c th hin theo s sau:

Hnh 1.7 S khi iu khin qu trnh Mt qu trnh cng ngh bao gm ba hnh thc hot ng sau: + Hon ton t ng + Bn t ng + Bng tay Trong qu trnh h thng lm vic, m bo an ton v linh hot, h iu khin cn phi c s chuyn i d dng t t ng bn t ng hoc bng tay v ngc li nh vy h mi p ng c yu cu thc t. Trong qu trnh lm vic, s khng bnh thng (s c) ca h thng c rt nhiu loi; v vy trong qu trnh phn tch h thng c gng m t chng mt cch y nht, ngha l cc s kin v li a s phi c nh ngha trc. Trong vn v s c ngi ta thng phn ra lm 3 nhm sau: + H hng mt b phn trong cu trc iu khin. + H hng cu trc trnh t iu khin. + H hng b phn chp hnh.

Khi thit k h thng phi tnh n cc phng n khc nhau nh: vic dng my khn cp, x l tc ngn vt liu v nhiu hin tng nguy him khc ng thi cho php ngi vn hnh can thip ngay im xy ra s c hoc c lp vng xy ra s c . Grafcet l cng c rt hu ch thit k v thc hin y cc yu cu ca h thng t ng ho cc qu trnh cng ngh. nh ngha Grafcet: Grafcet l t vit tt ca ting Php Graphe fontionnel de commande tape transition, l hnh chc nng cho php m t cc trng thi hot ng ca h thng v biu din qu trnh iu khin vi cc trng thi chuyn bin t trng thi ny sang trng thi khc, l mt graph nh hng v xc nh bi cc phn t sau: G := {E, T, A, M} + E = {E1, E2, E3, ... , Em} l mt tp hu hn cc trng thi (giai on ) ca h thng, c k hiu bng hnh vung. ng vi mi trng thi sao cho hnh vi iu khin l khng thay i, hnh vi c th hot ng hoc l khng hot ng. iu khin chnh l thc hin cc mnh logic cha cc bin vo/ra h thng c c trng thi xc nh trong h v y cng chnh l mt trong cc trng thi ca Grafcet. + T = {t1, t2, t3, ... ti} l tp hu hn cc chuyn trng thi, biu din bng du gch ngang. Gia hai trng thi lun tn ti mt chuyn trng thi, chuyn trng thi ny c dng hm Bool gn vi mt chuyn trng thi mt tip nhn + A = {a1, a2, a3, ... ai} l tp cc cung nh hng ni gia 1 trng thi vi 1 chuyn trng thi hoc 1 chuyn trng thi vi mt trng thi. + M = {m1, m2, m3, ... mi} l tp cc gi tr (0,1). Nu mi = 1 th trng thi i l hot ng, ngc li trng thi i khng hot ng. I.3.2 Mt s k hiu dng trong Grafcet Hnh vung c nh s nh hnh 1.8 a), b) biu th trng thi; hnh ch nht bn phi dng m t hot ng ca trng thi . Hai hnh ch nht lng vo nhau c nh s, biu th trng thi khi u. Hnh vung nh s c km theo du chm . biu th trng thi hot ng.

10

Hnh 1.8 K hiu c bn Grafcet Du gch ngang biu th cho vic chuyn trng thi. Trng thi c chuyn khi iu kin chuyn c tho mn. Hnh 1.9

Hnh 1.9 K hiu trong chuyn trng thi Cc k hiu phn nhnh hnh 1.10. Hnh 1.10 a) khi TT1 ang hot ng nu t12 tho mn th TT2 hot ng; nu t13 tho mn th TT3 hot ng; nu t12 v t13 cng tho mn th TT2 v TT3 cng hot ng gi l TT OR. Tng t cho hnh 1.10 b). Hnh 1.10 c) TT1 ang hot ng nu t123 tho mn th c hai TT2 v TT3 hot ng gi l trng thi AND. Hnh 1.10 d) TT7 v TT8 ang hot ng nu t789 tho mn th TT9 hot ng trng thi ny gi l TT AND.

11

Hnh 1.10 K hiu phn nhnh Hnh 1.11 a) cho php thc hin bc nhy, nu ang hot ng TT2, iu kin a tho mn th h thng s chuyn hot ng t TT2 sang TT5 b qua TT3 v TT4; ngc li nu a khng tho mn th cc trng thi 3, 4, 5 ln lt s c thc hin. Hnh 1.11 b) nu iu kin f cha tho mn th TT8 s quay v li TT7, nu f tho mn th TT8 mi chuyn sang TT9

Hnh 1.11 K hiu bc nhy I.3.3 ng dng ca Grafcet

12

Ta xt mt v d c th m t hot ng ca h thng t ng iu khin qu trnh. H thng trn c s cng ngh hnh 1.12. Thng X dng cha nc chun b cho h thng trn. Trc khi ng c M ko cnh khuy trn yu cu thng Y phi c nc; cn 1 v 2 cn vt liu; lc ng c M khi ng cnh khuy cng l lc hai bng ti C1, C2 c khi ng a hai vt liu A, B vo thng trng Y.

Hnh 1.12 S cng ngh trn Trnh t khuy trn nh sau: - Nu mc vt liu thng trn l min (Nmin) th h thng lm vic ch t ng (AUT) Cp tn hiu cho m cc van V1, V2, V3. - Bm P c khi ng bm nc t thng X vo thng Y. - Khi khi lng cn trn cc cn 1, 2 th van V2, V3 ng li. - Nc trong thng Y tng dn cho n khi t mc max (Nmax) th bm P dng v van V1 ng li. - Khi vic chun b nguyn vt liu trn xong, ng c khuy M bt u hot ng ng thi cc van V4, V5 m, bng ti C1, C2 hot ng a liu vo thng Y. - Qu trnh trn c tnh bng thi gian t2, sau thi gian t2 th c tn hiu Ft2 xut hin v ct ng c khuy M kt thc qu trnh trn. - Nlim l tn hiu cc hn trn cm hot ng khi thng trn Y qu y. - Trc khi ng c M hot ng th van Ev m tho ht vt liu trong thng Y ra ngoi n mc min (Nmin ng), ng thi vt liu trn cn 1, 2 ht th van V4, V5 t ng ng li nhng bng ti C1, C2 cn phi quay thm mt on na a ht vt liu trn bng ti xung thng Y.
13

- V l do an ton, h thng cn c nt dng khn cp (AU) khi h thng c s c bt thng, ng thi trc khi h thng hot ng li cn c tn hiu t li cho h thng (REP).

Hnh 1.13 S cu trc y: M, P, C1, C2, V1, V2, V3, V4, V5, Ev l bin iu khin qu trnh: AUT, AU, REP. A, B, Nmin, Nmax, Nlim l tn hiu qu trnh a v iu khin trng thi. C 2 iu kin v iu khin cn lu : Phng thc lm vic t ng theo chu k. Chu k y l chu k trn, ngha l h thng thc hin xong mi m trn. Mt m trn c bt u bng tn hiu iu khin AUT (iu kin bt u l P, M, V1, V2... trng thi cha lm vic). Phng thc kho khi c s c, khi c s c ngu nhin th h thng phi c dng khn cp bng lnh AU. Lc ny phi cht li ngay kt qu ang x l, n khi no s c c khc phc xong th c hot ng theo trnh t t li bng lnh REP vi vic tnh n hoc khng tnh n iu kin khi ng ban u. Ban u chng ta bt u i vo thit k h thng cha c lnh AU v REP tham gia, l Grafcet hnh 1.14. Trng thi khi u trong trng hp ny l TT1. Gi s cc iu kin u l thng mc min, c cu chp, hnh trng thi tt (sn sng lm vic) th trng thi 2, 3, 4 c thc hin (van V1 m, bm P quay, van V2, V3 m a vt liu xung cn 1, 2). Khi nc trong thng dng ln n mc max (Nmax) th h thng chuyn sang trng thi 5. Khi khi lng trn cn 1 (tn hiu bo
14

A), khi lng rn cn 2 (tn hiu bo B) th h thng chun sang trng thi 6, 7. Trng thi 5, 6, 7 biu hin cho nguyn liu trong mt m trn chun b xong. Khi cc iu kin Nmax, A, B tha mn th h thng s chuyn sang trng thi 8, tng ng ng c trn M hot ng, thi gian t2 c tnh, van V4, V5 m, bng ti C1, C2 hot ng. Khi x ht liu trn hai cn 1, 2 th van V1, V2 dng v thi gian t1 c tnh hai bng ti chy thm 1 thi gian na (t1). Sau thi gian ny bng ti dng v tn hiu Ft1 xut hin v h thng chuyn sang trng thi 9, ti y M vn cn hot ng n khi thi gian t2 kt thc h thng s chuyn v trng thi ngh chun b cho chu k tip theo.

Hnh 1.14 Ngoi cc ch lm vic bnh thng, ta c th xy dng vi cc ch b li v khc phc s c cho h thng trn.

15

Chng 2 B iu khin lp trnh PLC II.1 c im b iu khin logic kh trnh (PLC) II.1.1 S ra i ca b iu khin PLC Nm 1642, Pascal pht minh ra my tnh c kh dng bnh rng. n nm 1834 Babbage hon thin my tnh c kh "vi sai" c kh nng tnh ton vi chnh xc ti 6 con s thp phn. Nm 1808, Joseph M.Jaquard dng cc l trn tm ba th kim loi mng, sp xp chng trn my dt theo nhiu chiu khc nhau iu khin my dt t ng thc hin cc mu hng phc tap. Trc nm 1904, Hoa K v c s dng mch rle trin khai chic my tnh in t u tin trn th gii. Nm 1943, Mauhly v Ackert ch to "ci my tnh" u tin gi l "my tnh v tch phn s in t" vit tt l ENIAC. My c: 18.000 n iin t chn khng, 500.000 mi hn th cng, chim din tch 1613 ft2, cng sut tiu th in 174 kW, 6000 nt bm, khong vi trm phch cm. Ch ti khi p dng k thut bn dn vo nm 1948, a vo sn xut cng nghip vo nhng nm 60 th k trc th nhng my tnh in t lp trnh li mi c sn xut v thng mi ho. S pht trin ca my tnh cng km theo k thut iu khin t ng. Mch tch hp in t - IC - nm 1959. Mch tch hp gam rng - LSI - nm 1965. B vi x l - nm 1974. D liu chng trnh - iu khin. K thut lu gi...

Nhng pht minh ny nh du mt bc rt quan trng v quyt nh trong vic pht trin t k thut my tnh v cc ng dng ca n nh PLC, CNC,... lc ny khi nim iu khin bng c kh v bng in t mi c phn bit. S pht trin ca PLC em li nhiu thun li v lm cho n cc thao tc my tr nn nhanh, nhy, d dng v tin cy hn. N c kh nng thay th hon ton cho cc phng php iu khin truyn thng dng rle (loi thit b phc tp v cng knh);
16

kh nng iu khin thit b d dng v linh hot da trn vic lp trnh trn cc lnh logic c bn; kh nng nh thi, m; gii quyt cc vn ton hc v cng ngh; kh nng to lp, gi i, tip nhn nhng tn hiu nhm mc ch kim sot s kch hot hoc nh ch nhng chc nng ca my hoc mt dy chuyn cng ngh. Nh vy nhng c im lm cho PLC c tnh nng u vit v thch hp trong mi trng cng nghip: Kh nng khng nhiu rt tt; Cu trc dng modul rt thun tin cho vic thit k, m rng, ci to nng cp; C nhng modul chuyn dng thc hin nhng chc nng c bit hay nhng modul truyn thng kt ni PLC vi mng cng nghip hoc mng Internet; Kh nng lp trnh c, lp trnh dng cng l ec im quan trng xp hng mt h thng iu khin t ng; Yu cu ca ngi lp trnh khng cn gii v kin thc in t m ch cn nm vng cng ngh sn xut v bit chn thit b thch hp l c th lp trnh c; Thuc vo h sn xut linh hot do tnh thay i c chng trnh hoc thay i trc tip cc thng s m khng cn thay i li chng trnh. II.1.2 Cc khi nim c bn v PLC Cc thnh phn ca mt PLC thng c cc modul phn cng sau: (1) Modul ngun PS. (2) Modul n v x l trung tm CPU. (3) Modul b nh chng trnh v d liu ROM-RAM. (4) Modul u vo/ra SM. (5) Modul phi ghp IM ( h tr cho vn truyn thng ni b). (6) Modul truyn thng CP ( h tr cho vn truyn thng mng). (7) Modul chc nng FM (iu khin chc nng ring bit). Cu trc phn cng ca PLC

17

Hnh 2.1 Cu trc c bn ca PLC S7-200 n v x l trung tm (CPU Central Procesing Unit): Thng trong mi PLC c mt CPU, ngoi ra cn c mt s loi ln c ti hai CPU dng thc hin nhng chc nng iu khin phc tp v quan trng gi l hot standbuy hay redundant. Tuy nhin, i vi cc ng dng nh th ch c mt CPU thc hin.Do , b vi x l quyt nh kh nng v chc nng ca PLC. B nh: Bao gm c RAM, ROM, EEPROM. Mt ngun in d phng l cn thit cho RAM duy tr d liu ngay c khi mt ngun in chnh. B nh c thit k thnh dng modul cho php d dng thch nghi vi cc chc nng iu khin vi cc kch c khc nhau. Mun rng b nho ch cn cm th nh vo rnh cm ch sn trn modul CPU. Khi vo/ ra: Hot ng x l tn hiu bn trong PLC: 5VDC, 15VDC (in p cho h TTL & CMOS). Trong khi tn hiu iu khin bn ngoi c th ln hn. khong 24VDV n 240VDC hay 110VAC n 220VAC vi dng ln. Khi giao tip vo ra c vai tr giao tip gia mch vi in t ca PLC vi mch cng sut bn ngoi. Thc hin chuyn mc in p tn hiu v cch ly bng mch cch ly quang (Opto-isolator) trn cc khi vo ra. Cho php tn hiu nh i qua v ghim cc tn hiu c mc cao xung mc tn hiu chun. Ng vo: nhn trc tip tn hiu t cm bin. Ng ra: l cc transistor, rle hay triac vt l. Thit b lp trnh: C 2 loi thit b c th lp trnh c l:Cc thit b chuyn dng i vi tng nhm PLC ca hng tng ng. My tnh c ci t phn mm. Modul qun l vic phi ghp: Dng phii ghp b PLC vi cc thit b bn ngoi nh my tnh, thit b lp trnh, bng vn hnh v mng truyn thng cng nghip. Thanh ghi (Register): l b nh 16 bit hay 32 bit lu tr tm thi khi PLC thc hin qu trnh tnh ton. Thanh ghi cht (Latch register) duy tr ni dung cho n khi n c chng ln bng ni dung mi. Thanh ghi chuyn dng (Special register). Thanh ghi tp tin hay thanh ghi b nh chng trnh
18

(Program memory registers). Thanh ghi iu chnh gi tr c t bin tr bn ngoi (External adjusting register). Thanh ghi ch mc (Index register). B m (Counter): k hiu l C. + Phn loi: tn hiu u vo: B m tin. B m li. B m tin li (b m ny c c chuyn dng chn chiu m). B m pha ph thuc vo s lch pha gia hai tn hiu xung kch. B m tc cao (high speed counter), xung kch c tn s cao khong vi kZ n vi chc kZ. + Theo kch thc ca thanh ghi v chc nng ca b m: B m 16 bit. B m 32 bit. B m cht: duy tr ni dung m ngay c khi PLC b mt in. B nh thi (times): k hiu l T, c dng nh cc s kin c quan tm n vn thi gian, b nh thi trn PLC c gi l b nh thi logic. Vic t chc nh thi thc cht l mt b m xung vi chu k c th thay i c. II.1.3 Gii thiu mt s nhm PLC ph bin hin nay trn th gii . Siemens: c ba nhm CPU S7 200: CPU 21x: 210; 212; 214; 215-2DP; 216. CPU 22x: 221; 222; 224; 224XP; 226; 226XM. CPU S7300: CPU S7400: . Mitsubishi: . Omron: . Allen Bredly: . Controtechnique: . ABB: AC 100M AC 400M AC 800M, l loi c 2 module CPU lm vic song song, ch d phng nng.

19

II.2 Tng quan v h PLC S7-200 ca hng Siemens C hai series: 21x (loi c khng cn sn xut na) v 22x (loi mi). V mt tnh nng th loi mi c u im hn nhiu.Bao gm cc loi CPU sau: 221, 222, 224, 224XP, 226, 226XM trong CPU 224XP c h tr analog I/O onboard v 2 port truyn thng.

Bng 2.1: Cc loi CPU S7-200 II.2.1 Cu trc phn cng ca S7-200 II.2.1.1 Hnh dng bn ngoi: Cc n trng thi:

20

Hnh 2.2 Cu trc phn cng ca PLC S7-200 n RUN-mu xanh: Ch nh PLC ch lm vic v thc hin chng trnh c np vo b nh chng trnh. n STOP-mu vng: Ch nh PLC ch STOP, dng chng trnh ang thc hin li (cc u ra u ch off). n SF-mu , n bo hiu h thng b hng c ngha l li phn cng hoc h iu hnh. y cn phn bit li h thng v li chng trnh ngi dng, li chng trnh ngi dng th CPU khng th nhn bit c v trc khi download xung CPU, phn mm lm nhim v kim tra trc khi dch sang m my. n Ix.x-mu xanh: Ch nh trng thi On/Off ca u vo s. n Qx.x-mu xanh: Ch nh trng thi On/Off ca u vo s. Port truyn thng ni tip: RS 485 protocol, 9 chn s dng cho vic phi ghp vi PC, PG, TD200, TD200C, OP, mng bin tn, mng cng nghip. Tc truyn nhn d liu theo kiu PPI l 9600 baud. Tc truyn - nhn d liu theo kiu Freeport l 300- 38400 baud Cng tc chn ch : Cng tc chn ch RUN: Cho php PLC thc hin chng trnh, khi chng trnh gp li hoc gp lnh STOP th PLC s t ng chuyn sang ch STOP mc d cng tc vn ch RUN (nn quan st n trng thi). Cng tc chn ch STOP: Khi chuyn sang ch STOP, dng cng bc chng trnh ang chy, cc tn hiu ra lc ny u v off. Cng tc chn ch TERM: cho php ngi vn hnh chn mt trong hai ch RUN/STOP t xa, ngoi ra ch ny c dng download chng trnh ngi dng. Vt chnh nh tng t: Mi CPU c t 1 n 2 vt chnh nh tng t, c th xoay c mt gc 270 , dng thay i gi tr ca bin s dng trong chng trnh. Pin v ngun nui b nh: S dng t vn nng v pin. Khi nng lng ca t b cn kit PLC s t ng chuyn sang s dng nng lng t pin. II.2.1.2 Giao tip vi thit b ngoi vi Thit b lp trnh loi PGxx c trang b sn phn mm lp trnh, ch lp trnh
21

c vi ngn ng STL. My tnh PC trn c ci t phn mm Step7 Mcro/Win 32 v Step7 Mcro/Dos. Hin nay hu ht s dng Step7 Mcro/Win 32 version 3.0,3.2,4.0. V4.0 cho php ngi lp trnh c th xem c gi tr, trng thi cng nh th ca cc bin. Nhng ch s dng c trn my tnh c ci t h iu hnh Window 2000/ WinNT v PLC loi version mi nht hin nay. II.2.1.3 Giao tip gia sensor v c cu chp hnh: S7-200 c hai loi c bn: AC/DC/RLY, loi ny in p ngun cung cp t 85264 VAC, tn s 47-63 Hz; in p vo: c ngun cung cp in p chun cho sensor l 24VDC. in p ra: loi ny s dng ngun in ngoi, c th l DC hoc AC nhng khng vt qu 220V. Nu s dng i vi nhng thit b tiu th c cng sut b khong chng vi W th c th ly trc tip ngun ca cm bin. II.2.2 Cu trc b nh S7-200 II.2.2.1. Phn chia b nh B nh c chia lm 4 vng c bn, hu ht cc vng nh u c kh nng c ghi ch tr vng nh c bit SM (special memory) l vng nh ch c. Vng nh chng trnh l min b nh c dng lu gi cc lnh chng trnh. Vng ny thuc kiu non-valatie c/ghi c. Vng nh tham s: l min lu gi cc tham s nh t kho, a ch trm... cng ging nh vng chng trnh, Vng ny thuc kiu non-valatie c/ghi c. Vng d liu: c s dng ct cc d liu ca chng trnh bao gm kt qu ca cc php tnh, hng s c nh ngha trong chng trnh, b m truyn thng... Vng i tng: Timer, b m, b m tc cao v cc cng vo/ra tng t c t trong vng nh cui cng. Vng ny khng thuc kiu non-valatile nhng c/ghi c. II.2.2.2. Vng nh d liu v i tng: Vng nh d liu l vng nh ng, n c th truy cp theo tng bit, byte,t n (worrd), t kp (double worrd) v cng c th truy nhp c vi mng d liu. c
22

s dng lm min lu tr d liu cho cc thut ton, cc hm truyn thng, lp bng, cc hm dch chuyn, xoay vng thanh ghi, con tr a ch... Vng i tng c s dng lu gi d liu cho cc i tng lp rtnh nh cc gi tr tc thi, gi tr t trc ca b m hay Timer. D liu kiu i tng bao gm cc thanh ghi ca counter, b m, cc b m tc cao, b m vo/ra tng t v cc thanh ghi AC (Accumulator). Vng nh d liu v i tng c chia ra nhiu min nh nh vi nhng ng dng khc nhau. Chng c k hiu bng ch ci u ca tn ting Anh. Thng s, chc nng, gii hn ca cc vng nh tng ng vi tng CPU c m t qua cc bng sau:

Bng 2.2 Thng s, chc nng v gii hn vng nh cc h PLC S7-200 a ch truy nhp c quy c vi cng thc: Truy nhp theo bit: - Vit: tn min (+) a ch byte (+). (+) ch s bit (t 07). - c: ngc li, v d: V12.7_bit 7 ca byte 12 trong vng nh V. Truy nhp theo byte: - Vit: tn min (+) B (+) a ch ca byte trong min. - c: ngc li, v d: VB32_byte 32 trong vng nh V. Truy nhp theo Word (t): - Vit: tn min (+) W (+) a ch byte cao ca t trong min. - c: ngc li, v d: VW180_Word 180 trong vng nh V, t ny gm c 2 byte 180 v 181
23

Truy nhp theo double Word (t kp): - Vit: tn min (+) D (+) a ch byte cao ca t cao trong min. - c: ngc li, v d: VD8_double Word 8 trong vng nh V, t kp ny bao gm 4 byte 8, 9, 10, 11 Tt c cc byte thuc vng d liu c th truy nhp bng con tr. Con tr quy nh trong vng nh V, L hoc cc thanh ghi AC1, AC2, AC3. Mi con tr gm 4 byte, dng lnh MOVD. Quy c s dng con tr truy nhp nh sau: &a ch byte (cao) l ton hng ly a ch ca byte, t hoc t kp m con tr ang ch vo. V d: AC1=&VB10, thanh ghi AC1 cha i ch ca byte 10 thuc vng nh V. VD100=&VW110, t kp VD100 cha a ch byte cao (VB110) ca t n VW110. AC2=&VD150, thanh ghi AC2 cha a ch ca byte cao (VB150) ca t kp VD150. *con tr l ton hng ly ni dung ca byte, t hoc t kp m con tr ang ch vo. V d nh i php gn a ch trn th: *AC1=VB10, ly ni dung ca byte VB10. *VD100=VW110, ly ni dung ca t n VW110. Php gn a ch v s dng con tr nh trn cng c tc dng vi nhng thanh ghi 16 bit ca Timer, b m thuc vng i tng hay cc vng nh I, Q, V, M, AI, AQ, SM II.2.2.3 M rng cng vo ra S module m rng tu thuc vo tng loi CPU, s module tng ng vi tng loi CPU c trnh by theo bng 2.3. Cch mc ni cc module m rng c mc ni tip (theo mt mc xch) v pha bn phi ca module CPU. Cc module s hoc tng t u chim ch trn b m 100 vo/ra tng ng vi u vo/ra ca module

24

Bng 2.3 Quy tc nh a ch cho cc module m rng PLC S7-200 Chng 3. Ngn ng lp trnh cho PLC S7-200 III.1 Phng php lp trnh S7-200 biu din mt mch logic cng bng mt dy cc lnh lp trnh. Chng trnh bao gm mt dy cc tp lnh. S7-200 thc hin chng trnh bt u t lnh lp trnh u tin v kt thc lp trnh cui trong mt vng qut (scan). Mt vng qut c bt u bng mt vic c trng thi ca u vo, v sau thc hin chng trnh. Vng qut kt thc bng vic thay i trng thi u ra. Trc khi bt u mt vng qut tip theo S7-200 thc thi cc nhim v bn trong v nhim v truyn thng. Chu trnh thc hin chng trnh l chu trnh lp. Cch lp trnh cho S7-200 ni ring v cho cc PLC ni chung da trn hai phng php c bn. Phng php hnh thang (Ladder, vit tt l LAD) v phng php lit k lnh (Statement list, vit tt l STL). Nu c mt chng trnh vit di dng LAD, thit b lp trnh s t ng to ra mt chng trnh theo dng STL tng ng. Ngc li khng phi mi chng trnh vit di dng STL u c th chuyn sang c dng LAD. Phng php hnh thang (LAD): LAD l mt ngn ng lp trnh bng ha, nhng thnh phn c bn dng trong LAD tng ng vi cc thnh phn ca bng iu khin bng r le. Trong chng trnh LAD, cc phn t c bn dng biu din lnh logic nh sau: Tip im: Tip im thng m Tip im thng ng

Cun dy (coil): L biu tng in cung cp cho r le.

( ) m t r le c mc theo chiu dng

Hp (Box): L biu tng m t cc hm khc nhau, n lm vic khi c dng in chy n hp. Nhng dng hm thng c biu din bng hp l cc b thi gian (Timer), b m (counter) v cc hm ton hc. Cun dy v cc hp phi mc ng chiu dng in.

25

Mng LAD: L ng ni cc phn t thnh mt mch hon thin, i t ng ngun bn tri sang ng ngun bn phi.. Dng in chy t tri qua tip im n ng cc cun dy hoc cc hp tr v bn phi ngun. Phng php lit k lnh (STL): L phng php th hin chng trnh di dng tp hp cc cu lnh. Mi cu lnh trong chng trnh, k c nhng lnh hnh thc biu din mt chc nng ca PLC. Cc ton hng v gii hn cho php ca CPU 2xx: Phng php truy nhp Gii hn cho php ca cc ton hng Truy nhp bit (a ch byte, ch s V (0.0 4095.7) bit) I (0.0 7.7) Q (0.0 7.7) M (0.0 31.7) SM (0.0 85.7) T (0 127) C (0 127) Truy nhp bit VB (0 4.095) IB (0 7) MB (0 31). SMB (0 85) AC (0 3) Hng s Truy nhp t n VW (0 4094) T (0 127) C (0 127) IW (0 6) QW (0 6) MW (0 30) SMW (0 84) AC (0 3) AIW (0 30) AQW (0 30) Hng s Truy nhp t kp VD (0 4092) ID (0 4) QD (0 4) MD (0 28)
26

Phng php truy nhp

Gii hn cho php ca cc ton hng SMD (0 82) AC (0 3) HC (0 2) Hng s.

III.1.1 Mt s lnh c bn dng trong lp trnh III.1.1.1 Cc lnh vo ra Load (LD): Lnh LD np gi tr logic ca mt tip im vo trong bt u tin ca ngn xp (xem hnh a), cc gi tr c cn li trong ngn xp b y li xung mt bt. Load Not (LDN): Lnh LDN np gi tr logic nghch o ca mt tip im vo trong bt u tin ca ngn xp (xem hnh b), cc gi tr cn li trong ngn xp b y li xung mt bt. Cc dng khc nhau ca lnh LD, LDN cho LAD nh sau: LAD LD n LDN n M t Ton hng Tip im thng m n: I, Q, M, SM, T, C, V s c ng nu n = 1. (bit)

Tip im thng ng s m khi n = 1. LDI n Tip im thng m n: I s ng tc thi khi n = 1 LDNI n Tip im thng ng s m tc thi khi n = 1 Cc dng khc nhau ca lnh LD, LDN cho STL nh sau: Lnh LD n M t Ton hng Lnh np gi tr logic n (bt): I, Q, M, SM, T, ca im n vo bt u C, V tin trong ngn xp. LDN n Lnh np gi tr logic nghch o ca im n vo bt u tin trong ngn xp.

27

LDI n

LDNI n

Lnh np tc thi gi tr logic ca im n vo bt u tin trong ngn xp. Lnh np tc thi gi tr logic nghch o ca im n vo bt u tin trong ngn xp.

n: I

OUTPUT (=) Lnh sao chp ni dung ca bt u tin trong ngn xp vo bt c ch nh trong lnh. Ni dung cua ngn xp khng b thay i. M t lnh bng LAD nh sau: LAD M t Ton hng Cun dy u ra trng thi n: I, Q, M, SM, T, C, V (bt) kch thch khi c dng iu khin i qua. Cun dy u ra c kch n: Q (bt) thch tc thi khi c dng iu khin i qua. M t bng lnh STL nh sau: STL = n M t Ton hng Lnh = sao chp gi tr n: I, Q, M, SM, T, C, V (bt) ca nh ngn xp ti tip im n c ch dn trong lnh. Lnh = I (immediate) sao n: Q (bt) = In chp tc thi gi tr ca nh stack ti tip im n c ch dn trong lnh. III.1.1.2 Cc lnh ghi/ xa gi tr cho tip im SET (S) v RESET (R): Lnh dng ng (SET) v ngt (RESET) cc im gin on c thit k. Trong LAD, logic iu khin dng in ng hoc ngt cc cuc dy u ra. Khi dng
28

iu khin n cc cuc dy th cc cun dy ng hoc m cc tip im (hoc mt dy cc tip im). Trong STL, lnh truyn trng thi bt u ca ngn xp n cc im thit k. Nu bt ny c gi tr =1, cc lnh S v R s ng ngt tip im hoc mt dy cc tip im (gii hn t 1 n 255). Ni dung ca ngn xp khng b thay i bi lnh ny. M t bng lnh LAD LAD M t ng mt mng gm n cc tip im k t S_BIT ng mt mng gm n cc Ton hng S BIT: I, Q, M, SM, T, C, V n(byte): IB, QB, MB,

tip im k t S BIT. Nu S SMB, VB,AC, Hng s, BIT li ch vo Timer hoc *VD, *AC Counter th lnh s xa bt u ra ca Timer/ Counter . ng tc thi mt mng gm n cc tip im k t S BIT N(byte): IB, QB, MB, Ngt tc thi mt mng SMB, VB,AC, Hng s, gm n cc tip im k t a *VD, *AC ch S BIT STL S S_bit, n M t Ghi gi tr logic vo mt BIT Xa mt mng gm n bt k t a ch S BIT. Nu S BIT li ch vo Timer hoc Counter th lnh s xa bt u ra ca SI S_bit, n Timer / Counter. Ghi tc thi gi tr logic 1 vo mt mng gm n bt k t a ch S BIT
29

S BIT: Q

Ton hng S BIT: I, Q, M, SM, (bit) n: IB, QB, MB, SMB, VB (byte) AC, Hng s, *VD, *AC S BIT: Q (bit)

mng gm n bt k t a ch S T, C, V R S_bit, n

RI

S_bit, n n

Xa tc thi mt mng gm n bt k t a ch BIT

n: IB, QB, MB, SMB, (byte) AC, Hng s, *VD, *AC

S VB (byte)

Hnh 3.1 V d v cc lnh c bn Out, Set v Reset III.1.1.3 Cc lnh logic i s (BOOLEAN) AND (A) v OR (O) Cc lnh tip im i s Boolean cho php to lp c cc mch logic (khng c nh). Trong LAD cc lnh ny c biu din thng qua cu trc mch, mc ni tip hay song song cc tip im thng ng v cc tip im thng m. STL c th s dng cc lnh A (And) v O (Or) cho cc hm h hoc cc lnh AN (And Not), ON (Or Not) cho cc hm kn. Gi tr ca ngn xp thay i ph thuc vo tng lnh. Lnh A n O n M t Lnh thc hin ton t (A) v (O) gia gi tr logic ca tip im n v V gi tr bt u tin trong ngn xp. Kt qu c ghi li bt u trong ngn xp.
30

Ton hng n: I, Q, M, SM, T, C, (bit)

Lnh AN n ON n

M t Lnh thc hin ton t ^ (A) v V (O) gia gi tr logic nghch o ca tip im n v gi tr bt u tin trong ngn xp. Kt qu c ghi li bt u trong ngn xp. Lnh thc hin tc thi ton t ^ n: 1 (bit)

Ton hng

AI n OI n

(A) v V (O) gia gi tr logic ca tip im n v gi tr bt u tin trong ngn xp. Kt qu c ghi li bt u trong ngn xp. Lnh thc hin tc thi ton t ^

ANI n ONI n

(A) v V (O) gia gi tr logic nghch o ca tip im n v gi tr bt u tin trong ngn xp. Kt qu

c ghi li bt u trong ngn xp. Lnh A v O phi hp gi tr logic ca mt tip im n vi gi tr bt u tin ca ngn xp. Kt qu php tnh c t li vo bt u tin trong ngn xp. Gi tr ca cc bt cn li trong ngn xp khng b thay i. Lut tnh ton ca cc php tnh logic And v Or nh sau: x 0 0 1 1 ALD, OLD, LPS, LRD, LPP y 0 1 0 1 And 0 0 0 1 Or 0 1 1 1

Ngoi nhng lnh lm vic trc tip vi tip im, S7-200 cn c 5 lnh c bit biu din cc php tnh ca i s Boolean cho cc bit trong ngn xp, c gi l cc lnh stack logic. l cc lnh ALD (And load), OLD (Or load), LPS (Logic push), LRD (Logic read) v LPP (Logic pop). Lnh stack logic c dng t hp, sao chp hoc xa cc mnh logic. LAD khng c b m dnh cho lnh stack logic. STL s dng cc lnh stack logic thc hin phng trnh tng th c nhiu biu thc con. Bng sao tm tt c php gi cc lnh stack logic trong STL.
31

Lnh ALD

M t Lnh t hp gi tr ca bt u tin v th hai ca ngn xp bng php tnh logic. Kt qu ghi li vo bt u tin. Gi tr cn li ca ngn xp c ko ln mt bt. Lnh t hp gi tr ca bt u tin v th hai ca ngn xp bng php tnh logic V. Kt qu ghi li vo bt u. Gi tr cn li ca ngn xp c ko ln mt bt. Lnh logic Push (LPS) sao chp gi tr ca bt u tin vo bt th hai trong ngn xp. Gi tr cn li b y xung mt bt. Bt cui cng b y ra khi ngn xp. Lnh sao chp gi tr ca bt th hai vo bt u tin trong ngn xp. Cc gi tr cn li ca ngn xp gi nguyn v tr. Lnh ko ngn xp ln mt bt. Gi tr ca bt sau c chuyn cho bt trc.

Ton hng Khng c

OLD

Khng c

LPS

Khng c

LRD

Khng c

LPP

Khng c

Lnh ALD v lnh OLD thc hin php tnh logic And v Or gia hai bt u tin ca ngn xp. Kt qu ca php logic ny s c ghi li vo bt u trong ngn xp. Ni dung cn li ca ngn xp c ko ln mt bt. LOGIC PUSH (LPS) LOGIC READ (LRD) LOGIC POP (LPP) Lnh LPS, LRD va LPP l nhng lnh thay i ni dung bt u tin ca ngn xp. Lnh LPS sao chp ni dung ca bt u tin v bt th hai trong ngn xp, ni dung ngn xp sau o b y xung mt bt. Lnh LRD ly gi tr ca bt th hai ghi vo bt u tin ca ngn xp, ni dung ngn xp c ko ln mt bt. Lnh LPP ko ngn xp ln mt bt. ORW, ORD, ANDW, ANDD, XORW, XORD Lnh thc hin cc thut ton logic And, Or v Exclusive Or ca i s Boolean trn 2 bit hoc 4 byte. S7-200 cung cp thm nhng lnh logic c kh nng thc hin
32

cc thut ton logic trn mt mng nhiu tip im (hay nhiu bt) nh trn 2 byte hoc 4 byte. Lut tnh ton ca chng nh sau: x y x And y x Or y x XOR y 0 0 0 0 0 0 1 0 1 1 1 0 0 1 1 1 1 1 1 0 Cch biu din cc lnh logic ny trong LAD v STL c tm tt trong bng sau. Chng s dng bt nh c bit SM 1.0 thng bo v trng thi kt qu php tnh c thc hin (kt qu bng 0). Biu din trong STL STL ANDW IN2 M t Lnh thc hin php logic hai t IN1 v IN2. Kt qu c Ton hng IN1: VW, T, C, (word) SMW,

IN1 AND gia cc bt tng ng ca IW, QW. ghi li vo IN2 AC, AIW, *VD Lnh thc hin php logic OR *AC, Hng s. gia cc bt tng ng ca hai t IN1 v IN2. Kt qu c ghi li IN2: VW, T, C, vo IN2 IW, QW Lnh thc hin php logic (word) W, CA, XOR gia cc bt tng ng ca AIW, *VD, *AC hai t IN1 v IN2. Kt qu c ghi li vo IN2 Lnh thc hin php logic IN1: VD, ID, AC,

ORW

IN1 IN2

XORW IN1 IN2

ANDD IN1

IN2

AND gia cc bt tng ng ca QD, MD, SMQ. hai t kp IN1 v IN2. Kt qu (Dword) c ghi li vo IN2 HC, *CD,*AC Lnh thc hin php logic OR Hng s. gia cc bt tng ng ca hai t kp IN1 v IN2. Kt qu c ghi li vo IN2

ORD IN1

IN2

33

STL XORD IN1

M t Lnh thc hin php logic hai t kp IN1 v IN2. Kt qu c ghi li vo IN2

Ton hng IN2: VD, ID, (Dword)AC, *VD, *AC

IN2 XOR gia cc bt tng ng ca QD, MD, SMD

Biu din trong LAD vi cc kiu d liu LAD M t Lnh thc hin php Ton hng IN1: VW, T, C, QW (word)

tnh logic AND theo IW, Kt qu c ghi vo

tng bt ca IN1 v IN2. SMW, AC, AIW, VD *AC, Hng s. OUT. IN2: VW, T, C, Lnh thc hin php IW, QW, (word) tnh logic OR gia cc SMW, AC, AIW, bt tng ng ca hai t *VD, *AC, Hng s. IN1 v IN2. Kt qu OUT: VW, T, C, c ghi vo t OUT. IW, QW, MW, (word) AC, *VD, Lnh thc hin php SMW, tnh logic XOR gia cc *AC bt ca IN1 v IN2. Kt qu c ghi vo OUT.

III.1.1.4 Cc lnh tip im c bit C th dng cc lnh tip im c bit pht hin s chuyn tip trng thi ca xung (sn xung) v o li trng thi ca dng cung cp (gi tr ca nh ngn xp). LAD s dng cc tip im c bit tc ng vo dng cung cp. Cc tip im c bit khng c ton hng ring ca chnh chng v v th phi t chng vo v tr pha trc ca cun dy hoc hp u ra. Tip im chuyn tip dng/m (cc lnh sn trc v sn sau) c nhu cu v b nh, nn i vi CPU 214 l 256 lnh. Biu din trong ngn ng LAD

34

LAD

M t Tip im o trng thi ca dng cung cp. Nu dng cung cp c tip im o th n b ngt mch, nu khng c tip im o th n thng mch. Tip im chuyn i dng cho php dng cung cp thng mch trong mt vng qut khi sn xung iu khin chuyn t 0 ln 1 Tip im chuyn i m cho php dng cung cp thng mch trong mt vng qut khi sn xung iu khin chuyn t 1 xung 0.

Ton hng Khng c

Khng c

Khng c

Biu din trong ngn ng STL STL NOT EU M t Lnh o gi tr ca bt u tin trong ngn xp. Lnh nhn bit s chuyn tip trng thi t 0 ln 1 trong mt vng qut ca nh ngn xp. Khi nhn c s chuyn tip nh vy nh ngn xp s c gi tr bng 1 trong mt vng ED qut. Lnh nhn bit s chuyn tip trng thi t 1 xung 0 trong mt vng qut ca nh ngn xp. Khi nhn c s chuyn tip nh vy nh ngn xp s c gi tr bng 1 trong mt vng qut. NOT (NOT) EDGE UP (EU) EDGE DOWN (ED) Lnh NOT, EU va ED thc hin cc thut ton c bit trn bt u tin ca ngn xp. Lnh NOT o gi tr ca bt u tin trong ngn xp. Lnh EU khi pht hin thy sn ln t 0 n 1 trong bt u tin ca ngn xp th t gi tr 1 vo bt u tin ca ngn xp trong khong thi gian bng mt vng qut.
35

Ton hng Khng c Khng c

Khng c

Bng 3.2 V d v cc lnh And, Not v Edge Down III.1.1.5 Cc lnh so snh Khi lp trnh, nu c cc quyt nh v iu khin c thc hin da trn kt qu ca vic so snh th c th s dng lnh so snh cho byte, t hay t kp cua S7200. Khi so snh gi tr ca byte th khng cn phaii y n du cua ton hng. Ngc li khi so snh cc t hoc t kp vi nhau th phi n du ca ton hng, ngc li khi so snh cc t hoc t kp vi nhau th phi n du ca ton hng l bt cao nht trong t hoc t kp. Biu din cc lnh so snh trong LAD (v d vi cc kiu d liu khc nhau): LAD M t Tip im ng khi n1 = n2 Tip im ng khi n1 > n2 Ton hng n1, n2: VB, IB, QB, MB, SMB, AC, Const, *VD*, AC n1, n2 (t): VW, T, C, IW, QW, MW,

36

LAD

M t Tip im ng khi n1 < n2

Ton hng SMW,AC, AIW, Hng s, *VD, *AC n1, n2(t kp):VD, ID, QD, MD, SMD, AC, HC, Hng s, *VD, *AC

Trong STL, nhng lnh so snh thc hin php so snh byte, t v t kp. Cn c vo kiu so snh (<=, =, >=), kt qu ca php so snh c gi tr bng 0 (nu ng) hoc 1 (nu sai) nn c th s dng kt hp cng vi cc lnh logic A, O, NOT to ra c cc php so snh m S7-200 khng c lnh so snh tng ng nh: so snh khng bng nhau (< >), so snh nh hn (>), c th to ra c nh dng kt hp lnh NOT vi cc lnh c (=, >=, <=). V d sau m t vic thc hin php so snh khng bng nhau (< >) gia ni dung ca t V>W100 v hng s 50 bng cch s dng kt hp php so snh bng nhau LDW = v lnh o NOT. LDB =, LDW = LDD =, LDR = LDB < =, LDW < = LDD < =, LDR < = LDB > =, LDW > = LDD > =, LDR > = AB =, AW = AD =, AR = AB < =, AW < = AD < =, AR < = Biu din lnh so snh trong STL: STL LDB = n1 n2 AB = n1 n2 OB = n1 n2 M t Lnh thc hin php tnh logic Load, Ton hng

And hoc Or gia gi tr logic 1 vi n1, n2 (byte):VB, ni dung nh ngn xp khi ni dung IB, QB, MB, 2 byte n1 v n2 tha mn n1 = n2
37

SMB, AC, hng

STL LDB > = n1 n2 AB > = n1 n2 OB > = n1 n2 LDB < = n1 n2 AB < = n1 n2 OB < = n1 n2 LDW = n1 n2 AW = n1 n2 OW = n1 n2

M t Ton hng Lnh thc hin php tnh logic Load, s, *VD, *AC And hoc Or gia gi tr logic 1 vi ni dung nh ngn xp khi ni dung 2 byte n1 v n2 tha mn n1 > = n2 Lnh thc hin php tnh logic Load, And hoc Or gia gi tr logic 1 vi ni dung nh ngn xp khi ni dung 2 byte n1 v n2 tha mn n1 < = n2 Lnh thc hin php tnh logic Load, And hoc Or gia gi tr logic n1, n2 1 vi ni dung nh ngn xp khi ni (t):VW, T, C,

dung 2 t n1 v n2 tha mn n1 = n2 QW, MW, LDW > = n1 n2 Lnh thc hin php tnh logic Load, SMW, AC, AW > = n1 n2 And hoc Or gia gi tr logic 1 vi AIW, hng s, OW > = n1 n2 ni dung nh ngn xp khi ni dung *VD, *AC 2 t n1 v n2 tha mn n1 > = n2 LDW < = n1 n2 Lnh thc hin php tnh logic Load, AW < = n1 n2 And hoc Or gia gi tr logic 1 vi OW < = n1 n2 ni dung nh ngn xp khi ni dung LDD = n1 n2 AD = n1 n2 OD = n1 n2 2 t n1 v n2 tha mn n1 < = n2 Lnh thc hin php tnh logic Load, And hoc Or gia gi tr logic 1 vi n1, n2 (t kp) ni dung nh ngn xp khi ni dung :VD, ID, QD,

2 t kp n1 v n2 tha mn n1 = n2 MD, SMD, AC, LDD > = n1 n2 Lnh thc hin php tnh logic Load, HC, hng s, AD > = n1 n2 And hoc Or gia gi tr logic 1 vi *VD, *AC OD > = n1 n2 ni dung nh ngn xp khi ni dung LDD < = n1 n2 2 t kp n1 v n2 tha mn n1 > = n2 Lnh thc hin php tnh logic Load,

AD < = n1 n2 And hoc Or gia gi tr logic 1 vi OD < = n1 n2 ni dung nh ngn xp khi ni dung LDR = n1 n2 2 t kp n1 v n2 tha mn n1 < = n2 Lnh thc hin php tnh logic Load,
38

AR OR

STL = n1 n2 = n1 n2

M t And, Or gia gi tr logic 1 vi ni

Ton hng n1, n2 (t ID,

dung nh ngn xp nu hai s thc kp):VD,

n1 v n2 (4 byte) tha mn n1 = n2 QD, MD, SMD, LDR > = n1 n2 Lnh thc hin php tnh logic Load, AC, HC, hng AR > = n1 n2 And, Or gia gi tr logic 1 vi ni s, *VD, *AC OR > = n1 n2 dung nh ngn xp nu hai s thc LDR < = n1 n2 AR OR n1 v n2 (4 byte ) tha mn n1 > = n2 Lnh thc hin php tnh logic Load,

< = n1 n2 And, Or gia gi tr logic 1 vi ni < = n1 n2 dung nh ngn xp nu hai s thc n1 v n2 (4 byte) tha mn n1 < = n2

Hnh 3.3 V d v s dng lnh so snh III.1.2 Lnh nhy chng trnh con v can thip vng qut III.1.2.1 Lnh nhy chng trnh con Cc lnh ca chng trnh, nu khng c nhng lnh iu khin ring, s c thc hin theo th t t trn xung di trong mt vng qut. Lnh iu khin chng trnh cho php thay i th t thc hin lnh. Chng cho php chuyn th t thc hin,

39

ng l ra l lnh tip theo, ti mt lnh bt c no khc ca chng trnh, trong ni iu khin chuyn n phi c nh du trc bng mt nhn, ch, ch. Thuc nhm lnh iu khin chng trnh gm: lnh nhy, lnh gi chng trnh con, nhn ch ch, hay gi n gin l nhn, phi c nh du trc khi thc hin lnh nhy hay lnh gi chng trnh con. Vic t nhn cho lnh nhy phi nm trong chng trnh. Nhn ca chng trnh con, hoc ca chng trnh x l ngt c khai bo u chng trnh. Khng th dng lnh nhy JMP chuyn iu khin t chng trnh chnh vo mt nhn bt k trong chng trnh con hoc trong chng trnh x l ngt. Tng t nh vy cng khng th t mt chng trnh con hay chng trnh x l ngt nhy vo bt c mt nhn no nm ngoi cc chng trnh . Lnh gi chng trnh con l lnh chuyn iu khin n chng trnh con. Khi chng trnh con thc hin xong cc php tnh ca mnh th vic iu khin li c chuyn tr v lnh tip theo trong chng trnh chnh nm ngay sau lnh gi chng trnh con. T mt chng trnh con c th gi c mt chng trnh con khc trong n, c th gi nh vy nhiu nht l 8 ln trong S7-200. Ni chung (trong mt chng trnh con c lnh gi n chnh n) v nguyn tc khng b cm song phi n gii hn trn. Nu lnh nhy hay lnh gi chng trnh con c thc hin th nh ngn xp lun c gi tr logic 1. Bi vy trong chng trnh con cc lnh c iu kin c thc hin nh cc lnh khng iu kin. Sau cc lnh LBL (t nhn) v SBR, lnh LD trong STL s b v hiu ha. Khi mt chng trnh con c gi, ton b ni dung ca ngn xp s c ct i, nh ca ngn xp nhn gi tr logic mi l 1, cc bt khc ca ngn xp nhn gi tr logic 0 v iu khin c chuyn n chng trnh con c gi. Khi thc hin xong chng trnh con v trc khi iu khin c chuyn tr li chng trnh gi n, ni dung ngn xp c ct gi trc s c chuyn tr li ngn xp. Ni dung ca thanh ghi AC khng c ct gi khi gi chng trnh con, nhng khi mt chng trnh x l ngt c gi, ni dung ca thanh ghi AC s c ct gi trc khi thc hin chng trnh x l ngt v np li khi chng trnh x l ngt

40

c thc hin xong. Bi vy chng trnh x l ngt c th t do s dng bn thanh ghi AC ca S7-200. JMP, LBL, SBR, RET Lnh nhy JMP v lnh gi chng trnh con SBR cho php chuyn iu khin t v tr ny n v tr khc trong chng trnh. C php ca lnh nhy v lnh gi chng trnh con trong LAD v STL u c ton hng l nhn ch ch (ni nhy n, ni cha chng trnh con). Lnh nhy, lnh gi chng trnh con, lnh khai bo nhn v lnh thot khi chng trnh con c biu din trong LAD v trong STL nh sau: LAD STL JMP n M t Lnh nhy thc hin vic chuyn iu khin n nhn n trong mt LBL n SBR n chng trnh. Lnh khai bo nhn n trong mt chng trnh. Lnh gn nhn n cho mt chng trnh con. RET Lnh tr v chng trnh gi chng trnh con khng iu kin. III.1.2.2 Cc lnh can thip vo thi gian vng qut Cc lnh ny c dng kt thc chng trnh ang thc hin, v ko di trong khong thi ca mt vng qut. Trong LAD v STL chng trnh chnh phi c kt bng lnh kt thc khng iu kin MEND. C th s dng lnh kt thc c iu kin END trc lnh kt thc khng iu kin. Lnh STOP kt thc chng trnh, n chuyn iu khin chng trnh n ch STOP. Nu gp lnh STOP trong chng trnh chnh hoc trong chng trnh con th chng trnh ang thc hin s c kt thc ngay lp tc.
41

Ton hng n: 0 255

Khng c

Lnh WDR s khi ng li ng h quan st (watchdog Timer) v chng trnh tip tc c thc hin trong vng qut ch quan st. S dng lnh END, STOP v WDR trong LAD v STL nh sau: LAD STL END STOP WDR M t Lnh kt thc chng trnh chnh hin hnh c iu kin. Lnh STOP kt thc chng trnh hin hnh v chuyn sang ch STOP. Lnh WDR khi to li ng h quan st.

42

III.2 Cc lnh iu khin Timer III.2.1 nh ngha Timer l b to thi gian tr gia tn hiu vo v tn hiu ra nn trong iu khin vn thng gi l khu tr. Nu k hiu tn hiu vo l x(t) v thi gian tr c to ra bng Timer l r th tn hiu u ra ca Timer s l x (t-r). S7-200 ty thuc vo tng loi CPU m c s lng Timer khc nhau. Thng thng, c 3 loi Timer: * Timer to thi gian tr khng c nh theo sn, k hiu l TON. * Timer to thi gian tr theo sn xung, k hiu l TOF. * Timer to thi gian tr c nh, k hiu l TONR. Ba kiu Timer ca S7-200 (TON, TOF v TONR) phn bit vi nhau phn ng ca n i vi trng thi tn hiu u vo, tc l khi tn hiu u vo chuyn trng thi logic t 0 ln 1, c gi l thi im Timer c kch, v khng tnh khong thi gian khi u vo c gi tr logic 0 vo thi gian tr tn hiu c t trc. Timer TON, TOF v TONR bao gm 3 loi vi 3 phn gii khc nhau, phn gii 1ms, 10 ms, 100 ms. Thi gian tr r c to ra chnh l tch ca phn gii ca b Timer c chn v gi tr t trc cho Timer. Thi gian tr = PT x phn giai VD: Cn thi gian tr la 10s Dung T37 phn giai 100ms 10s/100ms=100 -> PT Dung T33 phn giai 10ms -> PT=1000 Timer ca S7-200 c nhng tnh cht c bn sau: Cc b Timer c iu khin bi mt cng vo v gi tr m tc thi. Gi tr m tc thi ca Timer c nh trong thanh ghi 2 byte (gi l T-word) ca Timer, xc nh khong thi gian tr k t khi Timer c kch. Gi tr t trc ca cc b Timer c k hiu trong LAD v STL l PT. Gi tr m tc thi ca thanh ghi Tword thng xuyn c so snh vi gi tr t trc ca Timer.

43

Mi b Timer, ngoi thanh ghi 02 byte T-word lu gi tr m tc thi, cn c 1 bt, k hiu bng T-bt, ch trnh thi logic u ra. Gi tr logic ca bt ny ph thuc vo kt qu so snh gia gi tr m tc thi vi gi tr t trc. i vi Timer kiu TON v TONR, trong khong thi gian tn hiu x (t) c gi tr logic 1, gi tr m tc thi trong T-word lun c cp nht v thay i tng dn cho n khi n t gi tr cc i. Khi gi tr m tc thi ln hn hoc bng gi tr t trc, T-bt c gi tr logic 1. i vi Timer kiu TOF, khi u vo chuyn mc logic ln 1, gi tr t s c t v 0, khi u vo chuyn mc logic 0, gi tr ca T-word s m n PT. Khi u vo chuyn t mc logic 0 ln mc logic 1 th T-bit s c set ln 1, khi u vo chuyn t 1 xung 0, gi tr T-bit =1 v n s tr v 0 khi gi tr T-word=PT. Lu , khng c s dng cng mt lc hai loi Timer kiu TON v TOF cho mt a ch. Cc loi Timer ca S7-200 (v d i vi CPU 214) chia theo TON, TOF, TONR v phn gii bao gm: Lnh TON TOF TON R phn gii 1 ms 10 ms 100 ms 1 ms 10 ms 100 ms Gi tr cc i 32,767s 327,67s 3276,7s 32,767s 327,67s 3276,7s CPU 214 T32T96 T33T36; T97 T100 T37T63; T101 T127 T0 T64 T1T4; T65T68 T5T31; T69T95

III.2.2 Khai bo v s dng C php khai bo s dng Timer trong LAD nh sau: LAD M t Khai bo Timer s hiu xx Ton hng

kiu TON to thi gian tr PT: VW, T, C, IW, tnh t khi u vo IN c kch. QW, AIW, SMW, Nu T-word ln hn hoc bng AC, AIW, VD PT th T-bt c gi tr logic bng 1. C th reset Timer kiu TON bng lnh R hoc bng gi tr
44

*AC, Hng s.

logic 0 ti u vo IN Khai bo Timer s hiu zz kiu TONR to thi gian tr tnh t khi u vo IN c kch. Nu T-word ln hn hoc bng PT th T-bt c gi tr logic bng 1. Ch c th reset kiu TONR bng lnh R cho T-bt Khai bo Timer s hiu yy kiu TOF to tr tnh t khi u vo IN chuyn t 1 xung 0. Reset kiu TOF bng lnh R. C php khai bo s dng Timer trong STL nh sau: TON, TONR khai bo s dng Timer ca S7-200, lnh khai bo s dng Timer l lnh c iu kin. Ti thi im khai bo tn hiu u vo c gi tr logic bng gi tr logic ca bt u tin trong ngn xp. STL M t Khai bo Timer s hiu xx kiu bt u tin trong ngn xp c gi tr logic 1. Nu nh gi tr m tc thi ln hn hoc bng gi tr t trc n th T-bt c gi tr logic bng 1. C th reset Timer kiu TON bng lnh R hoc bng gi tr logic 0 ti u vo. Khai bo Timer s hiu zz kiu TONR n Tzz TONR to thi gian tr tnh t khi bt u tin trong ngn xp c gi tr logic 1. Nu nh gi tr m tc thi ln hn hoc bng gi tr t trc n th T-bt c gi tr logic bng 1. Ch c th reset Timer kiu
45

Ton hng n (word) :VW, T, C, IW,QW, AIW, SMW, AC, AIW, VD *AC, Hng s

TON Txx n TON to thi gian tr tnh t khi

TOF Tyy n

TONR bng lnh R cho T-bt Khai bo Timer s hiu yy kiu TOF to thi gian tr tnh t khi bt u tin trong ngn xp c gi tr logic t 1 xung 0

Ch : Khi s dng Timer kiu TONR, gi tr m tc thi c lu li v khng b thay i trong khong thi gian khi tn hiu u vo c logic 0. Gi tr ca T-bt khng c nh m hon ton ph thuc vo kt qu so snh gia gi tr m tc thi v gi tr t trc. Cc Timer c nh s t 0 n 127 (i vi CPU 21x) hoc 255 (CPU 22x). Mt Timer c t tn l Txx, trong xx l s hiu ca Timer. Txx ng thi cng l a ch hnh thc ca T-word v T-bt vn c phn bit vi nhau nh kiu lnh s dng vi Txx. Khi dng lnh lm vic vi t, Txx c hiu l a ch ca T-word, ngc li khi s dng lnh lm vic vi tip im, Txx c hiu l a ch ca T-bt. Mt Timer ang lm vic c th c a li v trng thi khi ng ban u. Cng vic a mt Timer v trng thi ban u c gi l reset Timer . Khi reset mt b Timer, T-word v T-bt ca n ng thi c xa v c gi tr bng 0, nh vy gi tr m tc thi c t v 0 v tn hiu u ra cng c trng thi logic bng 0. C th reset bt c b Timer ca S7-200 bng lnh R (reset). iu ni rng khi dng lnh R cho T-bt ca mt Timer, Timer s c a v trng thi ban u v lnh R cho mt Txx va xa T-word va xa T-bt ca Timer . Lu v cch s dng cc phn gii ca Timer (xt chng trnh sau): . u tin b nh thi vi phn gii 1 ms c s dng (T32, gi tr t trc 300). Q0.0 s c gi tr bng 1 (ON) trong thi gian mt vng qut khi v ch khi no thi im cp nht ca b nh thi m gi tr m vt qua gi tr t trc ri vo ng gia lc thc hin hai lnh ny. Ngha l sau khi lnh trc c thc hin nhng phi trc khi thc hin lnh sau . Nu s dng b nh thi vi phn gii 10 ms (T33, gi tr t trc 30), Q0.0 khng bao gi c gi tr 1 (lun lun OFF)

46

. Trng hp cui cng s dng b nh thi vi phn gii 100 ms (T37, gi tr t trc bng 3). Q0.0 lun lun c gi tr bng 1 (ON) trong ng thi gian mt vng qut m bo chc chn Q0.0 s c gi tr 1 (ON) trong thi gian mt vng qut, ta phi dng cng tc thng ng Q0.0 kch hot cc b nh thi thay v dng cng tc thng ng vi bit trng thi ca n.

Hnh 3.4 V d v s dng phn gii ca Timer

47

V d v s dng Timer TON:

Hnh 3.5 V d v s dng Timer kiu TON Khi ng vo I0.0 =1 Timer T37 c kch , Nu sau 10x100ms =1s I0.0 vn gi trng thi th Bit T37 s ln 1 ( Khi Q0.0 ln 1). Nu I0.0 =1 khng thi gian 1S th bit T37 s khng ln 1 TOF:

Hnh 3.6 V d v s dng Timer kiu TOF Khi Ng vo I0.0 = 1 th bit T33 ln 1 (Ng ra Q0.0 ln 1). Khi I0.0 xung 0,thi gian Timer bt u tnh , thi gian 1s = 100x10ms th bit T33 s tt (Q0.0 tt). Nu I0.0 xung 0 trong khong thi gian cha 1s ln 1 li th bit T33 vn gi nguyn trng thi TONR: Ng vo I0.0 c tc dng kch thi gian cho Timer, khi ng I0.0 =1 thi gian Timer c tnh,khi I0.0=0 thi gian khng b Reset v 0.Khi thi gian th Bit T1 s ln 1. Thi gian Timer ch b Reset khi c tn hiu Reset Timer ( tn hiu t ng I0.1)

48

Hnh 3.7 V d v s dng Timer kiu TONR

49

III.3 Cc lnh iu khin Counter III.3.1 nh ngha Counter l b m hin chc nng m sn xung ln trong S7-200. Cc b m ca S7-200 c chia ra lm 3 loi: b m tin (CTU), m li (CTD) v b m tin/li (CTUD). B m tin CTU m s sn ln ca tn hiu logic u vo, tc l m s ln thay i trng thi logic t 0 ln 1 ca tn hiu. S sn xung m c, c ghi vo thanh ghi 2 byte ca b m, gi l thanh ghi C-word. Ni dung ca Cword, gi l gi tr m tc thi ca b m, lun c so snh vi gi tr t trc ca b m c k hiu l PV. Khi gi tr m tc thi bng hoc ln hn gi tr t trc ny th b m bo ra ngoi bng cch t gi tr logic 1 vo mt bt c bit ca n, c gi l C-bt. Trng hp gi tr m tc thi nh hn gi tr t trc th C-bt c gi tr logic l 0. Khc vi cc b Counter, cc b m CTU u c chn ni vi tn hiu iu khin xa thc hin vic t li ch khi pht ban u (reset) cho b m, c k hiu bng ch ci R trong LAD hay c qui nh l trng thi logic ca bt u tin ca ngn xp trong STL. B m c reset khi tn hiu xa ny c mc logic l 1 hoc khi lnh R (reset) c thc hin vi C-bt. Khi b m c reset, c C-word v C-bt u nhn gi tr 0. B m li CTD m s sn ln ca tn hiu logic u vo, tuy nhin khc vi m li, b m c th thc hin c th phi c tn hiu u vo gi l tn hiu kch hot (LD). Khi cha c tn hiu kch, nu c sn ln logic u vo, ti thi im C-word = 0 th b m s khng hot ng. Nu tn hiu kch hot = 1 th C-word s c np bi gi tr t, v ng vi mi sn ln u vo, gi tr C-word s b gim i mt n v, khi m ti 0, n s dng m. Trong qu trnh C-word >0, C-bit = 1. B m li khng c chn Reset. B m tin/ li CTUD m tin khi gp sn ln ca xung vo cng m, k hiu l CU trong LAD hoc bt th 3 ca ngn xp trong STL, v m li khi gp sn ca xung vo cng m li, c k hiu l CD trong LAD hoc bt th 2 ca ngn xp trong STL. Ging nh b m CTU, b m CTUD cng
50

c a v trng thi khi pht ban u bng 2 cch. Khi u vo logic ca chn xa, k hiu bng R trong LAD hoc bt th nht ca ngn xp trong STL, c gi tr logic l 1 hoc bng lnh R (reset) vi C-bt ca b m. CTUD c gi tr m tc thi ng bng gi tr ang m v c lu trong thanh ghi 2 byte C-word ca b m. Gi tr m tc thi lun c so snh vi gi tr t trc PV ca b m. Nu gi tr m tc thi ln hn bng bng gi tr t trc th C-bt c gi tr logic bng 1. Cn cc trng hp khc C-bt c gi tr logic bng 0. III.3.2 Khai bo v s dng Cc b m c nh s t 0 n 127/(256) CPU 214/(224) v k hiu bng Cxx, trong xx l s th t ca b m. K hiu Cxx ng thi cng l a ch hnh thc ca C-word v ca C-bt. Mc d dng a ch hnh thc, song C-word v C-bt vn c phn bit vi nhau nh kiu lnh s dng lm vic vi t hay vi tip im (bt). Lnh khai bo s dng b m trong LAD nh sau: LAD M t Khai bo b m tin theo sn Ton hng PV (word) :

ln ca CU. Khi gi tr m tc VW, tr 1. B m c reset khi u AC, vo R c gi tr logic bng 1. B m ngng m khi C-word t gi tr cc i 32.767. Khai bo b m theo sn ln ca CD. Khi u vo CD chuyn mc logic t 0 ln 1, gi tr Cword s gim i 1 n v (vi iu kin C-word >0). Nu u vo LD chuyn t 0 ln 1, gi tr PV s np vo C-word. m ti 0 s dng, trong khong Cword>0, C-bit =1

T, C, IW,

thi C-word>= PV, C-bit c gi QW, MW, SMW, AIW, Hng s, *VD, *AC

51

Khi bo b m tin/li, m

PV C, QW, MW, s, *VD,

tin theo sn ln ca CU v (word):VW,T, m li theo sn ln ca CD. IW, Cxx >= PV, C-bt (cxx) c gi tr Hng logic bng 1. B m ngng *AC m tin khi C-word t gi tr cc i 32.767, ngng m li khi C-word t cc tiu -32.767 CTUD reset khi u vo R c gi tr logic bng 1. Lnh khai bo s dng b m trong STL nh sau: STL M t Khai bo b m tin theo sn ln

Khi gi tr m tc thi C-word SMW, AC,A IW,

Ton hng n (word):VW, IW, QW,

ca CU. Khi gi tr m tc thi C- T, C, CTU Cxx n

word ln hn hoc bng gi tr t MW, SMW, AC, trc n, C-bt c gi tr logic bng 1. AIW, Hng s. B m c reset khi u ngn xp c gi tr logic bng 1. B m ngng m khi C-word t gi tr cc i CTD Cxx n 32.767. Khai bo b m li theo sn xung Khai bo b m tin/li, m tin theo sn ln ca CU v m li theo sn ln ca CD. Khi gi tr m tc CTUD n n (word) : VW, Cxx thi C-word, Cxx ln hn hoc bng T, C, IW, QW, gi tr t trc n, C-bt c gi tr MW, SMW, AC, logic bng 1, b m ngng m tin AIW, Hng s, khi C-word t gi tr cc i 32.767 *VD, *AC v ngng m li khi C-word t c gi tr cc tiu 32.767 CTUD reset khi bt u ca ngn xp c gi
52

*VD, *AC

Cxx: C48 C79

tr logic bng 1. V d v s dng cc b m li, m tin li: m tin CTU:

Hnh 3.8 V d v s dng b m tin M t: Mi ln c mt sn cnh ln chn CU, gi tr b m (1 Word) c tng ln 1.Khi gi tr hin ti ln hn hoc bng gi tr t PV(Preset value), ng ra s c bt ln ON. Khi chn Reset c kch (sn ln) gi tr hin ti b m v ng ra c tr v 0. B m ngng m khi gi tr b m t gi tr ti a l 32767 m li CTD:

Hnh 3.9 V d v s dng b m li M t: Khi chn LD c kch (sn ln) gi tr PV c np cho b m. Mi ln c mt sn cnh ln chn CD, gi tr b m (1 Word) c gim xung 1.Khi gi tr hin ti ca b m bng 0, ng ra s c bt ln ON v b m s ngng m.

53

m tin li CTUD:

Hnh 3.10 V d v s dng b m tin li M t: Mi ln c mt sn cnh ln chn CU, gi tr b m (1 Word) c tng ln 1. Mi ln c mt sn cnh ln chn CD, gi tr b m c gim xung 1.Khi gi tr hin ti ln hn hoc bng gi tr t PV(Preset value), ng ra s c bt ln ON. Khi chn R c kch (sn ln) gi tr b m v ng Out c tr v 0. Gi tr cao nht ca b m l 32767 v thp nht l 32768. Khi gi tr b m t ngng.

54

III.4 Cc lnh d liu III.4.1 Cc lnh s hc a. Lnh cng (ADD) Lnh ADD_I L lnh thc hin php cng cc s nguyn 16-bt IN1 v IN2. Trong LAD kt qu l mt s nguyn 16-bt c ghi vo OUT, tc l: IN1 + IN2 = OUT. Cn trong STL, kt qu cng l mt gi tr 16-bt nhng c ghi vo IN2, tc l IN1 + IN2 = IN2. Lnh ADD_DI: L lnh thc hin php cng cc s nguyn 32-bt IN1 v IN2 Trong LAD, kt qu l mt s nguyn 32-bt c ghi vo OUT, tc l: IN1 + IN2 = OUT. Cn trong STL, kt qu cng l mt gi tr 32-bt nhng c ghi vo IN2, tc l IN1 + IN2 = IN2. Lnh ADD_R: L lnh thc hin php cng cc s thc 32-bt IN1 v IN2. Trong LAD, kt qu l mt s thc 32-bt c ghi vo OUT, tc l: IN1 + IN2 = OUT. Cn trong STL, kt qu cng l mt gi tr thc 32-bt nhng c ghi vo IN2, tc l IN1 + IN2 = IN2. b. Lnh tr (SUB) Lnh SUB_I: L lnh thc hin php tr cc s nguyn 16-bt IN1 v IN2 Trong LAD kt qu l mt s nguyn 16-bt v c ghi vo OUT, tc l: IN1 - IN2 = OUT. Cn trong STL, kt qu l mt gi tr 16-bt nhng c ghi li vo IN2, tc l IN1IN2 = IN2. Lnh SUB-DI: L lnh thc hin php tr cc s nguyn 32-bt IN1 v IN2
55

Trong LAD kt qu l mt s nguyn 32-bt c ghi vo IN2, tc l: IN1 - IN2 = IN2. Cn trong STL, kt qu l mt gi tr 32-bt nhng c ghi li vo IN2, tc l IN1IN2 = IN2. Lnh SUB_R: L lnh thc hin php tr cc s thc 32-bt IN1 v IN2 Trong LAD kt qu l mt s thc 32-bt c ghi vo OUT, tc l: IN1 - IN2 = OUT. Trong STL, kt qu l mt gi tr 32-bt nhng c ghi li vo IN2, tc l IN1IN2 = IN2. C php dng lnh cng v tr trong LAD v STL nh sau: LAD STL + I IN1 IN2

-I

IN1 IN2

i vi cc dng d liu khc, ch thay th kiu d liu s dng. V d: dng php cng tr kiu W, thay kiu d liu I bng kiu d liu W. c. Lnh nhn (MUL) Lnh MUL: Trong LAD: Lnh thc hin php nhn 2 s nguyn 16-bt IN1 v IN2 v cho ra kt qu 32-bt cha trong t kp OUT (4 byte). Trong STL: Lnh thc hin php nhn gia 2 s nguyn 16-bt n1 v s nguyn cha trong t thp (t 0 n bt 15) ca ton hng 32-bt n2 (4 byte). Kt qu 32-bt c ghi vo n2. Lnh MUL_R: Trong LAD: lnh thc hin php nhn hai s thc 32-bt IN1 v IN2 v cho ra kt qu 32-bt cha trong t kp OUT (4 byte).
56

Trong STL: Lnh thc hin php nhn gia s thc 32-bt c ghi vo IN2. d. Lnh chia (DIV) Trong LAD: Lnh thc hin php chia s nguyn 16-bt IN1 cho s nguyn 16-bt IN2. Kt qu 32-bt cha trong t kp OUT gm thng s ghi trong mng 16-bt t bt 0 n bt15 (t thp) v phn d cng 16-bt ghi trong mng t bt-16 n bt-31 (t cao). Trong STL: Lnh thc hin php chia s nguyn 16-bt n1 cho s nguyn, s nguyn 16-bt nm trong t thp t bt 0 n bt 15 ca ton hng 32-bt n2. Kt qu 32-bt c ghi li vo n2 bao gm thng s ghi trong mng 16-bt t bt 0 n bt 15 (t thp) v phn d ghi trong mng 16-bt t bt-16 n bt-31 (t cao). Lnh DIV_R: Trong LAD: lnh thc hin php chia s thc 32-bt IN1 cho s thc 32-bt IN2 v cho ra kt qu 32-bt cha trong t kp OUT. Trong STL, lnh thc hin php chia s thc 32-bt IN1 cho s thc 32-bt IN2, kt qu 32-bt c ghi li vo IN2. e. Lnh ly cn bc 2 (SQRT) L lnh thc hin ly cn bc hai ca s thc 32-bt IN. Kt qu l mt s 32-bt c ghi vo t kp OUT. III.4.2 Cc lnh dch chuyn ni dung nh Cc lnh dch chuyn ni dung nh thc hin vic di chuyn hoc sao chp s liu t vng ny sang vng khc trong b nh. Trong LAD hay trong STL lnh dch chuyn thc hin vic di chuyn hay sao chp ni dung ca mt byte, mt t n, mt t kp hoc mt gi tr thc t vng ny sang vng khc trong b nh. Lnh MOV_B, MOV_R, MOV_W, MOV_DW L lnh sao chp ni dung ca byte IN sang byte OUT. C php dng lnh MOV_B trong LAD hay MOVB trong STL nh sau: LAD STL

57

MOVB

IN

OUT

Tng t nh vy i vi cc kiu d liu khc. Cc lnh dch chuyn thanh ghi Cc lnh dch chuyn thanh ghi c chia lm hai nhm: Nhm cc lnh lm vic vi thanh ghi c di bng mt t n (16-bt) hay mt t kp (32-bt). Nhm cc lnh lm vic vi thanh ghi c di ty m c nh ngha trong lnh. Nhm lnh vi thanh ghi c di 16 hoc 32 bt. Lnh dch chuyn thuc nhm ny cho php dch chuyn v quay cc bt trong cc t n v trong cc t kp. S ln dch chuyn cc bt ca t n hay t kp c ch th bng mt ton hng trong c gi l s ln m y. S ln quay cc bt ca t n hay t kp cng c ch th bng mt ton hng trong lnh, c gi l s ln m quay. Khi s dng cc lnh dch chuyn cc bt ca t n hay t kp cn ch : S khng thc hin vic dch chuyn nu nh s m ln y bng 0. Nu s ln y c gi tr ln hn 0, bt nh trn SM1.1 c gi tr logic ca bt cui cng c y ra. Nu s m ln y ln hn hoc bng 16 (t n), ln hn hoc bng 32 (t kp) khi dch chuyn th lnh s ch thc hin vi s m ln y ln nht l 16 hoc 32. Lnh SRW (y cc bt t n sang phi) v SDR (y cc bt t kp sang phi) s chuyn gi tr 0 vo bt cao nht ca t hoc t kp ti mi ln y. Sau khi thc hin lnh, bt SM1.1 s c gi tr ca bt th N-1 ca t n hoc t kp vi N l s ln y. Lnh SLW (y cc bt t n sang tri) v SRD (y cc bt t kp sang tri) s chuyn gi tr logic 0 vo bt thp nht ca t hoc t kp ti mi ln y. Sau khi thc hin lnh, bt SM1.1 s c gi tr ca bt th 16-N i vi t n hoc 32-N i vi t kp, trong N l s ln y.
58

Bt bo kt qu 0 (bt SM1.0) s c gi tr logic bng 1 nu nh sau khi thc hin lnh y ni dung ca t n hay t kp bng 0. Khi s dng lnh quay cc bt ca t n hay t kp cn ch : Lnh quay thc hin php y vng trn sang tri hay phi cc bt ca mt t n hoc ca mt t kp. Ti mi ln quay, gi tr logic ca bt b y ra khi u ny cng l gi tr logic c a vo u kia ca t hay ca t kp. Lnh quay s khng thc hin nu nh s m ln quay c gi tr l 0 hay bng bi s ca 16 (vi t n) hoc 32 (vi t kp). i vi cc gi tr khc ca s m ln quay ln hn 16 (i vi t n) hoc 32 (i vi t kp), lnh s thc hin vi s m ln quay mi bng phn d ca s m ln quay c chia cho 16 hoc chia cho 32. Khi thc hin lnh quay sang phi RRW (vi t n) hay RRD (vi t kp), ti mi ln quay gi tr thp nht trong t hoc t kp c ghi vo bt bo trn SM1.1. Sau khi lnh c thc hin xong bt SM1.1 s c gi tr logic bt 16-N ca t n hoc 32-N ca t kp, trong N l s m ln quay. Khi thc hin lnh quay sang tri RLW (vi t n) hay RLD (vi t kp) ti mi ln quay, gi tr logic ca bt cao nht trong t hoc t kp c ghi vo bt bo trn SM1.1. Sau khi lnh c thc hin xon bt SM1.1 s c gi tr logic bt th N-1 trong t n hoc t kp, trong N l s m ln quay (mi). Bt bo kt qu 0 (bt SM1.0) s c gi tr logic 1 nu t hay t kp c quay c gi tr bng 0. Lnh SHR_B (W, R, D): L lnh dch chuyn cc bt ca t n IN sang phi N v tr, trong N c gi l s m ln dch chuyn. Ti mi ln dch chuyn, gi tr logic 0 c a vo bt cao (bt th 15) v gi tr logic ca bt thp (bt 0) c chuyn vo bt bo trn SM1.1. Trong LAD kt qu c ghi vo OUT, cn trong STL kt qu vn nm trong IN. C php ca lnh nh sau: LAD STL

59

SRW IN N

Tng t nh vy i vi cc kiu d liu khc. III.4.3 Hm i d liu tng ng thanh ghi 7 nt Hm SEG chuyn i s nguyn h c s Hexa trong khong 0 F sang thnh gi tr bit tng ng ca thanh ghi 7 nt. Hm SEG lp gi tr cc bit ca thanh ghi 7 nt tng ng vi ni dung ca 4 bit thp ca byte u vo IN. Kt qu c ghi co byte u ra OUT S cc bit ca thanh ghi 7 nt S nguyn 0 1 2 3 4 5 6 7 8 9 A B C D E F LAD 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 g 0 0 1 1 1 1 1 0 1 1 1 1 0 1 1 1 f 1 0 0 0 1 1 1 0 1 1 1 1 1 0 1 1 Thanh ghi 7 nt e 1 0 1 0 0 0 1 0 1 0 1 1 1 1 1 1 d 1 0 1 1 0 1 1 0 1 0 0 1 1 1 1 0 c 1 1 0 1 1 1 1 1 1 1 1 1 0 1 0 0 b 1 1 1 1 1 0 1 1 1 1 1 0 0 1 0 0 a 1 0 1 1 0 1 1 1 1 1 0 1 0 1 1

a f b g c d

STL SEG IN OUT

Ton hng IN (Byte) :VB, IB, QB, MB, SMB, AC, *VD, *AC, hng s OUT(byte): VB, IB, QB, MB, SMB, AC, *VD, *AC
60

III.4.4 ng h thi gian thc ng h ti gian thc ch c CPU 214. c th lm vic vi ng h thi gian thc CPU 214 cung cp hai lnh c v ghi gi tr cho ng h. Nhng gi tr c c hoc ghi c vi ng h thi gian thc l cc gi tr v ngy, thnh, nm, v cc gi tr gi, pht, giy. Cc d liu c, ghi vi ng h thi gian thc trong LAD v trong STL c di mt byte v phi c m ha theo kiu s nh phn BCD. Byte 0 Byte 1 Byte 2 Byte 3 Byte 4 Byte 5 Byte 6 Byte 7 Nm ( 0 99) Thng(0 12) Ngy (0 31) Gi (0 23) Phc (0 59) Giy (0 59) 0 ngy trong tun

Cc d liu hp l l: Nm (yy) 0 99 Thng (mm) 1 12 Ngy (dd) 1 31 Gi (hh) 0 23 Pht (mm) 0 59 Giy (ss) 0 59

Ring gi tr v ngy trong tun l mt s tng ng vi ni dung ca nibble(4 bit) thp trong byte theo kiu: Ch nht Th hai 1 2 READ_RTC (LAD) TODR (STL) Lnh c ni dung ca ng h thi gian thc vi b m 8 byte c ch th trong lnh bng ton hng T. SET_RTC (LAD) TODW (STL) Lnh ghi ni ca b m 8 byte c ch th trong lnh bng ton hng T vo ng h thi gian thc.
61

Th ba Th t 3 4

Th nm 5

Th su 6

Th by 7

C php s dng lnh c, ghi d liu vi ng h thi gian thc trong LAD, STL: LAD STL TODR T Ton hng

T(byte) : VB, IB, QB, MB, SMB, TODW T *VD, *AC

Tuyt i khng s dng lnh TODR v lnh TODW ng thi va trong chng trnh chnh, va trong chng trnh x l ngt. Khi mt lnh TODR hay TODW c thc hin, th khi gi chng trnh x l ngt, cc lnh ln vic vi ng h thi gian thc trong chng trnh x l ngt s khng c thc hin na. Bit SM4.5 s c logic 1 trong nhng trng hp nh vy. III.4.5 Lnh truyn thng III.4.5.1 Lnh truyn (XMT), nhn (RCV) c s dng trong ch truyn thng freeport truyn d liu qua cng truyn thng. Vic nhn d liu qua Port giao tip c thc hin bng 2 cch: C th thc hin vic nhn d liu bng lnh RCV ( hon ton tng t vic truyn d liu) Nhn d liu bng cch dng ngt thng qua Port giao tip,phng php ny thng c dng nhiu hn,do phng php ny c th qun l c s lng Byte truyn nhn d dng hn. LAD STL

XMT Table, Port

62

LAD

STL

RCV Table, Port

Bit EN: tn hiu cho php truyn d liu qua cng Com TBL : VB,MB,IB,QB.SMB,*LD,*AC,*VD Port : 0 cho CPU 221,222,224 0,1 cho CPU 224XP,CPU226 V d v s dng lnh truyn thng: Mun truyn cc k t: T R I qua port 0. Ta thc hin nh sau, vi 3 l s chui truyn i, s dng VB lm bin truyn.

III.4.5.2 Xut, nhn d liu qua cng giao tip S7_200 thng thng cho php ta s dng cc Port giao tip giao tip vi cc thit b bn ngoi,Trng hp CPU s dng c 2 Port giao tip th ta cng c th s dng c 2 Port giao tip c th giao tip vi cc thit b bn ngoi ( Nh : Giao tip 485 vi u cn,giao tip vi cc u o in.).
63

a, Xut d liu thc hin vic xut d liu ra Port giao tip ta thc hin nh sau: Bc 1: nh dng cho vic giao tip qua Port (Tc Baud,s Bit d liu) thng qua 2 byte SMB30 (cho Port 0),SMB130 (cho Port 1) Bc 2: Thc hin vic xut d liu

Bng 4.1 nh dng giao tip qua Port V d: nh dng giao tip Port 0 (No Parity, 8 data bits per character, tc baud 9600, ch Freeport protocol)

Xut d liu qua Port 0. TBL = VB200

b, Nhn d liu

64

thc hin vic nhn d liu qua Port giao tip ,trc ht ta cng phi nh dng giao thc cho vic giao tip ging nh phn xut d liu ra Port giao tip. thc hin vic nhn d liu,ta thc hin vic lin kt s kin nhn d liu qua Port giao tip ( S kin s 8 cho Port 0,s kin s 25 cho Port 1). V d: Lin kt s kin ngt s 8 vi chng trnh ngt INT_0 ( s kin nhn d liu qua Port giao tip) Cho php ngt (ENI) Con tr tr ti nh VB300 D liu sau khi nhn c a vo con tr AC1 (tc l a vo VB300),sau tng con tr ln 1. Con tr ang VB301 Tng con tr ln 1

Sau s gi chng trnh con x l chui d liu va mi nhn . Ngoi ra, cn c cc lnh v c (NETR), ghi (NETW) trn mng. Lnh thit lp cng giao tip (GET_ADDR v SET_ADDR).

65

Chng 4 PLC S7-300 Siemens v ngn ng lp trnh IV.1 Gii thiu v PLC S7-300 IV.1.1 Tng quan chung y l dng sn phm cao cp, c dng cho nhng ng dng ln vi nhng yu cu I/O nhiu v thi gian p ng nhanh,yu cu kt ni mng,v c kh nng m rng cho sau ny. Ngn ng lp trnh a dng cho php ngi s dng c quyn chn la. c im ni bt ca S7_300 l ngn ng lp trnh cung cp nhng hm ton a dng cho nhng yu cu chuyn bit nh : Hm SCALE.. hoc ta c th s dng ngn ng chuyn bit xy dng hm ring cho ng dng m ta cn. Ngoi ra S7300 cn xy dng phn cng theo cu trc Modul, ngha l i vi S7-300 s c nhng Modul tch hp cho nhng ng dng c bit nh Modul PID, Modul c xung tc cao. Cc loi tn hiu kt ni vi PLC bao gm: a, Tn hiu s: L cc tn hiu thuc dng hm Boolean, dng tn hiu ch c 2 tr 0 hoc 1. i vi PLC Siemens : Mc 0: tng ng vi 0V hoc h mch Mc 1: Tng ng vi 24V Vd: Cc tn hiu t nt nhn, t cc cng tc hnh trnh.. u l nhng tn hiu s b, Tn hiu tng t: L tn hiu lin tc, t 0-10V hay t 4-20mA. Vd: Tn hiu c t Loadcell,t cm bin lu lng c, Tn hiu khc: Bao gm cc tn hiu giao tip vi my tnh, vi cc thit b ngoi vi khc bng cc giao thc khc nhau nh giao thc RS232,RS485,Modbus.

66

Hnh 4.1 Cu trc chung ca PLC S7-300 (1: Ngun; 2:Khe cm; 3: Ngun ra 24V; 4: cng tc; 5: n bo; 6: khe cm th nh; 7: cng truyn thong MPI-Profibus DP; 8: gic cm SM; 9: s u dy) IV.1.2 Cu trc phn cng PLC S7-300 bao gm cc module sau: Modul CPU: Modul CPU l loi Modul cha vi x l, h iu hnh, b nh, cc b thi gian, b m,cng truyn thng (RS485). V c th cn c mt vi cng vo ra s.Cc cng vo ra s trn CPU c gi l cng vo ra Onboard. Trong h PLC S7_300 c nhiu loi CPU khc nhau: CPU 312,CPU 314, CPU 315. Nhng Modul cng s dng mt loi b vi x l, nhng khc nhau v cng vo ra onboard cng nh cc khi hm c bit tch hp sn trong th vin ca h iu hnh phc v vic s dng cc cng vo ra onboard ny s c phn bit vi nhau tong tn gi bng tn cm ch ci IFM (vit tt ca Intergrated Function Module).V d Module CPU 312IFM, Modul314 IFM. Ngoi ra cn c cc loi module hai cng truyn thng, trong cng truyn thng th 2 c chc nng chnh l phc v vic ni mng phn tn. Cc loi module CPU c phn bit vi nhng loi CPU khc bng thm cm t DP (Distrubited port) trong tn gi. V d module CPU 315-DP Cc Modul m rng thng c chia thnh 5 loi chnh: PS (Power Supply):; SM ( Signal Module) gm: DI, DO, DI/O, AI. AO, AI/O; IM (Interface Module); FM (Function Module); CP (Communication Module). Lu : Trn mi mt Rack ch c th g c nhiu nht 8 module m rng (khng k
67

module CPU, module ngun nui). Mt module CPU c th lm vic trc tip vi nhiu nht 4 Rack, cc Rack ny phi c ni vi nhau bng Module IM.

Hnh 4.2 T u ni PLC S7-300 1 P S 2 CPU 3 IM 4 SM 5 SM 6 SM 7 SM 8 SM 9 CP 10 FM 11 FM

i vi module SM, cc loi tn hiu thng x l l tn hiu s v tn hiu tng t. Ty tng loi module s dng v cch ci t trong cu hnh phn cng m module c th lm vic vi nhiu loi tn hiu khc nhau. Mt trm PLC c hiu l mt module CPU ghp ni cng vi cc module m rng khc (module DI, DO, AI, AO, CP, FM) trn nhng thanh rack (gi ), trong vic truy nhp ca CPU vo cc module m rng c thc hin thng qua a ch ca chng. Mt module CPU c kh nng qun l c 4 thanh rack vi ti a 8 module m rrng trn mi thanh. Tu vo v tr lp t ca module m rng trn nhng thanh rack m cc module c nhng a ch khc nhau. PLC S7-300 c nhiu chng loi CPU, c th gii thiu mt s chng loi sau: CPU 312: B nh lm vic 16KB, chu k lnh 0.1us

68

CPU 312C: B nh lm vic 16KB, chu k lnh 0.1us, tch hp sn 10DI/6DO,2 Xung tc cao 2.5KHz,2 knh c xung tc cao 10Khz. CPU 312IFM: B nh lm vic 6KB,chu k lnh 0.6us,tch hp sn 10DI/6DO CPU 313: B nh lm vic 12KB,chu k lnh 0,6us CPU 313C: B nh lm vic 32KB, chu k lnh 0,1us, tch hp sn 24DI, 16DO, 5AI, 2AO, 3 Knh xut xung tc cao (2.5Khz), 3 knh c xung tc cao (30Khz) CPU 313C-2DP: B nh lm vic 32KB, chu k lnh 0.1us, cao (30Khz),c 2 cng giao tip. CPU 313C-2PtP : B nh lm vic 32KB,chu k lnh 0.1us, tch hp sn 24DI,16DO,5AI, 2AO, 3 Knh xut xung tc cao (2.5Khz), 3 knh c xung tc cao (30Khz),c 2 cng giao tip MPI+ PtP connector (RS-422/485 (ASCII,. .) CPU 314: B nh lm vic 24KB, chu k lnh 0.3us CPU 314IFM : B nh lm vic 24KB, chu k lnh 0.3us, tch hp sn 20DI/16DO, 4AI/ 1AO CPU 314C-2DP:B nh lm vic 48KB,chu k lnh 0.1us,tch hp sn 24DI/ 16DO, 5AI/ 2AO, 4 knh xut xung tc cao,4 knh c xung tc cao.2 cng giao tip. CPU 314C-2PtP:B nh lm vic 48KB,chu k lnh 0.1us,tch hp sn 24DI/ 16DO, 5AI/ 2AO, 4 knh xut xung tc cao,4 knh c xung tc cao.2 cng giao tip. CPU 315 : B nh lm vic 48KB, chu k lnh 0.3us CPU 315-2DP: B nh lm vic 48KB, chu k lnh 0.3us, MPI + DP CPU 315F-2DP : B nh lm vic 128KB,chu k lnh 0.3us, 2 cng giao tip. CPU 316 : B nh lm vic 128KB, chu k lnh 0.3us CPU 316-2DP: B nh lm vic 128KB,chu k lnh 0.3us, 2 cng MPI + DP CPU 317-2: B nh lm vic 512KB, chu k lnh 0.3us,2 cng giao tip MPI + DP CPU 317F-2: B nh lm vic 512KB,chu k lnh 0.3us, 2 cng giao tip MPI + DP ( DP master hoc Slave) tch hp sn 24DI,16DO,5AI, 2AO, 3 Knh xut xung tc cao (2.5Khz), 3 knh c xung tc

69

CPU 318-2: B nh lm vic 256KB, chu k lnh 0.3us, 2 cng giao tip MPI + DP ( DP Master hoc Slave). CPU 614: B nh lm vic 192KB,chu k lnh 0.3us, tch hp sn 512DI/DO CPU M7: RS232,MPI 64KB SRAM IV.1.3 Kiu d liu v phn chia b nh trong S7-300 a, Cc kiu d liu s dng Kiu Bool: True hoc False (0 hoc 1) Kiu Byte: gm 8 bit Kiu Word: 16 bit Kiu Int: s nguyn 16 bit Kiu Dint: s nguyn 32 bit Kiu Real: s thc Kiu S5T: kiu d liu Timer Kiu Char: kiu d liu k t Kiu Date: kiu d liu ngy thng Kiu Tod: kiu d liu ngy thng

b, Phn chia b nh trong S7-300 B nh trong PLC S7-300 gm 3 vng nh chnh: Vng cha chng trnh ng dng : Vng cha chng trnh c chia thnh 3 min: OB ( Organisation block) : min cha chng trnh t chc; FC (Function): Min cha chng trnh con, c t chc thnh hm v c bin hnh thc trao i d liu; FB (Function block): Min cha chng trnh con, c t chc thnh hmv c kh nng trao i d liu vi bt c 1 khi chng trnh no khc. Cc d liu ny phi c xy dng thnh mt khi d liu ring ( Data Block khi DB) Vng cha tham s ca h iu hnh: Chia thnh 7 min khc nhau: I (Process image input): Min d liu cc cng vo s,trc khi bt u thc hin chng trnh, PLC s c gi tr logic ca tt c cc cng u vo v ct gi chng trong vng nh I. Thng thng chng trnh ng dng khng c trc tip trng thi logic ca cng vo s m ch ly d liu ca cng vo t b m I.

70

Q (Process Image Output): Min b m cc d liu cng ra s. Kt thc giai on thc hin chng trnh,PLC s chuyn gi tr logic ca b m Q ti cc cng ra s. Thng thng chng trnh khng trc tip gn gi tr ti tn cng ra m ch chuyn chng ti b m Q. M (Min cc bin c): Chng trnh ng dng s dng nhng bin ny lu gi cc tham s cn thit v c th truy nhp n theo Bit (M), byte (MB), t (MW) hay t kp (MD). T (Timer): Min nh phc v b thi gian (Timer) bao gm vic lu tr gi tr thi gian t trc ( PV-Preset Value ), gi tr m thi gian tc thi (CV Current Value) cng nh gi tr Logic u ra ca b thi gian. C (Counter): Min nh phc v b m bao gm vic lu tr gi tr t trc ( PV- Preset Value), gi tr m tc thi (CV _ Current Value) v gi tr logic u ra ca b m. PI: Min a ch cng vo ca cc Modul tng t (I/O External input). Cc gi tr tng t ti cng vo ca modul tng t s c module c v chuyn t ng theo nhng a ch cu hnh trong phn cng. Chng trnh ng dng c th truy cp min nh PI theo tng Byte ( PIB), tng t PIW hoc tng t kp PID. PQ: Min a ch cng ra cho cc module tng t (I/O External Output). Cc gi tr theo nhng a ch ny s c module tng t chuyn ti cc cng ra tng t. Chng trnh ng dng c th truy nhp min nh PQ theo tng Byte (PQB),tng t (PQW) hoc theo tng t kp (PQD) Vng cha cc khi d liu: c chia lm 2 loi: DB (Data Block):Min cha d liu c t chc thnh khi. Kch thc cng nh s lng khi do ngi s dng quy nh, ph hp vi tng bi ton iu khin.Chng trnh c th truy nhp min ny theo tng bit (DBX), byte (DBB), t (DBW) hoc t kp (DBD). L (Local data block): Min d liu a phng c cc khi chng trnh OB, FC, FB t chc v s dng cho cc bin nhp tc thi v trao i d liu ca bin hnh thc vi nhng khi chng trnh gi n. Ni dung ca mt khi d liu trong min nh ny s b xo khi kt thc chng trnh tng ng trong OB, FC, FB. Min ny c th c truy nhp t chng trnh theo bit (L), byte(LB) t (LW) hoc t kp (LD).
71

Tn gi Processimage Input (I) s. Processimage Output (Q) I

Kch thc truy nhp IB IW

Kch thc ti a (ph thuc CPU) 0.0127.7 0127 0126 0124 0.0127.7 0127 0126 0124 0.0255.7 0255 0254 0252

ngha u mi vng qut, h iu hnh s ghi vo phn nh ny cc gi tr c ly t cng vo s (digitale inputs) vt l ca module m rng. Cui mi vng qut, h iu hnh s c ni dung ca min nh ny v chuyn ra cng ra s (digitale outputs) ca cc module m rng. c s dng nh mt bin c cho chng trnh ng dng.

B m vo ID Q QB QW

B m ra QD s. Bit memory M (M) Vng c. Timer (T) MB nh MW MD T0T255

Min nh lu gi cc gi tr PV, CV, T-bit ca Timer. c truy nhp v sa i bi h iu hnh v chng trnh ng dng. Min nh lu gi cc gi tr PV, CV, C-bit ca Counter. c truy nhp sa i bi h iu hnh v chng trnh ng dng. c m bng lnh OPN DB

Counter (C)

C0C255

Data (DB) share. Data (DI)

block DBX DBB DBD block DIX DIB

0.065535.7 0 65535 065534 065532 0.065535.7 0 65535


72

Khi d liu DBW

L khi DB nhng c m bng lnh OPN DI

Tn gi Khi d liu Instance. (L) Min a

Kch thc truy nhp DIW DID LB

Kch thc ti a (ph thuc CPU) 065534 065532 0.065535.7 0 65535 065534 065532

ngha

Local block L nh LW phng LD

Min nh c cp pht cho cc khi OB, FC, FB mi khi khi ny c gi thc hin. Min nh ny cng s c gii phng khi thc hin xong cc khi chng trnh . Ch c a ch truy cp c. Khng c phn b nh thc s. Ch c a ch truy cp ghi. Khng c phn b nh thc s.

cho cc tham s hnh thc. Peripheral PIB input (PI) Peripheral output (PQ) PIW PID PQB PQW PQD 0 65535 0 65534 0 65532 0 65535 0 65534 0 65532

Bng 4.1 Phn chia vng nh trong S7-300 c, Vng qut ca chng trnh PLC thc hin chng trnh theo chu k lp. Mi vng lp c gi l vng qut (Scan). Mi vng qut c bt u bng giai on chuyn d liu t cc cng vo s ti vng b m o I, tip theo l giai on thc hin chng trnh. Trong tng vng qut chng trnh thc hin t lnh u tin n lnh kt thc ca khi OB (Block End). Sau giai on thc hin chng trnh l giai on chuyn cc ni dung ca b m o Q ti cc cng ra s. Vng qut c kt thc bng giai on truyn thng ni bv kim tra li. Ch rng b m I v Q khng lin quan ti cc cng vo ra tng t nn cc lng truy nhp cng tng t c thc hin trc tip vi cng vt l ch khng thng qua b m. Thi gian cn thit PLC thc hin 1 vng qut gi l thi gian vng qut (Scan Time). Thi gian vng qut khng c nh, tc l khng phi vng qut no cng c thc hin trong mt khong thi gian nh nhau. C vng qut c thc hin lu, c vng qut c thc hin nhanh tu thuc vo s lnh trong chng trnh c thc hin v khi d liu truyn thng trong vng qut . Nh vy gia vic c d liu t i tng x l, tnh ton v vic gi tn hiu iu khin n i tng c mt khong thi gian tr ng bng thi gian vng qut. Ni
73

cch khc, thi gian vng qut quyt nh tnh thi gian thc ca chng trnh iu khin trong PLC. Thi gian vng qut cng ngn, tnh thi gian thc ca chng trnh cng cao. Nu s dng cc khi chng trnh c bit c ch ngt, v d nh khi OB40, OB80, chng trnh ca cc khi s c thc hin trong vng qut khi xut hin tn hiu bo ngt cng chng loi. Cc khi chng trnh ny c th c thc hin ti mi im trong vng qut ch khng b g p l phi trong giai on thc hin chng trnh. Chng hn nu 1 tn hiu bo ngt xut hin khi PLC ang giai on truyn thng v kim tra ni b, PLC s ngng cng vic truyn thng, kim tra thc hin khi chng trnh tng ng vi tn hiu bo ngt . Vi hnh thc x l tn hiu ngt nh vy, thi gian vng qut s cng ln khi cng c nhiu tn hiu ngt xut hin trong vng qut. Do nng cao tnh thi gian thc cho chng trnh iu khin, tuyt i khng nn vit chng trnh x l ngt qu di hoc qu lm dng vic s dng ch ngt trong chng trnh iu khin. Ti thi im thc hin lnh vo ra, thng thng lnh khng lm vic trc tip vi cng vo ra m ch thng qua b m o ca cng trong vng nh tham s.Vic truyn thng gia b m o vi ngoi vi trong cc giai on 1 v 3 do h iu hnh CPU qun l. 1 s modul CPU, khi gp lnh vo ra ngay lp tc,h thng s cho dng mi cng vic khc, ngay c chng trnh x l ngt, thc hin lnh trc tip vi cng vo ra. d, Cu trc chng trnh Chng trnh trong S7_300 c lu trong b nh ca PLC vng ginh ring cho chng trnh v c th c lp vi 2 dng cu trc khc nhau. Lp trnh tuyn tnh: ton b chng trnh nm trong mt khi trong b nh. Loi hnh cu trc tuyn tnh ny ph hp vi nhng bi ton t ng nh,khng phc tp. Khi c chn phi l khi OB1, l khi m PLC lun qut v thc hin cc lnh trong thng xuyn,t lnh u tin n lnh cui cng v quay li lnh u tin. Lp trnh c cu trc: Chng trnh c chia thnh nhng phn nh v mi phn thc thi nhng nhim v chuyn bit ring ca no,tng phn ny nm trong nhng khi chng trnh khc nhau. Loi hnh cu trc ny ph hp vi nhng bi ton iu khin nhiu nhim v v phc tp. PLC S7_300 c 4 loi khi c bn sau:
74

Loi khi OB (Organization Block) : Khi t chc v qun l chng trnh iu khin. C nhiu loi khi OB vi nhng chc nng khc nhau, chng c phn bit vi nhau bng mt s nguyn i sau nhm k t OB. V d: OB1, OB35, OB40, OB80,.. Loi khi FC (Program block): Khi chng trnh vi nhng chc nng ring ging nh 1 chng trnh con hoc mt hm (chng trnh con c bin hnh thc). Mt chng trnh ng dng c th c nhiu khi FC v cc khi FC ny c phn bit vi nhau bng mt s nguyn sau nhm k t FC. V d: FC1, FC2. Loi khi FB (Function Block): L loi khi FC c bit c kh nng trao i 1 lng d liu ln vi cc khi chng trnh khc. Cc d liu ny phhi c t chc thnh khi d liu ring c tn gi l Data block. Mt chng trnh ng dng c th c nhiu khi FB v cc khi Fb ny c phn bit vi nhau bng mt s nguyn sau nhm k t FB. Chng hn nh FB1,FB2 Loi khi DB (Data Block): Khi cha cc d liu cn thit thc hin chng trnh. Cc tham s ca khi do ngi dng t t. Mt chng trnh ng dng c th c nhiu khi DB v cc khi DB ny c phn bit vi nhau bng mt s nguyn sau nhm k t DB V d: DB1, DB2. Chng trnh trong cc khi c lin kt vi nhau bng cc lng gi khi, chuyn khi. Xem nhng phn chng trnh trong cc khi nh l cc chng trnh con th S7_300 cho php gi chng trnh con lng nhau, tc l chng trnh con ny gi mt chng trnh con khc v t mt chng trnh con c gi li gi ti mt chng trnh con th 3 S cc lnh gi lng nhau ph thuc vo tng chng loi module CPU m ta ang s dng. V d i vi module CPU 314 th s lnh gi lng nhau nhiu nht c th cho php l 8. Nu s ln gi khi lng nhau m vt qu con s gii hn cho php, PLC s t chuyn qua ch Stop v t c bo li. Cc khi OB c bit khi s dng cn lu : Trong khi khi OB c thc hin u n tng vng qut trong giai on thc hin chng trnh th cc khi OB khc ch c thc hin khi xut hin tn hiu bo ngt tng ng, ni cch khc chng

75

trnh vit cho cc khi OB ny chnh l chng trnh x l tn hiu ngt (event). Chng bao gm: OB10 ( Time of Day Interrupt):Chng trnh trong khi s c thc hin khi gi tr ca ng h thi gian thc nm trong mt khong thi gian c quy nh.OB10 c th gi mt ln, nhiu ln cch u nhau tng pht, tng gi,tng ngy .Vic quy nh khong thi gian hay s ln gi OB10 c thc hin nh chng trnh h thng SFC28 hoc trong bng tham s ca module CPU nh phn mm Step 7. OB20 ( Time Day Interrupt): Chng trnh trong khi s c thc hin sau mt khong thi gian tr t trc k t khi gi chng trnh h thng SFC32 t thi gian tr. OB35 (Cyclic Interrupt): Chng trnh trong OB35 s c thc hin cch u nhau 1 khong thi gian c nh.Mc nh khong thi gian ny s l 100ms,xong ta c th thay i n trong bng tham s ca module CPU, nh phn mm Step7. OB40 (Hardware Interrupt): Chng trnh trong OB s c thc hin khi xut hin 1 tn hiu bo ngt t ngoi vi a vo module CPU thng qua cc cng vo ra s onboard c bit,hoc thng qua cc module SM,CP,FM OB80 (Cycle Time Fault): Chng trnh trong khi OB80 s c thc hin khi thi gian vng qut(Scan time) vt qu khong thi gian cc i c quy nh hoc khi c mt tn hiu ngt gi mt khi OB no m khi OB ny cha kt thc ln gi trc.Mc nh thi gian Scan time cc i l 150ms, nhng c th thay i n thng qua bng tham s ca module CPU nh phn mm Step 7. OB81 (Power Supply fault): CPU s gi chng trnh trong khi OB81 khi pht hin thy c li v ngun nui. OB82( Diagnostic Interrupt):Chng trnh trong OB82 c gi khi CPU pht hin s c t cc Modul vo ra OB85( Not Load fault):Chng trnh trong OB82 c gi khi CPU pht hin thy chng trnh ng dng c s dng ch ngt nhng chng trnh s l tn hiu ngt li khng c trong khi OB tng ng. OB87 ( Communication fault):Khi OB87 s c gi khi CPU pht hin thy li trong truyn thng v d nh khng c tn hiu tr li t cc i tc.

76

OB100 ( Start Up Information):Khi OB100 s c thc hin 1 ln khi CPU chuyn trng thi Stop sang Run. OB121 ( Synchronous error):Khi OB121 s c gi khi CPU pht hin thy li logic trong chng trnh nh i sai kiu d liu hoc li truy nhp khi DB, FC,FB khng c trong b nh CPU. OB122 ( Synchronous error):Khi OB122 s c gi khi CPU pht hin thy li truy cp module trong chng trnh,v d chng trnh c lnh truy nhp module vo ra m rng nhng li khng tm thy module ny. Ngoi ra, cn mt s khi OB c bit khc, ty thuc vo chng loi CPU m n c chc nng v phng php s dng khc nhau. e, Cc vng nh v truy cp d liu Trong S7_300 c cc vng nh sau: I: Input, cc ng vo s. Q:Output, cc ng ra s. M: Internal Memory, vng nh ni. DB: Data Block, d liu. S dng vng nh ny phi khai bo trong phn mm. PIW: Analog Input, ng vo analog. PQW: Analog Output, ng ra analog. T: Timer. C: Counter. nh dng d liu: Kiu Bool: VD: Q0.0, I0.0, DB1.DBX2.3 Kiu Byte: 1 Byte = 8 Bit. Suy ra, gi tr 1 Byte trong khong: 0 -(2 8 -1) hay 0255. VD: QB0, MB3, VB10, SMB2 Kiu Word: 1 Word = 2 Byte = 16 Bit. Kiu DWord: 1 DWord = 2 Word = 4 Byte = 32 Bit. Kiu Int: S nguyn 16 bit Kiu DInt: S nguyn 32 bit Kiu Real: S thc 32 bit

77

IV.2 Tp lnh trong S7-300 IV.2.1 Thanh ghi trng thi Khi thc hin lnh, CPU s ghi nhn li trng thi ca php tnh trung gian cng nh ca kt qu vo mt thanh ghi c bit 16 Bits, c gi l thanh ghi trng thi (Status Word). Mc d thanh ghi trng thi ny c di 16 Bits nhng ch s dng 9 Bits vi cu trc nh sau: BR CC1 CC0 OV Bit logic thng lm vic vi RLO ngha ca cc bit trng thi: FC ( First check) : Khi phi thc hin mt dy cc lnh logic lin tip nhau gm cc php tnh giao, hp v nghch o, bit FC c gi tr bng 1, hay ni cch khc, FC=0 khi dy lnh Logic tip im va c kt thc. RLO (Result of logic operation): Kt qu tc thi ca php tnh logicva c thc hin STA (Status bit): Bit trng thi ny lun c gi tr logic ca tip im c ch nh trong lnh. OR :Ghi li gi tr ca php tnh logic giao cui cng c thc hin ph gip cho vic thc hin php ton hp sau . iu ny l cn thit v trong mt biu thc hm 2 tr ,php tnh giao bao gi cng phi c thc hin trc cc php tnh hp. OS (Stored overflow bit): Ghi li gi tr Bit b trn ra ngoi mng nh. OV(Overflow Bit): Bit bo co kt qu php tnh b trn ra ngoi mng nh. CC0 v CC1 ( Condition code) : Hai bit bo trng thi ca kt qu php tnh vi s nguyn,s thc php dch chuyn hoc php tnh logic trong ACCU BR (Binary result bit) : Bit trng thi cho php lin kt hai loi ngn ng lp trnh STL v LAD .Chng hn cho php ngi s dng c th vit mt khi chng trnh FB hoc FC trn ngn ng STL nhng gi v s dng chng trong mt chng trnh khc vit trn LAD. to ra c mi lin kt ,ta cn phi kt thc chng trnh trong FB, FC bng lnh ghi: BR = 1 nu chng trnh chy khng c li; BR = 0 nu chng trnh chy c li Khi s dng cc
78

OS

OR

STA

RLO

FC

Word logic lm vic vi thanh ghi ACCU

khi hm c bit ca h thng (SFC hoc SFB), trng thi lm vic ca chng trnh cng c thng bo ra ngoi qua bit trng thi BR nh sau: BR=1 nu SFC hay SFB thc hin khng c li; BR=0 nu c li khi thc hin SFC hay SFB IV.2.2 Cc lnh v bit V c bn, c php h lnh trong S7-300 kh ging so vi S7-200 v mt s cu lnh. Tuy nhin vn c mt s khc bit trong cch s dng, ng thi s lng cu lnh trong S7-300 nhiu hn c v s lng v chc nng. Trong mc ny, s trnh by ch yu bng ngn ng STL v trong lp trnh, ngn ng STL c linh hot v mm do hn ngn ng LAD v FBD. Tuy nhin s c gng trnh by kt hp song song gia hai loi ngn ng c s dng ch yu l LAD v STL c gi so snh v c php h lnh. a. Nhm lnh logic tip im Lnh AND (v) C php A (ton hng) - FC = 0 th n s gn ni dung ca ton hng vo RLO .Sau s dng FC = 1 - FC = 1 th RLO = RLO I0.0 v duy tr FC = 1 Khi vo u mt chng trnh th FC = 0 hoc ch cn to mt Network mi RLO : Result of logic oplogic operation Lnh AN C php AN (ton hng) - FC = 0 s gn gi tr logic nghch o ca ton hng vo RLO - FC = 1 n s thc hin php tnh v ghi li kt qu vo RLO Lnh O C php O (ton hng) - FC = 0 th n s gn gi tr logic ca ton hng vo RLO - FC = 1 n s thc hin php RLO Lnh ON C php ON (ton hng)
79

gia RLO vi gi tr nghch o ca ton hng

gia RLO vi ton hng v ghi li kt qu vo

- FC = 0 th n s gn gi tr logic ca ton hng vo RLO - FC = 1 n s thc hin php ghi li kt qu vo RLO Lnh gn C php = ( ton hng ) Lnh thc hin php tnh C php A(

gia RLO vi gi tr nghch o ca ton hng v

vi gi tr 1 biu thc(Lnh ny khng c ton hng)

- FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo RLO - FC = 1 lnh s thc hin php tnh v Lnh thc hin php tnh khng c ton hng) C php AN( - FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo RLO - FC = 1 lnh s thc hin php tnh v

gia RLO vi gi tr logic ca biu thc

trong du ngoc sau n v ghi li kt qu vo RLO

vi gi tr nghch o ca 1 biu thc (Lnh ny

gia RLO vi gi tr nghch o logic ca

biu thc trong du ngoc sau n v ghi li kt qu vo RLO Lnh thc hin php tnh vi gi tr 1 biu thc(Lnh ny khng c ton hng) C php O( - FC = 0 lnh s gn gi tr logic ca biu thc trong du ngoc sau n vo RLO - FC = 1 lnh s thc hin php tnh

gia RLO vi gi tr logic ca biu thc

trong du ngoc sau n v ghi li kt qu vo RLO Lnh thc hin php tnh vi gi tr nghch o ca 1 biu thc (Lnh ny khng c ton hng) C php vo RLO - FC = 1 lnh s thc hin php tnh ON( - FC = 0 lnh s gn gi tr logic nghch o ca biu thc trong du ngoc sau n

gia RLO vi gi tr nghch o ca biu

thc trong du ngoc sau n v ghi li kt qu vo RLO Lnh thc hin php tnh exclusive or C php X (ton hng)

80

- FC = 0 lnh ghi gi tr logic ca ton hng vo RLO - FC = 1 lnh s kim tra xem ni dung ca RLO v gi tr logic ca ton hng c khc nhau khng nu khc th ghi 1 vo RLO nu khng khc th ghi 0 vo RLO Lnh thc hin php tnh exclusive or not C php XN (ton hng) - FC = 0 lnh s ghi gi tr nghch o ca ton hng vo RLO - FC = 1 lnh s kim tra xem ni dung ca RLO v gi tr logic ca ton hng c ging nhau khng nu ging th ghi 1 vo RLO nu khng ging th ghi 0 vo RLO Lnh thc hin php tnh exclusive or vi gi tr ca mt biu thc (Lnh ny khng c ton hng) C php X( - FC = 0 lnh s ghi gi tr logic ca biu thc trong du ngoc sau n vo RLO - FC = 1 lnh o ni dung RLO khi biu thc trong du ngoc sau n c gi tr 1 Lnh thc hin php tnh exclusive or vi gi tr ca mt biu thc (Lnh ny khng c ton hng) C php XN( - FC = 0 lnh s ghi gi tr logic nghch o ca biu thc trong du ngoc sau n vo RLO - FC = 1 lnh s o ni dung ca RLO khi biu thc trong du ngoc sau n c gi tr 0 Lnh ghi gi tr logic 1 vo RLO (Lnh ny khng c ton hng) C php SET Lnh ghi gi tr logic 0 vo RLO(Lnh ny khng c ton hng) C php CLR Lnh o gi tr ca RLO(Lnh ny khng c ton hng) C php NOT Lnh gn c iu kin gi tr logic 1 vo nh C php S (ton hng ) Nu RLO = 1 ,lnh s ghi gi tr 1 vo nh c a ch cho trong ton hng Lnh gn c iu kin gi tr logic 0 vo nh
81

C php

(ton hng )

Nu RLO = 1 ,lnh s ghi gi tr 0 vo nh c a ch cho trong ton hng Lnh pht hin sn ln c php FP (ton hng) Lnh c s dng nh mt bin c ghi nhn li gi tr ca RLO ti v tr ny trong chng trnh ca vng qut trc .Ti mi vng qut lnh kim tra c c gi tr 1 th s ghi 1 vo RLO .Trong trng hp khc th ghi 0 ng thi chuyn ni dung RLO vo li c bin. Vy RLO c gi tr 1 trong 1 vng qut khi c sn ln trong RLO Lnh pht hin sn xung c php FN (ton hng) Lnh c s dng nh mt c bin ghi nhn li gi tr ca RLO ti v tr ny trong chng trnh nhng ca vng qut trc. Ti mi vng qut lnh s kim tra nu bin c c gi tr 1 th s ghi 1 vo RLO. Trng hp khc th ghi 0 ng thi chuyn ni dung RLO vo li c bin .Vy RLO c gi tr 1 trong 1 vng qut khi c sn xung trong RLO. b. Cc lnh c ton hng Lnh c vo ACCU C php L (ton hng) Ni dung c ca ACCU1c chuyn vo ACCU2 .Nu gi tr chuyn vo c kch thc < t kp th c ghi theo th t t byte thp n byte cao ca t thp . Nu gi tr chuyn vo c kch thc = t kp th ta chuyn v Byte Lnh chuyn ni dung ca ACCU ti nh C php T (ton hng ) Lnh khng thay i ni dung ACCU2 c. Lnh khng c ton hng Lnh c ni dung ca thanh ghi trng thi vo ACCU1 C php L STW

Lnh ghi ni dung ca ACCU1 vo thanh ghi trng thi C php T STW
82

Lnh chuyn 9 bit ca t thp ca ACCU1 vo thanh ghi trng thi Lnh chuyn ni dung ca ACCU2 vo ACCU1 C php POP

Lnh khng lm thay i ni dung ca ACCU2 Lnh chuyn ni dung ca ACCU1 vo ACCU2 C php PUSH

Lnh khng lm thay i ni dung ca ACCU1 Lnh o ni dung ca hai thanh ghi ACCU1 v ACCU2 C php TAK

Lnh khng lm thay i ni dung ca thanh ghi trng thi Lnh o ni dung ca hai byte ca t thp trong ACCU1 C php CAW

Lnh o ni dung cc byte trong ACCU1 C php CAD

Lnh o gi tr cc bit trong t thp ca ACCU1 C php INVI

Ni dung ca ACCU2 khng b thay i Lnh o gi tr cc bit ca ACCU1 C php INVD

Ni dung ca ACCU2 khng b thay i d. Lnh logic thc hin trn thanh ghi ACCU Lnh thc hin php tnh gia cc bit trong t thp ca ACCU1, ACCU2 C php AW [<d liu hng >] - Nu lnh khng c ton hng.Kt qu php tnh c ghi li vo ACCU1 - Nu lnh c ton hng th ton hng phi l mt d liu hng c kch thc 16bit . Kt qu php tnh c ghi li vo ACCU1. Lnh thc hin php tnh gia cc bit ca hai thanh ghi ACCU1, ACCU2 C php AD [<d liu hng >] - Nu lnh khng c ton hng Kt qu php tnh c ghi li vo ACCU1.

83

- Nu lnh c ton hng th ton hng phi l mt d liu hng c kch thc 32bit. Kt qu php tnh c ghi li vo ACCU1. Lnh thc hin php tnh gia cc bit trong t thp ca ACCU1, ACCU2 C php OW [<d liu hng >] - Nu lnh khng c ton hng .Kt qu c ghi li vo ACCU1. - Nu lnh c ton hng th ton hng phi l mt d liu hng c kch thc 16bit . Kt qu php tnh c ghi li vo ACCU1. Lnh thc hin php tnh gia cc bit ca hai thanh ghi ACCU1, ACCU2 C php OD [<d liu hng >] - Nu lnh khng c ton hng.Kt qu php tnh c ghi li vo ACCU1. - Nu lnh c ton hng th ton hng phi l mt d liu hng c kch thc 32bit. Kt qu c ghi li vo ACCU1. Lnh thc hin php tnh exclusive or 16bit C php XOW [<d liu hng >] - Nu lnh khng c ton hng :m hai bit khng cng gi tr th kt qu s l 1 . Ton b bit kt qu c ghi li vo ACCU1 . - Nu lnh c ton hng th ton hng phi l mt d liu hng c kch thc 32bit . Nu hai bit khng cng gi tr th kt qu s l 1.Ton b 16 bit kt qu c ghi li vo ACCU1. Lnh thc hin php tnh exclusive or 32bit C php XOD [<d liu hng >] - Nu lnh khng c ton hng :m hai bit khng cng gi tr th bit kt qu s l 1. Ton b 32bit kt qu c ghi li vo ACCU1 - Nu lnh c ton hng th ton hng phi l mt d liu hng c kch thc 32bit .Nu hai bit khng cng gi tr th kt qu s l 1. Ton b 32bit kt qu c ghi li vo ACCU1. e. Lnh tng gim ni dung ca thang ghi ACCU Lnh tng ni dung ca thanh ghi ACCU1 C php INC (ton hng ) Ton hng l s nguyn 8 bit. Lnh thc hin php cng gia byte thp ca t thp trong ACCU1 vi ton hng .Kt qu c ghi li vo t thp ca ACCU1.
84

Lnh gim ni dung ca thanh ghi ACCU1 C php DEC (ton hng ) Ton hng l s nguyn 8 bit .Lnh thc hin php tr ca t thp trong ACCU 1 cho ton hng. Kt qu c ghi li vo byte thp ca t thp trong ACCU 1 IV.2.3 Nhm lnh so snh Thuc nhm lnh khng ton hng l cc lnh thc hin khng iu kin. C ch thc hin :lnh so snh c thc hin trong hai thanh ghi ACCU 1v ACCU2 kt qu ca php so snh c ghi trong bit RLO ca t trng thi STW ACCU2 Ton t so snh ACCU1 = = < > ,> ,<, >=, <= Nu RLO = 1 php so snh ng. RLO = 0 php so snh sai Cc ton hng trong ACCU1 v ACCU2 phi c cng kiu biu din C php h lnh so snh trong ngn ng STL: Lnh so snh bng nhau C php == S nguyn INT I S nguyn DINT D S thc R R

Lnh so snh khng bng nhau C php <> S nguyn INT I S nguyn DINT D S thc R R

Lnh so snh ln hn C php > S nguyn INT I S nguyn DINT D S thc R R

Lnh so snh nh hn C php < S nguyn INT I S nguyn DINT D S thc R R

Lnh so snh ln hn hoc bng C php >= S nguyn INT I S nguyn DINT D S thc R R

Lnh so snh nh hn hoc bng C php =< S nguyn INT I S nguyn DINT D
85

S thc R R

Hai bit trng thi CC1v CC0 CC1 CC0 0 0 0 1 1 0 ngha ACCU1=ACCU2 ACCU2>ACCU1 ACCU2<ACCU1

C php h lnh so snh trong ngn ng LAD: Tn lnh STL == LAD Tn lnh STL < LAD

<>

>=

>

<=

Lu : i vi cc kiu d liu khc nhau, mun so snh th phi chn ng kiu d liu so snh, khng c chn khc kiu v chng trnh s bo li hoc thc hin khng chnh xc vi bi ton t ra. IV.2.4 Lnh chuyn i kiu d liu C php h lnh s dng trong ngn ng STL: Lnh chuyn i BCD thnh s nguyn s nguyn 16 bit C php BTI s nguyn 32 bit BTD

Lnh khng c ton hng.Kt qu c ct vo ACCU 1 .Ni dung ca ACCU2 khng b thay i .Thanh ghi trng thi khng b thay i ni dung Lnh chuyn i s nguyn thnh BCD s nguyn 16 bit s nguyn 32 bit

86

C php

IBT

DBT Ni dung ca

Lnh khng c ton hng ch s. Kt qu c ct vo ACCU 1 ACCU2 khng b thay i . Lnh chuyn i s nguyn 16 bit thnh s nguyn 32 bit C php ITD

Lnh khng c ton hng.Kt qu c ct vo ACCU 1 .Ni dung ca ACCU2 khng b thay i .Thanh ghi trng thi khng b thay i ni dung Lnh chuyn i s nguyn 32 bit thnh s thc C php DTR Lnh khng c ton hng. Kt qu c ct vo ACCU 1 .Ni dung ca ACCU2 khng b thay i .Thanh ghi trng thi khng b thay i ni dung Lnh chuyn i s thc thnh s nguyn Cc lnh ny chuyn kiu biu din ca mt s thc du phy ng trong ACCU 1 thnh mt s nguyn biu din kiu t kp gn nht C php RND nh nht RND+ ln nht RND -

RND:Lnh chuyn i s thc thnh s nguyn gn nht.Nu s thc cho nm gia hai s nguyn th ly s chn RND+ : Lnh chuyn i s thc thnh s nguyn nhng khng nh hn s thc cho RND - : Lnh chuyn i s thc thnh s nguyn nhng khng ln hn s thc cho. Kt qu ca php tnh c ct vo ACCU 1 . Ni dung ca ACCU2 khng b thay i Lnh ly phn nguyn C php TRUNC Lnh khng c ton hng v thc hin vic ly phn nguyn ca s thc du phy ng trong ACCU1 Kt qu c ct vo ACCU1 Ni dung ca ACCU2 khng b thay i. C php h lnh s dng trong ngn ng LAD: Tn lnh STL LAD Tn lnh STL LAD

87

BTI

DIR

IBT

ITD

TRUNC

DTR

IV.2.5 Cc lnh iu khin chng trnh a. Lnh kt thc chng trnh Lnh kt thc chng trnh v iu kin C php BEU Lnh khng c ton hng Lnh kt thc chng trnh c iu kin C php BEC

Lnh khng c ton hng v thc hin vic kt thc chng trnh khi RLO c gi tr 1 b. Lnh r nhnh theo bit trng thi R nhnh khi BR =1 C php JBI <nhn> R nhnh khi BR =0 C php JNBI <nhn> R nhnh khi RLO = 1 C php JC <nhn> R nhnh khi RLO = 0 C php JCN <nhn> R nhnh khi CC1 = 0 v CC0 =1 C php JM <nhn> c s dng r nhnh nu php tnh trc n c kt qu m R nhnh khi CC1 = 1 v CC0 =0 C php JP <nhn>
88

c s dng r nhnh nu php tnh trc n c kt qu dng R nhnh khi CC1 = CC0 =0 C php JZ <nhn> c s dng r nhnh nu php tnh trc n c kt qu l 0 R nhnh khi CC1 CC0 C php JN<nhn> c s dng r nhnh nu php tnh trc n c kt qu khc 0 R nhnh khi CC1 = CC0 =0 hoc CC1 = 0 v CC0 =1 C php JMZ <nhn> c s dng r nhnh nu php tnh trc n c kt qu l mt s khng dng R nhnh khi CC1 = CC0 =0 hoc CC1 = 1 v CC0 =0 C php JPZ <nhn> c s dng r nhnh nu php tnh trc n c kt qu l mt s khng m R nhnh v iu kin C php JU <nhn> Lnh r nhnh theo danh mc C php JL <nhn> Lnh r nhnh tu theo ni dung ca ACCU1 , s nhnh r nhiu nht l 255 c. Lnh xoay vng (LOOP) c php LOOP <nhn> Khi gp lnh ny CPU s t gim ni dung ca t thp trong thanh ghi ACCU 1 i 1 n v kim tra xem n c bng 0hay khng, nu khc 0 th CPU s nhy n chng trnh c nh du bi nhn.

89

IV.3 B nh thi Timer IV.3.1 nh ngha B thi gian Timer l b to thi gian tr T mong mun gia tn hiu logic ng vo v tn hiu logic ng ra. S7 300 c 5 loi timer khc nhau. Tt c 5 loi Timer ny cng bt u to thi gian tr tn hiu k t thi im kch ca tn hiu u vo, tc l khi tn hiu u vo chuyn trng thi, c gi l thi im timer c kch. Thi gian tr T mong mun c khai bo vi timer bng mt word 16 bit bao gm 2 thnh phn: - phn gii: timer ca S7 300 c 4 ch phn gii: 10ms, 100ms, 1s v 10s. - Mt s nguyn BCD trong khong 0 999 c gi l PV (gi tr t trc) Thi gian tr mong mun = PV * phn gii phn gii ca Timer S7-300 nh ngha nh sau: 15 14 13 12 11 10 9 8 7 6 Thanh ghi 16bit dng cho vic t thi gian tr cho Timer. Trong : bit 14 v 15 khng s dng bit 13 v 12 m t phn gii: 13 0 0 1 1 trong khong t 0-999. Ngay ti thi im kch timer, gi tr PV c chuyn vo thanh ghi 16 bit ca T_word (gi l thanh ghi CV, vit tt current value, gi tr tc thi). Timer s ghi nh khong thi gian tri qua k t khi c kch bng cch gim dn mt cch tng ng ni dung thanh ghi CV. Nu ni dung thanh ghi tr v bng 0 th timer t c thi gian tr mong mun T v iu ny s c bo ra ngoi bng cch i trng thi tn hiu ng ra. Mt timer c t tn l Tx, trong x l s hiu ca timer (0 x 127). K hiu Tx cng ng thi l a ch hnh thc ca thanh ghi CV (T- word) v ca u ra T-bit ca timer . Tuy chng c cng a ch hnh thc, song T-word v T-bit vn c phn bit vi nhau nh kiu lnh s dng vi ton hng Tx .Khi dng lnh lm vic vi t, Tx c hiu l a ch ca Tword, ngc li khi s dng lnh lm vic vi tip im Tx s c hiu l a ch ca T-bit. Mt timer ang trong ch lm vic (sau khi c kch) c th c a v ch ch khi
90

12 0 1 0 1

phn gii 10 ms 100 ms 1s 10 s

t bit 11 tr xung c m t dng m BCD, do , gi tr t ca Timer nm

ng ban u, tc l ch sn ln ca tn hiu u vo. Cng vic ny gi l reset timer. Tn hiu reset timer c gi l tn hiu xo v khi tn hiu xo c gi tr bng 1 timer s khng lm vic. Ti thi im xut hin sn ln ca tn hiu xo, T_word v T-bit c xo v 0, tc l thanh ghi CV c t v 0 v tn hiu u ra c trng thi 0. IV.3.2 Khai bo s dng Vic khai bo s dng Timer trong STL bao gm cc bc: Bc 1: Khai bo tn hiu enable nu mun s dng tn hiu ch ng kch. Bc 2: Khai bo tn hiu u vo Bc 3: Khai bo thi gian tr mong mun Bc 4: Khai bo loi Timer c s dng ( SD,SS,SP,SE,SF). Bc 5: Khai bo tn hiu xo Timer nu mun s dng ch reset ch ng. Khai bo tn hiu enable(ch ng kch) C php A FR C php L <a ch bit> <tn timer> <hng s >

Trong tt c 5 bc trn,cc bc 2,3,4 l bt buc.

Khai bo thi gian tr mong mun

Thi gian y c khai bo di dng hng s di 2 dng. Dng s v dng thi gian. Dng thi gian trc tip: v d S5T#6M5S; Dng s: v d W#16#2111 Khai bo loi Timer Tr theo sn ln khng c nh C php C php C php C php C php SD SS SP SE SF <tn Timer > <tn Timer > <tn Timer > <tn Timer > <tn Timer > Tr theo sn ln c nh Timer to xung khng c nh (Pulse timer) Timer to xung c nh (Pulse timer) Timer tr theo sn xung (off ondelay timer) Khai bo tn hiu xo (reset)
91

C php -

A R

<a ch bit> <tn timer>

c ni dung thanh ghi T-WORD + c s m tc thi C php C php STT 1 L LC STL SD <tn timer> <tn timer> LAD LAD + c thi gian tr tc thi

Khai bo trong LAD s c cc dng khc nhau i vi tng loi Timer.

SS

SP

SE

SF

V d v s dng Timer

92

LAD:

STL:

Tm tt cc gi tr v thi gian ca cc loi Timer: ST T 1 Loi Timer S_ODT p ng u ra

S_ODTS

93

ST T 3

Loi Timer S_OFFDT

p ng u ra

S_PULSE

S_PEXT

94

IV.4 B m Counter IV.4.1 nh ngha Counter l b m thc hin chc nng m sn xung ca cc tn hiu u vo. S7-300 c ti a 256 counter (tu loi CPU), k hiu Cx, trong x l s nguyn trong khong t 0 n 255. Nhng b m ca S7-300 u c th ng thi m tin theo sn ln ca mt tn hiu vo th nht, c k hiu l CU (count up) v m tin theo sn ln ca tn hiu vo th hai, k hiu CD (count down). Thng thng b m ch cc sn ln ca tn hiu CU v CD, song cng c th c m rng m c mc tn hiu ca chng bng cch s dng thm tn hiu enable. Nu c tn hiu enable, b m s m tin khi xut hin sn ln ca tn hiu enable ng thi ti thi im CU c mc tn hiu 1. Tng t b m s m li khi c sn ln ca tn hiu enable v ti thi im CD c mc tn hiu 1. S sn xung m c ghi vo thanh ghi 2 byte ca b m, gi l thanh ghi C word. Ni dung ca C-Word c gi l gi tr m tc thi ca b m v k hiu bng CV (current value). B m bo trng thi ca C-Word ra ngoi thng qua chn C-bit ca n. Nu CV 0, C-Bit c gi tr 1. Ngc li khi CV = 0 C-bit nhn gi tr 0. CV lun l 1 gi tr khng m. B m s khng m li khi CV = 0. Khc vi timer, gi tr t trc PV ca b m ch c chuyn vo C-Word ti thi im xut hin sn ln ca tn hiu t (set S). B m c th c xo ch ng bng tn hiu xa (reset). Khi b m c xa, c C-Word v C-bit u nhn gi tr 0. IV.4.2 Khai bo v s dng Vic khai bo Counter gm 5 bc: Bc 1: Khai bo tn hiu enable nu mun s dng tn hiu ch ng kch m. Bc 2: Khai bo tn hiu u vo CU c m ln. Bc 3: Khai bo tn hiu u vo CD c m xung. Bc 4: Khai bo tn hiu t set v gi tr t trc PV. Bc 5: Khai bo tn hiu xa reset Khai bo tn hiu kch m C php A FR <a ch bit> <tn counter>
95

Khai bo trong ngn ng STL:

Khai bo tn hiu m tin theo sn ln C php A CU <a ch bit> <tn counter > <a ch bit> <tn counter > <a ch bit> C# <hng s > <tn counter > <a ch bit> <tn counter >

Khai bo tn hiu m li theo sn ln C php A CD

Khai bo tn hiu t trc C php A L S

Khai bo tn hiu xo (reset) C php A R

c ni dung thanh ghi T-WORD c s m tc thi dng binary C php C php L LC STL CU <tn counter > <tn counter > LAD LAD c thi gian tr tc thi dng BCD

Khai bo trong ngn ng LAD STT m tin

m li

CD

96

STT m tin li

STL

LAD

LAD

V d v s dng b m:
LAD:

STL :

97

IV.5 Phng php lp trnh IV.5.1 Lp trnh tuyn tnh K thut lp trnh tuyn tnh l phng php lp trnh m ton b chng trnh ng dng s ch nm trong mt khi OB1. K thut ny c u im l gn, rt ph hp vi nhng bi ton iu khin n gin, t nhim v. Do ton b khi chng trnh iu khin ch nm trong khi OB1 nn khi OB1 s gn nh l c thng trc trong vng nh Word memory, tr trng hp khi h thng phi x l cc tn hiu bo ngt. Ngoi khi OB1, trong vng Word memory cn c min nh a phng (local block) cp pht cho OB1 v nhng khi DB c OB1 s dng. Hnh di m t quy trnh thc hin chng trnh iu khin tuyn tnh.
Chuyn OB1 t Load memory vo Word memory v cp pht local block cho n.
H iu hnh Xo OB1 v gii phng local block trong Word memory.

Thc hin OB1 trong Word memory

System memory Share DB Instance DB

Hnh 4.3 S khi m t quy trnh iu khin tuyn tnh Local block ca OB1 Khi thc hin khi OB1, h iu hnh ca lun cp ca mt Local block c kch thc mc nh l 20 bytes trong Work memory OB1 c th ly nhng d liu t h iu hnh. Nhng d liu ny gm: Tn hnh thc OB1_EV_CLASS OB1_SCAN_1 OB1_PRIORITY OB1_OB_NUMBR OB1_RESERVED_1 OB1_RESERVED_2 Kiu Byte Byte Byte Byte Byte Byte Gi tr v ngha Bits 0-3=1(Coming event). Bits 47=1(Event class 1) 1=vng qut u, 3=t vng qut th 2 Mc u tin 1(Mc u tin th nht) 1=Ch s ca khi OB D tr (ca h iu hnh) D tr (ca h iu hnh)
98

OB1_PREV_CYCLE OB1_MIN_ CYCLE OB1_MAX_ CYCLE OB1_DATE_TIME

Int Int Int Date_And

Thi gian vng qut trc (miliseconds) Thi gian vng qut ngn nht c (miliseconds) Thi gian vng qut ln nht c (miliseconds) Thi im OB1 bt u c thc hin

_Time Mc d kch thc ch l 20 bytes mc nh nhng ngi s dng c th m rng Local block s dng thm cc bin nh cho chng trnh (hnh di). Tuy nhin phi rng do Local block c gii phng cui mi vng qut v c cp li vng qut sau nn cc gi tr c trong Local block ca vng qut trc cng b mt khi bt u vng qut mi. Do tt nht ch nn s dng Local block cho vic lu gi bin nhp tm thi trong tnh ton ca mt vng qut. IV.5.2 Lp trnh c cu trc a, C s l thuyt Lp trnh c cu trc (structure programming) l k thut ci t thut ton iu khin bng cch chia nh thnh cc khi chng trnh con FC hay FB vi mi khi thc hin mt nhim v c th ca bi ton iu khin chung v ton b cc khi chng trnh ny li c qun l mt cch thng nht bi khi OB1. Trong OB1 c cc lnh gi nhng khi chng trnh con theo th t ph hp vi bi ton iu khin t ra . Hon ton tng t, mt nhim v iu khin con c th cn c chia nh thnh nhiu nhim v nh v c th hn na, do mt khi chng trnh con cng c th c gi t mt khi chng trnh con khc. Duy c iu cm k ta cn phi trnh l khng bao gi mt khi chng trnh con li gi n chnh n. Ngoi ra do c s hn ch v ngn xp ca cc module CPU nn khng c t chc chng trnh con gi lng nhau qu s ln m module CPU c s dng cho php. n gin trong trnh by, khi mt khi chng trnh con ny gi mt khi chng trnh con khc, ta s k hiu khi cha lnh gi l khi m v khi c gi l khi con. Hnh di y m t quy trnh thc hin vic gi mt khi con FC10 t khi Work memory, cp m OB1.
OB1 callFC10 Tr tham tr v OB1. Xo FC10 v local 99 block trong Work memory pht local block v gn tham tr t OB1 Chuyn FC10 vo FC10

BE

Hnh 4.4 S khi m t lp trnh iu khin c cu trc Gia khi m v khi con c s lin kt th hin qua vic trao i cc gi tr. Khi gi khi con , khi m cn cho nhng s kin thng qua cc tham tr u vo khi con thc hin nhim v. Sau khi thc hin xong nhim v, khi con phi tr li cho khi m kt qu bng nhng tham tr u ra. H iu hnh ca CPU t chc vic truyn tham tr thng qua local block ca tng khi con. Nh vy, khi thc hin lnh gi khi con, h iu hnh s : Chuyn khi con c gi t vng Load memory vo vng Work memory. Cp pht cho khi con mt phn b nh trong Work memory lm local block. Cu trc local block c qui nh khi son tho cc khi. Truyn cc tham tr t khi m cho bin hnh thc IN, IN-OUT ca local block. Sau khi khi con thc hin xong nhim v v ghi kt qu di dng tham tr u ra cho bin OUT,IN-OUT ca local block , h iu hnh s chuyn cc tham tr ny cho khi m v gii phng khi con cng local block ra khi vng Work memory b, Khai bo Local Block Local block ca khi con c cchia thnh hai phn: Phn cc bin hnh thc khi con nhn v truyn tham tr vi khi m. Bin hnh thc trong local block ca FC c ba loi cho trong bng 4.1: Loi bin hnh thc IN OUT IN- OUT ngha Bin hnh thc nhn tham tr t khi m lm s kin cho chng trnh trong khi con Bin hnh thc truyn tham tr t khi con v khi m Bin hnh thc va c kh nng nhn va c kh nng truyn tham tr gia khi con vi khi m.
100

Bng 4.1Cc loi bin hnh thc trong Local Block Phn cha cc bin tm thi c k hiu l TEMP (ch vit tt ca temporary) cha cc gi tr tnh ton tc thi. Do local block s c gii phng khi kt thc chng trnh, gi tr cc bin tm thi ny cng s b mt theo ngay sau khi chng trnh trong khi con c thc hin xong. Vic khai bo local block ng ngha vi vic t tn bin, nh ngha loi bin (bin hnh thc hay bin tm thi) v kiu d liu (nguyn, thc,k t)cho tng bin,trong tn bin l nhng dy k t hoc s v khng thuc nhm k t kho ( c dng bi h iu hnh). Chng trnh truy nhp local block thng qua cc tn bin di dng ton hng ca lnh theo cu trc: #< tn bin > V d: L # receive // c ni dung ca nh c tn l receive trong local block vo ACCU1 T # transit // Chuyn ACCU1 ti nh c tn l transit trong local block Ch : Mt iu cn phi c c bit ch l bt u t min cc bin tm thi TEMP,a ch c nh li t u. Min bin hnh thc khng c cp nh m ch c con tr a ch.Do nu trong trng trnh,ton hng ca nhng lnh truy nhp nh ca local block c cu trc: L#<a ch> Th s l nh thuc min cc bin TEMP. Nhng kiu d liu hp l cho tt c cc loi bin (k c bin hnh thc v bin tm thi) c tng kt trong bng 4.2: Kiu d liu BOOL Kch thc (bit) 1 Kiu bin logic v hai gi tr 0 hoc 1.Tham tr c th l mt gi tr logic (TRUE/FALSE) hoc l ni BYTE WORD DWORD CHAR 8 16 32 8 dung mt bit Tham tr phi l ni dung ca mt byte Tham tr phi l ni dung ca mt t (2 byte) Tham tr phi l ni dung ca mt t kp (4 byte ) Tham tr c truyn c th l mt m ASCII hoc ni dung ca mt byte Tham tr thch hp

101

Kiu d liu INT

Kch thc (bit) 16

Tham tr thch hp Tham tr c truyn vo c th l ni dung ca mt t(2 byte) hoc l mt s nguyn trong khong -32768 32767 Tham tr c truyn vo c th l ni dung ca mt t kp (4 byte) hoc l mt s nguyn trong khong -231231 1 Tham tr c truyn vo c th l ni dung ca mt t kp (4 byte) hoc l mt s thc du phy ng.V d:3.1416. Tham tr c truyn vo c th l ni dung ca mt t kp hoc l mt s o khong thi gian dng T# ngy D_giH_phtM_giyS_mili giyMS Tham tr c truyn vo c th l ni dung ca mt t kp (4 byte) hocl mt gi tr ngy thng dng D#nm-thng-ngy Tham tr c truyn vo c th l ni dung ca mt t kp (4 byte) hoc l mt gi tr thi gian dng TOD# ngy D_giH_phtM_giyS_mili giyMS Tham tr c truyn vo c th l ni dung ca mt t kp (4 byte) hoc l mt gi tr thi gian dng S5T# ngy D_giH_phtM_giyS_mili giyMS Tham tr c truyn vo c th l ni dung ca nh c kiu Date_And_Time(DT) hoc l mt gi tr dng DT # nm-thng-ngy-gi:pht:giy:mili giy y l kiu bin tng qut, thay th c cho cc kiu trn.Ngoi ra tham tr ca kiu bin ny cn c th l thanh ghi CV,T_Bit,C_Bit,tn ca Timer, tn ca Counter; tn cc logic block nh FB10,
102

DINT

32

REAL

32

TIME

32

DATE

32

TOD

32

S5TIME

32

DT Date_And_Time

64

ANY

80

Kiu d liu

Kch thc (bit)

Tham tr thch hp FC2 tn bin hnh thc

Bng 4.2 Cc kiu d liu hp l cho bin c, Gi khi FC v th tc truyn tham tr Lnh gi mt khi con v truyn tham tr cho n t khi m c dng C php CALL FCx Trong FCx l tn khi con c gi. Ngay khi gp lnh gi mt khi con,chng trnh son tho Step7 s cn c vo cu trc ca local block,c th l nhng bin hnh thc ca khi con(bin IN,OUT,IN_OUT), m cho hin ti nhng bin ny ch ngi s dng khai bo tham tr. Kiu tham tr truyn t khi con thng qua bin hnh thc IN hay IN_OUT ph thuc vo kiu gn.C th l : - Nu bin c khai bo mt trong cc kiu BOOL, CHAR, INT, DINT, TIME, BOOL, DATE, TOD, S5TIME th tham tr truyn c th l mt gi tr c th hoc l ni dung ca mt nh c kch thc tng ng. - Nu bin c khai bo theo kiu BYTE, WORD, DWORD, DINT th phi l ni dung ca nh c kch thc ph hp. Ring i vi tham tr c khi con tr v cho khi m qua bin hnh thc OUT hay IN-OUT th lun phi l mt nh c kch thc cng vi bin. d, FB v th tc truyn tham tr Nhc im ca ca kiu khi FC l ni dung cc bin tm thi theo TEMP khng c lu gi li cho nhng vng qut sau. iu ny bt buc nhng khi FC s dng bin kiu TEMP trong local block phI c thc hin xong trong mt vng qut v do hn ch min s dng ca chng. Khc phc nhc im trn, S7-300/400 cung cp mt loi khi c tnh nng tng t nh khi FC nhng li c kh nng lu gi li c ni dung cc bin tm thi cho cc vng qut k tip, c gi l khi hm FB. Loi bin tm thi c ni dung c lu gi ny c tn l STAT (vit tt ca static).
103

Phng thc lu gi li ni dung cc bin loi STAT c h iu hnh thc hin nh mt khi d liu nh sau:
DB22 FB1

OB1

Chuyn FB1 vo Work memory, cp pht local block gn tham tr cho bin hnh thc t OB1 v cho bin loi STAT t DB2

call FB1,DB2

Tr tham tr v OB1 ghi li bin loi STAT vo DB2. Xo FB1 v local block ca n khi Work memory
EMBED Equation .3

DB2

Hnh 4.5 M t phng thc lu tr ni dung

BE

- Khi thc hin lnh gi, h iu hnh chuyn khi FB c gi vo Work memory cp pht cho n trong Work memory mt local block nh yu cu. Ghi cc tham tr t khi m vo cc bin hnh thc loi IN, IN-OUT, v ni dung cc nh tng ng trong DB km theo bin loi STAT trong local block . - Khi chng trnh trong khi FB kt thc, h iu hnh chuyn ni dung ca bin hnh thc loi OUT, IN-OUT v cho khi m v ghi li cc gi tr bin thc loi STAT trong local block vo khi d liukm theo. Sau giit phng local block cng khi FB ra khi Work memory. V c bn local block ca khi FB cng ging nh ca khi FC, nhng c thm bin loi STAT. Cc loi bin ca khi FB cho trong bng 4.3: Loi bin ngha IN Bin hnh thc s dng nhn tham tr t khi m lm s kin cho OUT IN-OUT chng trnh trong khi con Bin hnh thc dng tr tham tr t khi con v khi m Bin hnh thc, loi bin ny va c kh nng nhn va c kh nng tr tham tr cho khi m.
104

STAT TEMP

Ni dung ca bin loi ny c kh nng lu gi li khi kt thc chng trnh trong FB Bin tm thi.Ni dung s b mt khi chng trnh trong FB kt thc Bng 4.3Cc loi bin ca khi FB

Vic khai bo local block cho FB cng hon ton tng t nh cho FC gm t tn bin, xc nh, xc nh loi bin (bin hnh thc hay,STAT hay TEMP) v kiu d liu (nguyn, thc, k t) cho tng bin. Tn bin phi l nhng dy k t hoc s v khng thuc nhm k t kho( c dng bi h iu hnh). Th tc gi khi FB v truyn tham tr: Khc vi khi FC, khi hm FB bao gi cng lm vic vi mt khi d liu DB dng lu gi ni dung cc bin kiu STAT local block. Khi DB ny c tn khi d liu l Instance . L do l khi ta thc hin lnh gi khi hm FB, h iu hnh cng m lun khi d liu ny bng lnh OPN DI. Nh vy km vi lnh gi khi FB ta phi ch th lun c lun c tn khi d liu DB tng ng. Lnh gi khi hm FB c cu trc nh sau: C php CALL FBx , DBy Trong FBx l tn khi hm c gi, v DBy l tn khi d liu km theo. Khi d liu DBy phi c cu trc ph hp vi local block ca FBx c son tho. Phn mm Step7 h tr ngi son tho vic to lp khi d liu DB c cu trc ph hp vi local block ca khi hm Fb c gi.Ngay sau khi vit lnh gi mt khi hm FB v nu khi DB km theo cha c son tho trc , Step7 s s to lp mt DB mi c cu trc ph hp vi local block ca khi hm FB . S dng cc khi OB Cc khi OB c th c xp theo loi cng dng thnh 3 nhm: Nhm cc khi OB cha chng trnh ng dng x l ngt, Nhm cc khi OB cha chng trnh khi ng, Nhm cc khi OB x l li trong h thng.

Cng nh FC, FB, khi OB l khi cha chng trnh, do cng l mt logic block. im khc bit c bn gia OB v cc khi khc thuc logic block l OB khng c gi ch ng thc hin (v d bng lnh CALL) m b ng bi cc tn hiu ngt. Khi nim bi b ng c hiu l v tr cng nh thi im pht lnh
105

gi khng c lp trnh t trc m hon ton mang tnh ngu nhin. Chng trnh trong cc khi OB ny cng c th c cc lnh gi khi FC hoc khi FB nhng tt nhin khng th gi mt khi OB khc. Mi khi OB c gi bng mt loi tn hiu ngt. Vy nu xy ra hin tng xut hin cng mt lc nhiu tn hiu ngt th sao? Trong trng hp nh vy, khi OB no c th t u tin cao hn s c x l trc v chng trnh trong khi OB c th t u tin thp hn phi ch cho ti khi tt c cc khi c u tin cao hn c x l xong mi n lt c thc hin. Khi OB1 l khi c mc u tin thp nht v do mi tn hiu ngt u ngt c qu trnh thc hin chng trnh ca khi OB1.

106

IV.6 S dng ngt trong lp trnh IV.6.1 Ngn xp Ngn xp I (I - Stack)Do cng c gi (b ng bng tn hiu ngt) nn ging nh vic x l lnh CALL, h iu hnh cn phi ct gi v tr quay v, cc d liu cn thit tip tc cng vic trong khi m. Ngn xp ct nhng d liu ny c tn l IStack (hnh 4.6). su ca ngn xp I quyt nh s cc khi chng trnh x l ngt (OB) c lng nhau v su ny ph thuc vo chng loi ca tng module CPU.
I- Stack Ni dung thanh ghi ACCU1,ACCU2 Ni dung thanh ghi AR1,AR2 Ni dung thanh ghi DI,DB

OB1

Con tr ch vo L-Stack cha ni dung local block ca khi m Ni dung thanh ghi trng thi

OB35

Tn khi m

Tn hiu ngt gi khi OB35 Hnh 4.4 Ngn xp IV.6.2 Chng trnh ng dng x l ngt Chng trnh ng dng x l ngt c hiu l loi chng trnh vit cho cc khi OB v c gi bi cc tn hiu bo ngt thuc loi: c pht ra u n cch u nhau mt khong thi gian nh trc; c pht ra ti mt im thi gian nh trc; c pht ra t cc modul (ngt cng). Ngt tun t theo thi gian (OB30 OB38) Ngay khi nhn thy trong chng trnh c mt trong cc khi OB30 OB38, h thng s t ng tch cc ch pht tn hiu bo ngt gi cc khi ny vi khong

107

thi gian cch u nhau. Gi tr mc nh cho chu k pht tn hiu bo ngt ny l 100ms. Ni cch khc,c 100ms th cc khi OB ny c gi v thc hin mt ln. Tng hp th tt c cc khi trong khong OB30 OB38 u thuc nhm khi chng trnh x l ngt theo chu k thi gian.Song khng phi module no cng cho php s dng tt c cc khi OB ,chng hn CPU 314 ch cho php s dng OB35. Trng hp c nhiu khi OB cng x l mt tn hiu bo ngt th ta c th phn bit chng vi nhau theo th t u tin. Ch s th t u tin c gn cho tng khi nh phan mm Step7. Ta cng c th s dng Step7 thay i chu k pht tn hiu bo ngt. Local block ca cc khi OB30 OB38 c dng chung ging nh ca OB35 cho trong bng sau: Tn hnh thc OB35_EV_CLASS OB35_SCAN_1 OB35_PRIORITY OB35_OB_NUMBR OB35_RESERVED_1 OB35_ RESERVED_2 OB35_PHASE_OFFS ET OB35_ RESERVED_3 OB35_ EXC_FREQ OB35_DATE_TIME Kiu Byte Byte Byte Byte Byte Byte Word Int Int Date_An d_Time So vi cc bin trong local block ca OB1 th bin OB35_EXC_FREQ v OB35_PHASE_OFFSET l hi khc v mt ngha s dng v cn c gii thch r thm: OB35_EXC_FREQ cha chu k pht tn hiu ngt (mc nh l 100ms hoc c qui nh li thnh Ta nh Step7). Gi tr v ngha Bits 0-3=1(Coming event), Bits 4-7=1(Event class 1) Bo OB5 c thc hin bng gi tr 16#36 C gi tr l 11(th t u tin) 35.L ch s ca khi OB35 D tr (ca h iu hnh) D tr (ca h iu hnh) Thi gian tr (miliseconds) D tr (ca h iu hnh) Chu k thi gian thc hin (miliseconds) Thi im OB35 bt u c thc hin

108

OB35_PHASE_OFFSET cha khong thi gian tr k t khi xut hin tn hiu bo ngt cho ti khi OB35 c gi. Thng thng nh ny c ni dung bng 0, song trong mt s trng hp ng dng ngi ta vn phi gn cho n mt gi tr dng khc 0 nhm trnh nguy c nhiu khi OB30 OB38 cng c thc hin mt lc d gy ra li v thi gian cho h thng. Nh ni, ngay khi pht hn thy mt trong cc khi OB30 OB38 c trong Load memory h thng s t ng tch cc ch pht tn hiu bo ngt theo chu k 100ms. Chu k Ta =100ms mc nh c th sa li c nh cng c phn mm Simatic Manager nhng gi tr sa li l c nh trong sut qu trnh thc hin chng trnh ng dng sau ny, tc l ta ch c th sa li chu k T a pht tn hiu ngt khi CPU ch STOP v phi s dng Simatic Manager np tham s mi cho module CPU. Linh hot hn so vi vic sa i li T a , ta c th tch cc hoc hu b ch ngt theo chu k bng nhng hm c sn trong h iu hnh v do khng cn phI chuyn v trng thi STOP. C th l: Hm SFC39 (tn hnh thc DIS_IRT) c tc dng che ngt. Hm SFC40 (tn hnh thc EN_IRT) c tc dng b mt n che ngt. Hm SFC41 (tn hnh thc DIS_AIRT) c tc dng che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c s l. Hm SFC42 (tn hnh thc EN_AIRT) c tc dng b mt n che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c s l. Ngt ti mt thi im nh trc (OB10 OB17) Khi OB10 ni ring (v d cho module CPU 314) v cc khi OB10 OB17 ni chung (ph thuc chng loi ca module CPU) s c h iu hnh gi mt ln ti mt thi im nh trc hoc nhiu ln k t thi im cho. Khi c gi nhiu ln k t thi im c xc nh ta c th qui nh: Mi pht mt ln, Mi ting mt ln, Mi ngy mt ln, Mi tun mt ln, Mi thng mt ln,
109

Mi nm mt ln. Khi OB10 c local block ( tng t cho c cc khi OB11 OB17 ) : Tn hnh thc OB10_EV_CLASS OB10_STRT_INFO OB10_ PRIORITY OB10_OB_NUMBR OB10_RESERVED_1 OB10_RESERVED_2 OB10_PERIOD_EXE Kiu Byte Byte Byte Byte Byte Byte Word Gi tr v ngha B#16#11=ngt ang c tch cc B#16#11=OB10 c gi v thc hin C gi tr l 2(th t u tin) 10 l ch s ca khi OB10 D tr (ca h iu hnh) D tr (ca h iu hnh) M qui nh v ch thc hin s l ngt W#16#0000 : mt ln W#16#0201: mi pht mt ln W#16#0401: mi gi mt ln W#16#1001: mi ngy mt ln W#16#1201: mi tun mt ln W#16#1401: mi thng mt ln OB10_RESERVED_3 Int OB10_RESERVED_4 Int OB10_DATE_TIME Date_And_T ime C hai cch nh ngha thi im pht tn hiu ngt v quy nh ch lm vic (mt ln hay nhiu ln) cho OB10 OB17. Cch th nht l s dng cng c phn mm Simatic Manager v cch th hai l s dng hm SFC28 c tn hnh thc SET_ TINT ca h thng. Sau khi nh ngha thi im gi OB10, bn thn khi OB10 cng cn phi c tch cc. Ta cng c hai cch tch cc khi OB10 hoc bng cng c phn mm Simatic Manager hoc nh hm SFC30 (c tn hnh thc CAN_TINT) ca h thng. hu b trng thi tch cc ca khi OB10 ta s dng hoc cng c phn mm Simatic Manager hoc nh hm SFC29 (c tn hnh thc CAN_TINT). Tn hiu bo ngt ti thi im nh trc ny c th c che nh hm SFC39 (c tn hnh thc DIS_IRT) hay bc mt n che nh hm SFC40 (c tn hnh thc
110

W#16#1801: mi nm mt ln D tr (ca h iu hnh) D tr (ca h iu hnh) Thi im OB10 bt u c thc hin.

EN_IRT). Ngoi ra ta cng c th s dng hm SFC41 (c tn hnh thc DIS_AIRT) che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l hoc hm SFC42 (c tn hnh thc EN_AIRT) b mt n che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l. IV.6.3 X l li h thng Li h thng c hai loi: Li asynchronous (li khng ng b), bao gm: + li vt qu thi gian xoay vng cho php OB80, + li s c ngun nuI (v d khng c pin) OB81, + li s c module (v d chp mch trn module vo) OB82, + li thiu khi OB cha chng trnh x l ngt OB85, + li truyn thng OB87. Li synchronous (li ng b), bao gm: + li lp trnh (v d thiu khi DB, FC hoc FB) OB121, + li truy nhp module (v d c lnh truy nhp module m rng nhng li khng tm thy module ) OB122. Khi gp li khng ng b, h thng s chuyn CPU v trng thi STOP. Tt c cc tn hiu bo ngt li khng ng b u c th c che hoc b mt n che nh s dng: Hm SFC39 (tn hnh thc DIS_IRT) c tc dng che ngt. Hm SFC40 (tn hnh thc EN_IRT) c tc dng b mt n che ngt. Hm SFC41 (tn hnh thc DIS_AIRT) c tc dng che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l. Hm SFC42 (tn hnh thc EN_AIRT) c tc dng b mt n che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l. X l li v thi gian thc hin chng trnh (OB80) Khi OB80 s c h thng gi khi: Thi gian thc hin chng trnh vt qu thi gian vng qut cc i cho php. Mc nh mi vng qut c quy nh l phI thc hin khng qu 150ms. S quy nh ny l cc thit c th m bo tnh thi gian thc ca chng trnh iu khin. Mc d ta c th s dng phn mm Simatic Manager tng khong thi gian
111

vng qut cc i cho php, song iu ny l hon ton khng nn, nht l khhi phI iu khin i tng bin i nhanh. Theo thit k, mt trong s OB10 OB17 ng ra phi c gi ti mt thi im nh trc, song v mt l do no , v d nh do ng h thi gian thc hin ca CPU b chnh li, m iu khng c thc hin. H thng ang phi x l mt tn hiu ngt cha xong m gp phi tn hiu bo ngt cng loi. V d nu thi gian cn thit thc hin OB35 li lu hn chu k pht tn hiu bo ngt Ta khai bo th s xy ra trng hp OB35 cha c x l xong h thng li phi gi OB35 x l cho ln tip theo. Gp phi li trong chng trnh ca mt khi OB, chng hn nh li logic, li thut ton Ngay c trong trng hp gp mt tn hiu ngt cng hoc ngt theo chu k thi gian nhng li khng c khi OB tng ng (OB40 hay OB35) ca tn hiu ngt , h thng cng chuyn sang gi khi OB80 ng thi a CPU v trng thi STOP. Nh vy, s c nhiu loi tn hiu bo ngt li khc nhau cng gi n OB80. Chng s c OB80 phn bit vi nhau trong qu trnh x l bng m nhn bit kiu li. Tng ng vi nhng m nhn bit kiu li khc nhau, khi OB s t t chc cho mnh cc local block khc nhau. Local block ca khi OB80 cho trong trng hp a) c cu trc nh sau: Tn hnh thc OB80_EV_CLASS OB80_FLT_ID OB80_PRIORITY OB80_OB_NUMB Kiu Byte Byte Byte Byte Gi tr v ngha B#16#35.M nhn bit th nht B#16#01. M nhn bit th hai 26 (th t u tin). 80. L ch s ca khi OB80 D tr (ca h iu hnh). D tr (ca h iu hnh). Thi gian vng qut va thc hin. Thi gian vng qut ngn nht thc hin.
112

R OB80_RESERVED Byte _1 OB80_RESERVED Byte _2 OB80_LAST_CYL OB80_MIN_CYL Word Word

OB80_MAX_CYL OB80_DATE_TIM E

Word Date_And_Time

Thi gian vng qut lu nht thc hin. Thi im OB80 bt u thc hin.

Local block ca khi OB80 cho cc trng hp b), c), d) nh sau: Tn hnh thc OB80_EV_CLASS OB80_FLT_ID OB80_PRIORITY OB80_OB_NUMR OB80_RESERVED_1 OB80_RESERVED_2 OB80_ERR_INFO OB80_ERR_EV_CL A OB80_ERR_EV_NU M OB80_OB_PRIORIT OB80_OB_NUM OB80_DATE_TIME Kiu Byte Byte Byte Byte Byte Byte Word Byte Byte Byte Byte Date_And_Ti Gi tr v ngha B#16#35. M nhn bit th nht M nhn bit th hai B#16#02. B#16#03. B#16#07. 26 (th t u tin). 80. L ch s ca khi OB80. D tr (ca h iu hnh). D tr (ca h iu hnh). Kiu li pht hin c. Kiu tn hiu ngt. S hiu tn hiu ngt. Th t u tin ca khi OB ang c thc hin th xut hin tn hiu bo ngt. Tn khi OB ang c thc hin th xut hin tn hiu bo ngt. Thi im OB80 bt u c thc hin.

me IV.6.4 X l li thiu khi OB (OB85) H thng s gi khi OB85 khi gp li ng b cng nh tn hiu bo ngt ti thi im nh trc nhng li khng tm thy cc khi OB tng ng x l nhng tn hiu ngt ny (v d thiu khi OB10, OB82).Khi OB85 cng c h thng gi nu trong chng trnh ng dng c s dng cc hm chun ca h thng (SFC) m nhng hm ny li truy nhp n khi OB khng c trong b nh, v d chng trnh s dng hm SFC30 tch cc OB10 nhng khng tm thy OB10 trong b nh. Nu khng tm thy OB85, h thng s chuyn CPU v trng thi STOP. Tn hiu ngt bo li thiu khi OB ny c th c che nh hm SFC39 (tn hnh thc DIS_IRT) hoc b mt n che nh hm SFC40 (tn hnh thc EN_IRT). Ta
113

cng c th s dng hm SFC41 (tn hnh thc DIS_AIRT) che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l hoc hm SFC42 (tn hnh thc EN_AIRT) b mt n che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l. Local block ca khi OB85 c dng: Tn hnh thc OB85_EV_CLASS OB85_FLT_ID Kiu Byte Byte Gi tr v ngha B#16#35. M bo kiu li B#16#A1: Chng trnh SFC khng tm thy khi OB. B#16#A1: H iu hnh khng tm OB85_PRIORITY OB85_OB_NUMR OB85_RESERVED_1 OB85_RESERVED_2 OB85_RESERVED_3 OB85_ERR_EV_CL Byte Byte Byte Byte Word Byte thy khi OB 26 (th t u tin). 85. L ch s ca khi OB85. D tr (ca h iu hnh). D tr (ca h iu hnh). D tr (ca h iu hnh). Dng tn hiu bo li. Ch s tn hiu bo li. Th t u tin ca OB ang c thc

A OB85_ERREV_NUM Byte OB85_OB_PRIORIT Byte OB85_OB_NUM OB85_DATE_TIME

hin khi c li Byte Tn khi OB ang thc hin khi c li. Date_And_Time Thi im OB85 bt u c thc hin.

IV.6.5 X l li truyn thng (OB87) H thng s gi khi OB87 khi c li truyn thng, v d nh li time out khng tm thy thy OB87 x l li, h thng s chuyn CPU v trng thi STOP. Tn hiu ngt bo li truyn thng c th c che hoc b mt n che nh: Hm SFC39 (tn hnh thc DIS_IRT) c tc dng che ngt. Hm SFC40 (tn hnh thc EN_IRT) c tc dng b mt n che ngt. Hm SFC41 (tn hnh thc DIS_AIRT) c tc dng che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l.

114

Hm SFC42 (tn hnh thc EN_AIRT) c tc dng b mt n che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l. Local block ca khi OB87 c dng: Tn hnh thc OB87_EV_CLASS OB87_FLT_ID Kiu Byte Byte Gi tr v ngha B#16#35. M bo kiu li: B#16#D2: khng pht c tn hiu yu CPU kim tra (diagnostic). B#16#E1: Sai cu trrc ID khi truyn thng vi global data (GD). B#16#E2: Khng a c thng tin trng thi ca GD vo DB. B#16#E6: Nhm thng tin trng thI ca OB87_PRIORITY OB87_OB_NUMR OB87_RESERVED_1 OB87_RESERVED_2 OB87_RESERVED_3 OB87_RESERVED_4 OB87_DATE_TIME Byte Byte Byte Byte Word Dword Date_And_Tim e GD khng chuyn c vo DB. 26 (th t u tin). 87. L ch s ca khi OB87. D tr (ca h iu hnh). D tr (ca h iu hnh). D tr (ca h iu hnh). D tr (ca h iu hnh). Thi im OB87 bt u c thc hin.

IV.6.6 Nhng hm chun qun l ngt Che v b mt n che cc tn hiu ngt, tn hiu bo li khng ng b Hm SFC39 (tn hnh thc DIS_IRT) c tc dng che ngt. Hm SFC40 (tn hnh thc EN_IRT) c tc dng b mt n che ngt. Hm SFC41 (tn hnh thc DIS_AIRT) c tc dng che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l.
115

Hm SFC42 (tn hnh thc EN_AIRT) c tc dng b mt n che tt c cc ngt c mc u tin cao hn tn hiu ngt ang c x l. Tn hiu bo li ng b
B c h e ?
No

Ghi nh m tn hiu li
Yes

Yes

C kh i OB ? Gi khi OB

No

Chuyn CPU v STOP

Hnh 4.6 Cc bc h iu hnh x l tn hiu bo li ng b. Cc bc x l tn hiu bo li ng b ca h iu hnh Li ng b c hai loi: Loi li lp trnh (x l bi OB121) v Loi li truy nhp module (x l bi OB122), Trong mi loi c nhiu kiu li. Nu ta c mt cch nhn tng qut v cc kiu li s rt cn thit cho vic s dng cc hm SFC36, SFC37. Cc kiu li lp trnh (OB121) a. Li bin i BCD: Tn hiu bo li ny s c pht khhi h thng gp phi lnh i kiu d liu c cu trc BCD vi mt ch s ngoi khong 0 9. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: L BTI W#16#2E //Li, v ch E nm ngoi khong 09

b. Li c nh ngoi min cho php: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh c ni dung mt nh c a ch nm ngoi min cho php. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: L MW300 //Li, v min bin c (M) ch c 256 byte

116

c. Li ghi vo nh ngoi min cho php: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh ghi vo nh c a ch nm ngoi min cho php. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: T MW320 // Li, v min bin c (M) ch c 256 byte d. Li c nh c a ch sai cu trc: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh c mt nh nhng a ch li sai cu trc. Thng thng vic truy nhp nh c cu trc a ch sai m khng b pht hin sai c php khi son tho l nhng lnh truy nhp thng qua con tr. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: LAR1 L P#0.0 W[AR1,P#0.0] //Li, v a ch ng phi l IW[AR1, P#0.0]

e. Li ghi vo nh c a ch sai cu trc: Tn hiu bo li khiu ny s c pht hin khi h thng gp phi lnh ghi vo mt nh c a ch sai cu trc. Thng thng vic truy nhp nh c cu trc sai a ch m khng b pht hin sai c php khi son tho l nhng lnh truy nhp thng qua con tr. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: LAR1 T f. P#4.0 W[AR1,P#0.0] //Li, a ch ng phi l QW[AR1, P#0.0]

Li sai tn Timer: Tn hiu bo li kiu ny s xut hin khi gp phi lnh lm vic vi Timer c tn nm ngoi khong m CPU cho php. V d CPU 312 ch c 128 Timer nn h thng s pht tn hiu bo li kiu ny nuMW0=129 v gp lnh : SP T[MW0] //Li , nu MW0 c gi tr l 129

g. Li sai tn Counter : Tn hiu bo li ny s c pht khi h thng gp phi lnh lm vic vi Counter c tn nm ngoi khong CPU cho php. V d CPU312 ch c 64 Counter nn h thng s pht tn hiu bo li kiu ny nu MW0 = 100 gp lnh : CU C[MW0] //Li ,nu MW0 c gi tr l 100 h. Li c nh qua con tr c a ch sai: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh c ni dung nh c kch thc bytes,word

117

hay dword nhng con tr li c phn a ch bit 0. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: LAR1 P#M0 .2 L i. B[AR1, P# 0.0] // Li v mt bytes c tnh t bit th 0 Li c nh qua con tr c a ch sai: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh c ni dung nh c kch thc bytes,word hay dword nhng con tr li c phn a ch bit 0. V d h thng s pht tn hiu bo li kiu ny nu gp lnh: LAR1 P#M0 .2 T B[AR1, P# 0.0] // Li v mt bytes c tnh t bit th 0 j. Li lm vic vi DB: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh ghi d liu vo mt DB (share) ch cho php c k. Li lm vic vi DI: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh ghi d liu vo mt DI (Instance) ch cho php c. l. Li m mt DB c tn qu ln: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh m mt DB (share) c tn nm ngoi min m CPU cho php. m. Li m mt DI c tn qu ln: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh m mt DI (Instance) c tn nm ngoi min m CPU cho php. n. Li gi mt khi FC c tn qua ln: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh gi mt khi FC c tn nm ngoi min m CPU cho php. o. Li gi mt khi FB c tn qua ln: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh gi mt khi FB c tn nm ngoi min m CPU cho php. p. Li m mt khi DB khng c trong b nh: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh m mt khi DB nhng khi ny li cha c np vo b nh (Load memory) ca CPU

118

q. Li m mt khi FC khng c trong b nh: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh m mt khi FC nhng khi ny li cha c np vo b nh (Load memory) ca CPU. r. Li m mt khi FB khng c trong b nh: Tn hiu bo li kiu ny s c pht khi h thng gp phi lnh m mt khi FB nhng khi ny li cha c np vo b nh (Load memory) ca CPU Cc kiu li truy nhp module (OB122) s.Li c t module tn hiu: Tn hiu bo li kiu ny s c pht khi h thng thc hin lnh c cng mt module tn hiu (SM) nhng li khng tm thy module ny hoc CPU khng thc hin c s kt ni vi module (time out). t. Li ghi ra module tn hiu: Tn hiu bo li kiu ny s c pht khi h thng thc hin lnh ghi ra cng mt module tn hiu (SM) nhng li khng tm thy module ny hoc CPU khng thc hin c s kt ni vi module (time out). Tch cc v hu b ngt thi im Khi tn hiu bo ngt theo thi im c pht ra, h thng s gi khi chng trnh tng ng OB10OB17 x l. S cc khi OB x l tn hiu ngt ti thi im nh trc ph thuc vo tng chng loi module CPU m ta s dng. V d vi CPU314 ta ch s dng c OB10 c th t u tin l 2. khai bo s dng OB10OB17, c cc cng c: 1) Xc nh thi im pht tn hiu bo ngt bng phn mm Simatic Manager. 2) Tch cc ngt nh phn mm Simatic Manager hoc nh hm h thng SFC30 c tn hnh thc ACT_TINT. 3) Hu b tn hiu ngt ang tch cc nh hm SFC29 c tn hnh thc QRY _TINT. 4) Xem trng thi tn hiu ngt nh hm SFC31c tn hnh thc QRY_TINT Trc khi s dng cc hm h thng trn, khi OB10 OB17 phi c trong Load memory ca CPU. Trong qu trnh thc hin, nu khng tm thy cc khi OB ny h iu hnh s gi OB85 x l li thiu khi OB v nu cng khng tm thy OB85, n s chuyn CPU v trng thi STOP.
119

Khi tch cc tn hiu ngt ti thi im cho trc m v mt l do no ta li chnh li ng h thi gian ca CPU th c th s gy ra nguy c ng h c chnh (tin hoc li) qua thi im pht tn hiu ngt. Trong trng hp nh vy h iu hnh s gi khi OB80 thc hin chng trnh x l li khng ng b v thi gian v nu cng khng tm thykhi OB80, n s chuyn CPU v trng thi STOP. Thay i ch lm vic ca module m rng Khi ni v tn hiu ngt cng vi cc khi chng trnh x l ngt OB40OB47 cng nh v vic tch cc ch t chun on li ca nhng module tn hiu c chng (module tn hiu c ngt cng, t chun on). module CP, module FM, ta c cp n kh nng t tham s ch lm vic t chng trnh ng dng thng qua mt s hm trao i tham s gia CPU v module m rng, tc l ngay c khi CPU ang trng thi RUN Vi nhng hm ny chng trnh ng dng c th mm do s dng nhiu ch lm vic khc nhau ca module m rng. V d: Ta c th ly vic c cng tng t lm v d. Chng hn theo yu cu bi ton th c lc tn hiu tng t u vo c dng l dng, c lc l p. Ngay c khi c nh dng tn hiu vo l p th c lc n l tn hiu thuc di 10V, c lc li nm trong di 2.5V. iu ny chng trnh ng dng s nhn bit c thng qua tn hiu s ti cng I0.0. Nu I0.0=1 th dng tn hiu tng t c ti a ch PIW256 l p trong di 10V, ngc li khi I0.0=0 th n s l in p thuc khong 2.5V. Mt s module tng t ca S7-300 cho php tu chn ch lm vic vi tn hiu tng t dng in p hay dng cng nh di gi tr tn hiu, 10mA, 5mA, 3.2mA. Tuy nhin nu ch s dng Simatic Manager quy nh ch lm vic cho module tng t th trong sut qu trnh thc hin chng trnh hoc ta ch c th lm vic hoc vi tn hiu thuc di 10V, hoc 2.5V m khng thay i theo I0.0 nh yu cu bi ton t ra. y gii quyt c yu cu bi ton, bt buc phi thay i ch lm vic mi t chng trnh ng dng ti module tng t. Cc hm cho php ta t chng trnh ng dng truy nhp vo thanh ghi xc nh ch lm vic ca module m rng bao gm:

120

SFC55 (tn hnh thc WR_PARM) ghi tham s t cu hnh cho module.Tham s cu hnh ny c ngi s dng t xy dng v t chc thnh mt khi d liu (DB) c cu trc ph hp vi chng loi module. SFC56 (tn hnh thc WR_DPARM) sa i mt vi tham s cu hnh ca module. Nhng tham s ny phi c ly t cc khi d liu c ca h thng (SDB100SDB103). SFC57 (tn hnh thc PARM_MOD) sa i ton b tham s cu hnh ca module. Tt c cc tham s ny phi c ly t cc khi d liu c ca h thng (SDB100SDB103). Sau y l mt s ch lm vic ca cc module m rng c th thay i c t chng trnh ng dng: a) Pht tn hiu ngt cng (OB40OB47) t module tn hiu vo/ra s. Tn hiu ngt cng c pht khi xut hin sn ln hoc xung ca tn hiu logic ti cng vo ca module. b) T chun on li ca module vo/ra s, ca module vo/ra tng t (OB82). c) Quy nh li dng tn hiu tng t (p hay dng) c ti cng vo tng t ca module. d) Xc nh li di tn hiu cho php ca tn hiu tng t. e) Pht tn hiu bo ngt nu tn hiu tng t u vo nm ngoi khong c nh ngha. Khong gi tr c nh ngha ny tt nhin phi nm trong di tn hiu cho php, chng hn di tn hiu l 10V c chuyn i sang ton b khong bin i ca s nguyn 16 bits l t -32768 n 32767 nhng ta c ther quy nh li l chng ch c php nm trong khong -2000 n 2000. Bn cnh nhng ch va nu cn c mt vi ch lm vic khc ca module m rng c th c thay i bi chng trnh ng dng. Hm FSC55 (WR_PARM) Hm FSC55 c tc dng truyn tham s t cu hnh cho module m rng. Tham s phi c t chc thnh nhng khi d liu (DB), chng hn nh cc khi DB45. Qu trnh truyn tham s ny l khng ng b, ni cch khc trong thi gian truyn tham s, module khng c kh nng giao tip vi ngoi vi bi vy chng trnh ng dng cn phi i cho ti khi qu trnh truyn tham s c hon ttmi c th s
121

dng c module v lm c iu ny,hm FSC55 c bin BUSY thng bo lc no hon thnh qu trnh truyn tham s. Hm SFC55 c cc tham bin hnh thc vo-ra nh sau: Loi bin IN IN Tn bin REQ IOID Kiu d liu ngha Bool Byte Tn khi OB cha chng trnh x l ngt (v d OB10). Vng truy nhp: B#16#54: Vo. IN IN LADDR Word RECNUM Byte B#16#55: Ra. a ch ca module. Ch s ca data record. y nu tham s cu hnh c ngi s dng t t chc th IN OUT OUT RECORD RET_VA L BUSY Bool Any RECNUM phi l 1. Tn khi d liu cha tham s v di (tnh theo bytes). M bo li khi thc hin hm . Bo tham s ang c truyn.

REQ: Yu cu gi. Hm ch thc s bt u cng vic gi tham s ti module nu REQ=1. Tuy nhin, hm cng vn s hon thnh nt cng vic nu ang trong qu trnh gi m tn hiu yu cu gi REQ tr v 0. BUSY: M hiu bo ang bn truyn. Khi hm cha hon thnh xong cng vic gi tham s ti module, BUSY vn c gi tr 1. Vic gi tham s c th phi ko di vi vng qut v BUSY=0 ch khi cng vic gi tham s thc s hon tt. RET_VAL: M hiu bo li ca hm: M hiu W#16#8090 W#16#8091 W#16#8092 W#16#8093 ngha a ch module nm ngai min nh ngha. a ch module sai cu trc. Kiu d liu ca tham s trong khi DB khng ph hp vi loi bin (byte, word, dword). Sai gi tr ca IOID.
122

W#16#80A1 W#16#80B1 W#16#80B3 W#16#80D2

Khng truyn c tham s (ng truyn khng thng). di ca DB cha tham s khng ng. Module tm thy slot ch th li kiu khc. Tham s khng ng cho module.

123

IV.7 Lm vic vi tn hiu tng t v b m xung tc cao IV.7.1 X l tn hiu analog a, a ch vo ra v di o cc tn hiu PI : Min a ch cng vo ca cc Module tng t (I/O External input). Cc gi tr tng t ti cng vo ca module tng t s c c v chuyn t ng theo nhng a ch c cu hnh phn cng. Chng trnh ng dng c th truy cp min nh PI theo tng Byte (PIB), tng t PIW hoc tng t kp PID . PQ: Min a ch cng ra cho cc module tng t (I/O External Output). Cc gi tr theo nhng a ch ny s c module tng t chuyn ti cc cng ra tng t. Chng trnh ng dng c th truy nhp min nh PQ theo tng Byte (PQB), tng t (PQW) hoc theo tng t kp (PQD). Trn mi Slot c kh nng nh a ch 16 bytes. PI v PQ ly a ch bt u nh nhau V d: Slot 5 gn module analog AI 4/ AO 4 th module c a ch nh sau: a ch 4 u vo: PIW272, PIW274, PIW276, PIW278 a ch 4 u ra: PQW272, PQW274, PQW276, PQW278 Dng tn hiu chuyn i: c 2 dng: tng t sang s; s sang tng t Thang o in p v dng in: Mun o in p, dng in ta chn module Analog ph hp vi yu cu cn o. Chn gi tr thang o khi thit lp cu hnh phn cng, tu thuc yu cu dy in p cn o. Gi tr s nguyn ca kt qu o c c t PI (thanh ghi m cha gi tr analog u vo) ph thuc vo ln in p cn o v thang o c chn. Gi tr o ph thuc tuyn tnh vi in p o trong phm vi danh nh ca thang o. Gi tr s ca thang o: Di o Di o Di o Di o Di o Tn hiu s 80 mV 250 mV 500 mV 1000 mV 2500 mV 27648 5V 10 V 10 mA 3.2 mA 27648 1 - 5V 0 - 20 mA 4 - 20 mA 0 - 27648 - Thang o in tr, nhit : Khi cn o in tr, nhit ta cn chn module thch hp. Chn u vo in tr, nhit , loi cm bin c thc

124

hin trong xy dng cu hnh phn cng. Gi tr o c tuyn tnh trong pham vi danh nh. Thang o in tr: Di o 0 150 Ohm Thang o nhit : Di o (0C) Pt 100 Standard, - 200 -> 850 Pt 100 Climate, - 200 -> 850 Ni 100 Standard, - 60 -> 250 Ni 100 Climate, - 60 -> 250 Cm bin loi K, - 270 -> 1372 Cm bin loi N, - 270 -> 1300 Cm bin loi J, - 210 -> 1200 Cm bin loi E, - 270 -> 1000 Cm bin loi L, - 200 -> 900 0C - Gi tr u ra PQ a n cc ra tng t Di o 0 -> 10 V 1 -> 5 V 10 V 0 -> 20 mA 4 -> 20 mA 20 mA b, X l tn hiu tng t c tt tn hiu Analog trc ht ta phi xc nh tn hiu c Analog l tn hiu loi g ( 0-10V,4-20mA,cch u 2 dy,cch u 4 dy) Bc k tip l phi chn ng loi tn hiu trn phn cng ( Chn loi tn hiu trn Modul c knh Analog) v chn ng trn cu hnh phn cng cho ph hp, nu chn 2 bc ny khng tng thch th n System Fault ca Modul Analog s sng v knh Analog s c sai. Xc nh ng tn hiu s dng,n cc hay lng cc Xc nh a ch cho tng knh Analog ( vd: PIW256) Tn hiu s - 2000 -> 8500 - 1200 -> 1300 - 600 -> 2500 - 600 -> 2500 - 2700 -> 13720 - 2700 -> 13000 - 2100 -> 12000 - 2700 -> 10000 - 2000 -> 9000 Tn hiu s 0 -> 27648 0 -> 27648 27648 0 -> 27648 0 -> 27648 27648 Di o 0 300 Ohm Di o 0 600 Ohm Tn hiu s 0 - 27648

Tn hiu analog u vo l cc s nguyn INT. Mun tnh ton trn cc kiu d liu khc phi dng cc hm bin i thch hp.
125

Bin i s nguyn sang BCD: ITB Bin i s nguyn sang s nguyn di: ITD Bin i s nguyn di sang s thc: DTR Bin i s nguyn di sang BCD: DTB Bin i s thc sang s nguyn di: TRUNC c, Cc hm chuyn i c bn Trong th vin Standar, mc TI-S7 Converting Blocks c 2 hm c bn thng c s dng trong vic chuyn i i lng, l hm SCALE v UNSCALE. Hm chuyn i SCALE FC 105 y l hm chuyn i t dng tn hiu u vo PIW ca ca module tng t dng INT sang dng i lng cn o.

th bin i ca hm FC105 Hm tuyn tnh: OUT = [{Hi(lim) Lo(lim)}{IN K1} / { K2 - K1}] + Lo(lim) Trong : OUT: gi tr i lng o, dng s thc. IN: S t PIW, dng s nguyn. K2: gi tr s nguyn gii hn trn u vo PIW. K1: gi tr s nguyn gii hn di u vo PIW. Hi(lim): gii hn trn i lng cn o Lo(lim): gii hn di i lng cn o u vo Bipolar: - 27648
126

u vo Unipolar: 0 (Ngo vao Bipolar xac inh co chuyn i gia tri m hay khng. Bipolar = 1 =>ngo vao 2 cc => co chuyn i gia tri m. Bipolar = 0 => mt cc => ko chuyn i gia tri m) V d ng dng: Nu u vo PIW288 ca module analog c thang o 80 mV, CPU s nhn c gi tr t 27648 nu c cc. Qua hm FC105 s chuyn i gi tr nhn c thnh s mV mong mun. Vit chng trnh v kim tra chng trnh hot ng.

Hm chuyn i UNSCALE FC106

Hm FC106 chuyn i i lng cn a ra cng iu khin ca module analog t gi tr thc thnh gi tr s nguyn.

127

th bin i ca hm FC 106 Dng bin i c cng thc: OUT=[(K2-K1)*(IN-Lo(lim)]/[Hi(lim)-Lo(lim)] + K1 OUT: u ra hm, dng s nguyn. IN: u vo, dng s thc. K2: gii hn trn ca s nguyn u ra: 27648 (vi module c phn gii) K1: Gii hn di ca s nguyn u ra, Bipolar l 27648, Unipolar l 0 V d: Ta mun truyn ra module analog u ra PQW290, thang o 0-10V, gi tr in p 3.3V cha trong MD24. Thay i gi tr trong MD24, tn hiu u ra analog s thay i theo.

128

Ngoi ra, nu da trn di o ca cm bin, ta c th vit hm ring cho tng loi cm bin o thay v s dng cc hm xy dng sn trong phn mm. IV.7.2 m xung tc cao Xung tc cao c c thng qua nhng Modul c xung tc cao hoc c th c c thng qua CPU c tch hp sn nhng I/O c kh nng c xung tc cao nh CPU 312C,313C Vic c xung tc cao l ht sc cn thit cho nhng ng dng c xung Encoder, hay c xung ca nhng Input tc cao. Tu thuc tng loi CPU cng nh Modul c xung tc cao m c nhng cch thc u ni dy khc nhau,do vy vic u ni dy cn phi xem ti liu trc khi thc hin. Cn phi xc nh ch c xung trc khi u ni ( vd : ch c 2 xung,ch c 1 xung ). Hm c xung tc cao: SFB 47 (DB47)

129

Chi tit c th c th xem trong File Help ca SFB47 bng cch chn SFB47 ri bm F1 Xc nh rng xung bng hm SFB49 (DB49).

Ngoi vic c xung tc cao bng hm SFB47,ta cn c th c tn s bng hm SFB48 (DB48). Cch thc nh dng hm SFB48 cng hon ton tng t hm SFB47, ch khc ng ra l tn s. Chi tit c th c th chn hm SFB48 ri bm F1.

130

You might also like