You are on page 1of 16

SISTEMAS DIGITALES SECUENCIALES TRABAJO COLABORATIVO N 1

TUTOR: CARLOS EMEL RUIZ

INTRODUCCION

Los ltimos aos se han visto marcados por el diseo y construccin de sistemas autnomos para la optimizacin de los procesos. Los robots son esencialmente sistemas tienen un gran nmero de implementaciones en la industria. El presente trabajo muestra el diseo de un pequeo robot, utilizando dispositivos electrnicos tales como flip-flop, temporizadores, etc. En el desarrollo del trabajo se mostrarn algunos aspectos importantes como el diagrama de bloques, descripcin de la forma en que los flip-flop pueden resolver el problema planteado. La implementacin del diseo ser ilustrada mediante simulacin en el software Proteus. Con el presente informe se evidencia la asimilacin de las temticas vistas en Sistemas Digitales Secuenciales, se logro disear y poner en funcionamiento un carro robot; utilizando como estrategia pedaggica el trabajo en equipo.

FASE 1: HARDWARE

DISEO GENERAL

Usando circuitera combinacional se debe disear un pequeo robot, impulsado por dos motores DC, uno en cada rueda trasera del vehculo. El carro contar con dos microswiches en su parte frontal que le servirn para detectar el impacto con un obstculo. El vehculo iniciara su marcha hacia adelante, una vez impacte, debe recordar este choque con el fin de que pueda retroceder girando en un sentido diferente luego de cada impacto. Cuando los sensores (microswiches) detecten el impacto del vehculo, ste deber retroceder con un tiempo de reversa de 5 segundos, este tiempo ser controlado con un temporizador 555 en modo monoestable, una vez transcurrido este perodo de tiempo, el vehculo deber iniciar nuevamente su marcha hacia adelante

LISTADO DE MATERIALES
REFERENCIA 74L04 7408 L293D 74LS76 KIA7805AP (2) (2) 100F,150 F, 10 F 45.4K 10K 74LS32 DESCRIPCION COMPUERTA NOT COMPUERTAS AND PUENTE H FLIP-FLOP TIPO JK REGULADOR MOTORES 12 Vdc SWITCH CONDENSADORES POTENCIOMETRO RESISTENCIAS COMPUERTAS OR

DESCRIPCION DE COMPONENTES
REFERENCIA NE555N DESCRIPCION MULTIVIBRADOR MONOESTABLE CON CIRCUITO INTEGRADO 555 El multivibrador monostable entrega a su salida un solo pulso de un ancho establecido por el diseador (tiempo de duracin). El esquema de conexin y las formas de onda de la entrada y salida se muestran en los siguientes grficos. Ver que el tiempo en nivel alto de la salida de multivibrador monostable depende del resistor R1 y el capacitor C1. La frmula para calcular el tiempo de duracin (tiempo que la salida est en nivel alto) es: T = 1.1 x R1 x C1 (en segundos)

L293D

KIA7805 AP

7805 Un regulador de tensin (a veces traducido del ingls como regulador de voltaje) es un dispositivo electrnico diseado con el objetivo de proteger aparatos elctricos y electrnicos sensibles a variaciones de diferencia de potencial o voltaje y ruido existente en la corriente alterna de la distribucin elctrica. Los reguladores de tensin estn presentes en las fuentes de alimentacin de corriente continua reguladas, cuya misin es la de proporcionar una tensin constante a su salida. Un regulador de tensin eleva o disminuye la corriente para que el voltaje sea estable, es decir, para que el flujo de voltaje llegue a un aparato sin irregularidades. Esto, a diferencia de un "supresor de picos" el cual nicamente evita los sobre voltajes repentinos (picos). Un regulador de voltaje puede o no incluir un supresor de picos. Los LM78xx son reguladores de salida positiva, mientras que la familia LM79xx son para voltajes equivalentes pero con salida negativa. As, un LM7805 es capaz de entregar 5 voltios positivos, y un LM7912 entregara 9 voltios negativos.

DIAGRAMA DE BLOQUES

COMO LOS FLIP-FLOP PUEDEN RESOLVER EL PROBLEMA PLANTEADO

El flip flop JK, empleado consta de dos entradas como el flip flop RS, las que son llamadas J y K El funcionamiento de este tipo de flip flop es similar a la del RS con entrada de reloj con la diferencia que el JK presenta lo que se denomina un modo conmutable. A partir de la tabla tenemos que si la J y K zona ambas de nivel bajo cuando el flanco de baja se presente, el estado de Q despus del flanco (Qn + 1) es igual al estado de Q antes del flanco (Qn), el flip flop no cambia de estado. En la segunda y tercera fila tenemos que si J=0 y K=1, la salida Q pasa a 0 y si J=1 y K=0, la salida Q pasa a 1. La ltima fila nos muestra una posibilidad, en la que las dos entrada en nivel alto es una condicin valida. Si J y K son iguales a 1 el flip flop cambiara al estado opuesto o conmutara, es decir que la salida Q despus del flanco es el complemento de la salida de Q antes del flanco , es decir que si J y K son

ambas de nivel alto cuando el flanco del reloj se presente, el Flip Flop se activara si estaba Desactivado y se Desactiva si estaba activo. La capacidad de conmutacin del Flip Flop JK lo hace extremadamente til en numerosas Aplicaciones, especialmente en las cuales se necesita contar.

CALCULO DEL RETARDO (5 Seg) Para el clculo del retardo empleamos la siguiente frmula:

Como sabemos que el tiempo debe ser de 5 seg, asumimos un valor de 100F para el condensador y tenemos que:

Este valor lo podemos ajustar con un potencimetro.

DESARROLLO DE CIRCUITO Diseamos y simulamos u n carro que al detectar obstculos en su camino

debe cambiar su rumbo dependiendo la activacin de los respectivos switchs; el vehculo consta de dos motores DC que pueden funcionar entre 5 y 12 vdc. Para cambiar el sentido de giro de un motor DC lo que se debe hacer es invertir su polaridad de alimentacin y esto es posible con el puente H en nuestro montaje empleamos el L293D. El integrado L293D incluye cuatro circuitos para manejar cargas de potencia media, en especial pequeos motores y cargas inductivas, con la capacidad de

controlar corriente hasta 600 mA en cada circuito y una tensin entre 4,5V a 36V.
Los circuitos individuales se pueden usar de manera independiente para controlar cargas de todo tipo y, en el caso de ser motores, manejar un nico sentido de giro. Pero adems, cualquiera de estos cuatro circuitos sirve para configurar la mitad de un puente H.

Puente H

Integrado permite formar, entonces, dos puentes H completos, con el cual se puede gobernar los dos motores. En este caso el manejo ser bidireccional, con frenado rpido y con posibilidad de implementar fcilmente el control de velocidad.

Las salidas tienen un diseo que permite el manejo directo de cargas inductivas tales como rels, solenoides, motores de corriente continua y motores por pasos, ya que incorpora internamente los diodos de proteccin de contracorriente para cargas inductivas.

IMPLEMENTACION DEL CIRCUITO EN PROTEUS

Solucin de la necesidad simulado en Proteus 7.7

FASE 2: SOFTWARE

DIAGRAMA DE BLOQUES EN VHDL

Librera: Library ieee; Use ieee. std_logic_1164.all; Entidad: Entity circuito_secuencial is

port (

clk: in std_logic; J0, K0: in std_logic; J1, K1: in std_logic; J2, K2: in std_logic; Q0, Neg Q0: outbuffer std_logic; Q1, Neg Q1: outbuffer std_logic; Q2, Neg Q2: outbuffer std_logic End circuito_secuencial; Arquitectura: Desarrollado en un estilo de comportamiento o tambin llamado behavioral, que define la funcionalidad del dispositivo mediante un algoritmo ejecutado secuencialmente, de forma muy parecida a como lo hace cualquier programa escrito en un lenguaje de programacin comn.

Architecture circ_seq of circuito_secuencial is begin Q0, Neg Q0: std_logic; J0, K0: std_logic_vector (1 downto 0); Q1, Neg Q1: std_logic; J1, K1: std_logic_vector (1 downto 0); Q2, Neg Q2: std_logic; J2, K2: std_logic_vector (1 downto 0); begin input0<= J0, K0; input1<= J1, K1; input2<= J2, K2; p: process( clk) is begin if rising_edge (clk) then case (input0) is when "11" => Q0 <= Neg Q0; when "10" => Q0 <= '1'; when "01" => Q0 <= '0'; when others => null; end case; case (input1) is when "11" => Q1 <= Neg Q1; when "10" => Q1 <= '1'; when "01" => Q1 <= '0'; when others =>

null; end case; case (input2) is when "11" => Q2 <= Neg Q2; when "10" => Q2 <= '1'; when "01" => Q2 <= '0'; when others => null; end case; end if; end process; End circ_seq
I. La etapa de sealizacin, conformada por el decodificador y el display de siete segmentos. Librera:

library ieee; use ieee.std_logic_1164.all; Entidad:

entity display_7segmentos is port ( Q2 downto Q0: in bit_vector ( 2 downto 0) ; Salida : out bit_vector (6 downto 0) ); end display_7segmentos ; Arquitectura: Desarrollada en el mismo estilo comportamental.

architecture disp_7segment of display_7segmentos is begin p: process ( begin case Q2 downto Q0 is when 000 => salida <= 0000000; when 001 => salida <= 0110000; when 011 => salida <= 1111001; when 101 => salida <= 1011011; when 111 => salida <= 1110000; when 010 => salida <= 1101100; when 100 => salida <= 0110011; Q2 downto Q0)

when 110 => salida <= 0011111; end case; end process; end disp_7segment;

DIAGRAMA FLUJO FUNCIONAMIENTO CARRO

Diagrama de Flujo del funcionamiento del carro

CONCLUSIONES El diseo del Robot nos permiti afianzar nuestros conocimientos en el campo de los circuitos secuenciales, entrando en el anlisis de cada uno de los componentes empleados en su elaboracin. Los flip-flop permiten el almacenamiento de un solo bit, y de esta manera no se pierde la informacin. Cabe anotar que los flip-flop son voltiles, es decir, que una vez se ha retirado la alimentacin se pierde el dato almacenado. La utilizacin de los flip-flop facilita el proceso de control, ya que al retener la informacin de los detectores, permite que se evada al obstculo en el momento apropiado. La utilizacin del puente H permiti cambiar la polaridad de los motores a partir de una fuente sencilla, y de esta manera obtener el movimiento hacia adelanta y hacia atrs del robot.

REFERENCIAS

Georffrey Acevedo Gonzlez. (2008). Modulo de Sistemas Digitales Secuenciales. Medellin, Colombia: UNAD

Sede Web del Campus Virtual de la UNAD. Recuperado el 20 de Marzo de 2011, de http://campus07.unadvirtual.org/moodle/course/view.php?id=14

Foro MundoDivX &H264.Manual CamStudio. Actualizado 02 de Marzo de 2009, Recuperado 12 marzo de 2011 en la direccin electrnica http://www.mundodivx.org/foro/index.php?topic=34625.0 Sede Web UNICROM. Recuperado el 21 de Marzo de 2011, de http://www.unicrom.com/tut_multivibrador_monostable_555.asp

Sede Web ROBOTS ARGENTINA. Recuperado el 24 de Marzo de 2011, de http://robots-argentina.com.ar/MotorCC_L293D.htm

Sede Web MIS ALGORITMOS. Recuperado el 28 de Marzo de 2011, de http://mis-algoritmos.com/aprenda-a-crear-diagramas-de-flujo

Sede Web de La Enciclopedia Virtual WIKIPEDIA. Recuperado el 01 de Abril de 2011, de http://es.wikipedia.org/wiki/Regulador_de_tensi%C3%B3n

You might also like