You are on page 1of 432

SILVACO International

4701 Patrick Henry Drive, Bldg. 1 February 2000


Santa Clara, CA 95054
Telephone (408) 567-1000
FAX: (408) 496-6080
ATHENA Users Manual
2D PROCESS SIMULATION SOFTWARE
ATHENA Users Manual
Copyright 2000
SILVACO International
4701 Patrick Henry Drive, Building 1
Santa Clara, CA 95054
Phone: (408) 567-1000
FAX: (408) 496-6080
SILVACO International iii
The i nformati on contai ned i n thi s document i s subject to change wi thout noti ce.
SILVACO International MAKES NO WARRANTY OF ANY KIND WITH REGARD TO THIS
MATERIAL, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTY OF FITNESS
FOR A PARTICULAR PURPOSE.
SILVACO International Inc. shal l not be hel d l i abl e for errors contai ned herei n or for i nci dental or
consequenti al damages i n connecti on wi th the furni shi ng, performance, or use of thi s materi al .
Thi s document contai ns propri etary i nformati on, whi ch i s protected by copyri ght l aws of the Uni ted
States. Al l ri ghts are reserved. No part of thi s document may be photocopi ed, reproduced, or transl ated
i nto another l anguage wi thout the pri or wri tten consent of SILVACO I nternati onal .
Si mul ati on Standard, TCADDri venCAD, Vi rtual Wafer Fab, Anal og Al l i ance, Legacy, ATHENA, ATLAS,
FASTATLAS, ODI N, VYPER, CRUSADE, RESI LI ENCE, DI SCOVERY, CELEBRI TY, Producti on Tool s,
Automati on Tool s, I nteracti ve Tool s, TonyPl ot, DeckBui l d, DevEdi t, I nterpreter, ATHENA I nterpreter,
ATLAS I nterpreter, Ci rcui t Opti mi zer, MaskVi ews, PSTATS, SSuprem3, SSuprem4, El i te, Optol i th,
Fl ash, Si l i ci des, SPDB, CMP, MC Deposi t, MC I mpl ant, Process Adapti ve Meshi ng, S-Pi sces, Bl aze,
Devi ce3D, Thermal 3D, I nterconnect3D, Bl aze 3D, Gi ga3D, Mi xedMode3D, TFT, Lumi nous, Gi ga,
Mi xedMode, ESD, Laser, FastBl aze, FastMi xedMode, FastGi ga, FastNoi se, MOCASI M, UTMOST,
UTMOST I I , UTMOST I I I , UTMOST I V, PROMOST, SPAYN, SmartSpi ce, Mi xSi m, Twi ster, FastSpi ce,
SmartLi b, SDDL, EXACT, CLEVER, STELLAR, HI PEX, Schol ar, SI REN, LI SA, Escort, Starl et, Expert,
Savage, Scout, Guardi an, and Envoy are trademarks of SILVACO I nternati onal .
1990, 1991, 1992, 1993, 1994, 1995, 1996, 1997, 1998, 1999, 2000 by SILVACO I nternati onal , I nc.
Notice
iv SILVACO International
We wel come your eval uati on of thi s manual . Your comments and suggesti ons hel p us to i mprove our
publ i cati ons. I f you have any responses to the questi ons bel ow, pl ease l et us know. Wri te your
observati ons down and send compl ai nts, bug reports, suggesti ons or comments to the e-mai l address
l i sted bel ow.
I s thi s manual techni cal l y accurate?
Are the concepts and wordi ng easy to understand?
I s the si ze of thi s manual conveni ent for you?
I s the manual 's arrangement conveni ent for you?
Do you consi der thi s manual to be easi l y readabl e?
Pl ease add any addi ti onal rel evant comments.
Pl ease FAX your comments to:
SILVACO I nternati onal
Attenti on Techni cal Publ i cati ons
4701 Patri ck Henry Dri ve, Bui l di ng 1
Santa Cl ara, CA 95054
at (408) 496-6080.
or
Send e-mai l to us at: support@si l vaco.com
or
Browse our Web Page at: http://www.SI LVACO.com
Reader Comment Sheet
SILVACO International v
Intended Audience
The i nformati on presented i s based on the assumpti ons that the reader i s (1) fami l i ar wi th the basi c
termi nol ogy of semi conductor processi ng and semi conductor devi ce operati on, and (2) understands basi c
operati on of the computer hardware and operati on system bei ng empl oyed.
Introduction
The ATHENA Two-Di mensi onal Process Si mul ati on Framework i s a comprehensi ve software tool for
model i ng semi conductor fabri cati on processes. ATHENA provi des faci l i ti es to perform effi ci ent si mul ati on
anal ysi s that substi tutes for costl y real worl d experi mentati on. ATHENA combi nes hi gh temperature
process model i ng such as i mpuri ty di ffusi on and oxi dati on, topography si mul ati on, and l i thography
si mul ati on i n a si ngl e, easy to use framework.
Edi ti ons are recorded bel ow under Hi story, and are i ndi vi dual l y l i sted as Edi ti ons 1 through 6. The basi c
i ssue of the manual i s Edi ti on 1. The date i s al so noted. A compl etel y revi sed manual resul ts i n a new
edi ti on.
History
Edi ti on 1 - Jul y 1, 1993
Edi ti on 2 - March 1, 1994
Edi ti on 3 - June 1, 1994
Edi ti on 4 - October 30, 1997
Edi ti on 5 - Apri l 30, 1997
Edi ti on 6 - November 30, 1998
Edi ti on 7 - February 9, 2000
vi SILVACO International
This page intentionally left blank.
Table of Contents
SILVACO International vii
Chapter 1:
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1
ATHENA Users Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1
Overview Of ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1
How To Use This Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1
Organization Of This Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2
Technical Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2
Features and Capabilities Of ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-3
Using ATHENA With Other Silvaco Software . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-4
The Value Of Physically-Based Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-5
Chapter 2:
Tutorial . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2
Getting Started . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
Running ATHENA Under DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
Loading And Running ATHENA Standard Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1
Online Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3
Creating a Device Structure Using ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Overview of the Procedure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
ATHENA Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Input Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4
Output Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
Standard Structure File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
Creating An Initial Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
Defining Initial Rectangular Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-5
Defining the Initial Substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
Simple Film Depositions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
Simple Geometrical Etches . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
Reducing Grid Points in Non Essential Areas using the Relax Parameter . . . . . . . . . . . . . . . . . . . . . . . . 2-20
Reflecting a Structure in the Y Plane using the Mirror Parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24
Specification of Electrodes in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24
Saving a Structure File for Plotting or Initializing an
ATHENA Input file for Further Processing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27
CHOOSING MODELS IN SSUPREM4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29
Implantation, Oxidation, RTA, Diffusion and Epitaxy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29
Why is There More Than One Model for Each Process? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29
Choosing an Appropriate Model Using the Method Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-29
When to Change the METHOD Statement During the Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30
Modeling the Correct Substrate Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-31
Simulating Ion Implantation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-33
Simulating Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-36
Important Notes for Simulating Rapid Thermal Anneals (RTA) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-38
Simulating Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39
ATHENA Users Manual
viii SILVACO International
Simulating the Epitaxy Process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-40
Calibrating ATHENA for a Typical MOSFET Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42
Input Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-42
Tuning Oxidation Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-43
Tuning Implantation Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45
Tuning Diffusion Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45
PMOS Tuning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-46
Related Issues on using the Device Simulator ATLAS for MOS Process Tuning . . . . . . . . . . . . . . . . . . . 2-46
Checking the Predictive Powers of Tuned Process Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47
Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47
Calibrating ATHENA for a Typical Bipolar Process Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47
Tuning Base and Collector Currents All Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49
Tuning the Base Current All Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49
Tuning the Collector Current All Regions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-49
The Base Current Profile Medium Injection. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-50
The Base Current Profile Low Injection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51
Conclusions: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51
Using Advanced Features of ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52
Structure Manipulation Tools . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52
Using the Structure FLIP Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52
Using the Stretch Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-52
Using ATHENA In 1D Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-53
Deposition and Wet/Dry Etching using the Physical Models in ATHENA/ELITE . . . . . . . . . . . . . . . . . . . . . . . 2-54
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54
Modifying ATHENA/ELITE Default Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-55
Defining ELITE Deposition Machines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-56
Defining ELITE Etch Machines. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58
Using A Specified Etch Machine . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-59
MaskViews Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-60
Defining Initial Rectangular Grid Using MaskViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-60
Using MaskViews for Generating Masks in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-66
Using ATHENA/OPTOLITH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68
Creating A Mask . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68
MaskViews . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69
Mask Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71
Illumination System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71
The Projection System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-73
Imaging Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74
Defining Material Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-77
Structure Exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-77
Post Exposure Bake . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-78
Development . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79
Post Development Bake . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79
CD Extraction, Smile Plots, And Looping Procedures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-79
Adaptive Meshing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-80
Introduction to Mesh Adaption . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-80
Adaption During Ion Implantation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81
SILVACO International ix
Table of Contents
Adaption During A Heat Cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-81
Adaptive Meshing Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83
The Mechanics of the Base Mesh Formation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84
Interface Mesh Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-84
Modes of Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90
Interactive Mode With DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90
Batch Mode With DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90
No Windows Batch Mode With DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90
Running ATHENA inside DeckBuild . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91
Running ATHENA In Standalone Mode (Without Deckbuild) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91
Frequently Asked Questions and Answers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92
ATHENA TOPICS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-92
ATHENA/SSUPREM4 Topics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-93
Chapter 3:
SSUPREM4 Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
Pair Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1
Mathematical Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
Generic Equations: . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4
The Fermi Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-5
Impurity Segregation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7
The Two Dimensional Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8
Dopants. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8
The Fully Coupled Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14
Other Diffusion Models and Extensions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15
Electrical Deactivation and Clustering Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-18
Time Step Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-19
Materials and Impurities Handled by ILFEM Numerics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-20
CNET High Concentration Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21
Model Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-22
Neutrality Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24
Effective Diffusivity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-25
Percolation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28
Pairing Coefficients under the CNET model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29
Grain-based Polysilicon Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30
Two Stream Polysilicon Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30
Grain Growth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-31
Oxidation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33
Introduction. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-33
Numerical Model Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35
Compress Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-35
Viscous Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-37
Linear Rate Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-39
ATHENA Users Manual
x SILVACO International
Parabolic Rate Constant . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46
Mixed Ambient Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-47
Analytical Oxidation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48
Recommendations for Successful Oxidation Simulations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48
Growing Thin Oxides . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-48
Implantation Through Thermally-Grown Oxides and
Dopant Loss During Subsequent Annealing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-49
Oxidation Enhanced Diffusion (OED) / Oxidation Retarded Diffusion (ORD) . . . . . . . . . . . . . . . . . . . . . . 3-50
Silicidation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53
Ion Implantation Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55
Analytic Implant Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55
Gaussian Implant Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55
Pearson Implant Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-55
Dual Pearson Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-57
SIMS-Verified Dual Pearson (SVDP) Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-58
Multi-Layer Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-59
DOSE.MATCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-59
RP.SCALE and MAX.SCALE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-60
MOM.SCALE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-60
Creating Two-Dimensional Implant Profiles . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61
Convolution Method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-61
Depth-Independent Lateral Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62
Gaussian Lateral Distribution Function . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62
Specification of Lateral Standard Deviation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62
Parabolic Approximation of Depth-Dependent Lateral Distribution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-62
Non-Gaussian Lateral Distribution Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-63
Specification of Implant Parameters in the Moments Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-64
USE of PRINT.MOM for Extraction of Spatial Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65
Monte Carlo Implants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65
Nature of the Physical problem . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-65
Method of Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-66
Nuclear Stopping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-66
Interatomic Potential . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-67
Electronic Stopping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-68
Damage Accumulation Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-69
Implantation Geometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-70
Amorphous Material Monte Carlo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-71
Crystalline Material Monte Carlo . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72
Ion Implantation Damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72
Plus 1 Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72
<311>Cluster Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73
Dislocation Loops Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-73
C-Interpreter Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74
Deposition Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74
Deposition of Doped Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74
Grid Control During Deposit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-74
Etching Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75
Epitaxy Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75
SILVACO International xi
Table of Contents
Chapter 4:
ELITE Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
String Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1
Deposition Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Conformal Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
CVD Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Unidirectional Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3
Dual Directional Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
Hemispheric Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5
Planetary Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Conical Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
Monte Carlo Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9
Etch Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10
Isotropic Etch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10
RIE Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
Simulation of Incoming Ions and Neutrals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
Calculation of Ion and Neutral Fluxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14
Calculation of Polymer Fluxes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16
Calculation of Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
C-Interpreter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17
Surface Movement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
REFLOW Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18
Chemical Mechanical Polish (CMP) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
Hard Polish Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19
Soft Polish Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
Chapter 5:
OPTOLITH Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
The Imaging Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1
Optical System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
Digitization Errors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
Computation Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
The Exposure Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7
Photoresist Bake Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9
The Development Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9
Dills Development Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
Kims Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-10
Macks Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
Trefonas Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
Hirais Development Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-11
ATHENA Users Manual
xii SILVACO International
Chapter 6:
FLASH Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
Overview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
Diffusion Models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
Default Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1
Poisson Equation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
GaAs Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
Donor Type Impurities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2
Acceptor Diffusion Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3
Ion Implantation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-3
Chapter 7:
Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
Abbreviations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
Continuation Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
Comments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
General Syntax Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3
DeckBuild Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3
Command Line Parsing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
ATHENA Statements List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
Structure Initialization Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
Structure Manipulation Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-4
Simulation Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Model Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5
Special Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
Post-processing Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6
ABERRATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
ADAPT.MESH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10
ADAPT.PAR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-12
ANTIMONY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-13
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-14
ARSENIC . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-15
SILVACO International xiii
Table of Contents
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-16
BAKE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-17
BASE.MESH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18
BASE.PAR . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-19
BORON . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-20
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-21
BOUNDARY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-22
CLUSTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-23
%DEFINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-24
DEPOSIT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25
Grid Control Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-25
Parameters specific to Depositing Doped Layers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26
Parameters specific to ELITE depositions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26
Conformal Deposition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-26
Example Depositing Doped User-defined Material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-27
Grid Control Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-27
ELITE Machine Deposition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-27
DEVELOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-28
DIFFUSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29
Parameter to define the Diffusion Cycle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29
ATHENA Users Manual
xiv SILVACO International
Parameters to define the Diffusion Ambient . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-29
Numerical Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30
Parameters related to File Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30
Parameter to select Diffusion Behavior . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30
Parameters used in the C-Interpreter Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-30
Predeposition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31
Oxidation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31
Gas Flow Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31
File Output Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-31
DISLOC.LOOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32
Dislocation Loop Generation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-32
ECHO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-33
ELECTRODE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34
Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34
Metal Region Electrode Definition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34
Substrate Definition Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-34
EPITAXY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35
Doping Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35
Gridding Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35
Deposition Rate Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-35
Time and Temperature Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-36
Non-uniform Grid Control Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-36
ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37
Parameters used for Geometrical Etching . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-37
Parameters used only with physical etching in the ELITE module. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-38
Parameters used only with RIE, WET.ETCH, and PLASMA models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-38
Parameters used only with MC.PLASMA model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-38
Simple Geometrical Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39
Arbitrary Geometrical Shape Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39
Anisotropic Geometrical Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39
Physical Etch Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-39
EXPOSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-40
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-40
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-40
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-41
EXTRACT. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-42
SILVACO International xv
Table of Contents
FOREACH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-43
GO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-44
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-44
HELP. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-45
ILLUM.FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-46
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-46
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-46
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-47
ILLUMINATION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48
Descriptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-48
IMAGE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-49
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-49
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-49
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-50
IMPLANT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51
Model Selection Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-51
Parameters applicable only for analytical implant models. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-52
Parameters Applicable for Both Monte Carlo and BCA Implant Models. . . . . . . . . . . . . . . . . . . . . . . . . . 7-53
Parameters applicable only for Monte Carlo implant model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-53
Analytical Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54
SVDP Boron Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54
Monte Carlo Implant Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54
Crystalline Monte Carlo Implant Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54
Implant Damage Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-54
IMPURITY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-55
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-55
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-55
Diffusion Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-56
Activation Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-56
Interface Transport Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-56
Polysilicon Diffusion Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-57
CNET Diffusion Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-57
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-57
INITIALIZE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59
Material Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59
Dopant Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-59
Dimensionality of Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60
ATHENA Users Manual
xvi SILVACO International
Initialize from a File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60
Grid and Structure Related Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60
Example starting from a file . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-60
Example using an oxide substrate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-61
Initialization with Adaptive Mesh Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-61
INTERSTITIAL, VACANCY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-62
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-62
Defect Diffusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63
Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63
Defect Recombination . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63
Defect Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-63
CNET Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-64
Basic Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-64
Defect Injection during Oxidation Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-64
Surface Recombination Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-65
CNET Model Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-65
LAYOUT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-66
LINE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-67
MASK . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-69
MATERIAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70
Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70
Parameters related to Material Stress and Viscosity . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-70
Parameters related to Material structure during IMPLANT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-71
Parameters related to the Polysilicon Diffusion Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-71
Parameter related to REFLOW calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72
Parameters related to the CNET Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72
Parameters related to the grid control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72
NO.FLIP specifies that triangle flipping procedure
should not be applied to the specified material . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-72
METHOD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-73
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-73
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-73
Parameters related to DIFFUSION models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-74
Parameters related to OXIDATION models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-74
Parameters Related to Timestep Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-75
Parameters related to Solution Numerics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-76
Parameters related to Grid Control during Oxidation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-77
SILVACO International xvii
Table of Contents
Parameters related to Grid Control during ETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-78
Parameters used in the Adaptive Meshing Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-78
Parameters related to the CNET models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-78
Parameters related to the ILFEM numerical method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-79
Example setting tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-79
Example setting numerical techniques . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-79
Example setting diffusion model for power devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80
Example setting the CNET Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80
Example setting diffusion models for RTA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80
Example setting and disabling the ILFEM method . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-80
MOMENTS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81
Parameters used to select Moment Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81
Implant Definition Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-81
Parameters used for specification of Spacial Moments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-82
Reset Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-82
Implant Damage Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-82
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-83
OPTICAL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-84
OPTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-85
OXIDE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86
Oxide Growth Rate Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-86
Parameters related to Grid Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-88
Parameters related to the Analytical Oxidation Models (ERF*) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-89
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-89
PAUSE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-90
PHOSPHORUS. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-91
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-91
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-91
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-92
POLISH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-93
PRINT.1D . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-94
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-94
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-94
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-95
ATHENA Users Manual
xviii SILVACO International
PRINTF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-96
PROFILE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-97
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-97
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-97
PROJECTION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-99
PUPIL.FILTER . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-100
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-100
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-100
RATE.DEPO . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-101
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-101
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-101
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-102
RATE.DEVELOP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-103
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-103
Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-103
Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-104
RATE.DOPE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-105
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-105
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-105
RATE.ETCH. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106
Description. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106
Parameters used for all models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-106
Parameters used for RIE and WET.ETCH models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7 -107
Parameters used for Plasma Etch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-107
Parameters used for Monte Carlo Plasma Etch Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-108
RATE.POLISH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-109
REGION . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-111
RELAX . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-113
SELECT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-115
SET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117
SILVACO International xix
Table of Contents
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117
Job Execution Option Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117
Numerical Variable Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117
String Variable Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-117
SILICIDE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-119
SOURCE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-120
STRESS . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121
Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-121
STRETCH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122
Stretch Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-122
STRIP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-124
STRUCTURE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-125
SYSTEM . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-127
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-127
TONYPLOT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-128
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-128
TRAP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-129
%UNDEF . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-130
UNSET . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131
Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131
Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131
Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-131
VACANCY . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-132
ATHENA Users Manual
xx SILVACO International
Appendix A:
C-Interpreter Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
C-Interpreter Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-1
Known Bugs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A-4
Appendix B:
Default Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
IMPORTANT NOTE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Oxidation Rate Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Dry Ambient For <111> Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Wet Ambient for <111> Orientation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Orientation Factors For Linear Coefficients (both Ambients) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-1
Pressure Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
Chlorine Dependence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
Doping Dependence Of Oxidation Rate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-3
Coefficients for the Analytical Guillemot Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-3
Numerical Oxidation Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-3
Stress-dependent Growth Model Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
Mechanical Parameters For Stress Calculations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
Linear Coefficients Of Thermal Expansion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
Volume Expansion Ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
Impurity Diffusion Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
Impurity Segregation Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
Interface Transport Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
Solid Solubility In Silicon . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
Point Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
Defect Interface Recombination Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
Defect Growth Injection Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
Material Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
Silicide/Metal Surface Reaction Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
Defaults For The Solution Methods . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10
Poly Diffusion Model Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10
Poly Silicon Material Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10
Appendix C:
Hints and Tips . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-1
Appendix D:
ATHENA Version History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
ATHENA Version History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
ATHENA Version 4.5.0.R Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
Implant Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
Diffusion Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-1
ELITE Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2
Generic ATHENA Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2
SILVACO International xxi
Table of Contents
ATHENA Version 4.0.0.R Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2
Diffusion Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-2
Gridding Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-3
Implant Simulation Features . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-3
ELITE . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5
OPTOLITH . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5
ATHENA Version 3.0.1.R Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-5
Chemical Mechanical Polish . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-9
ATHENA Version 2.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-11
ATHENA Version 1.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-14
SSUPREM4 Version 6.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-14
SSUPREM4 Version 5.1.4 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-15
SSUPREM4 Version 5.1 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-15
SSUPREM4 Version 5.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-17
Additional SSUPREM4 Changes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . D-18
Bibliography . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . BIB-1
Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Index-1
ATHENA Users Manual
xxii SILVACO International
[This page intentionally left blank]
SILVACO International i
List of Figures
Figure
No.
Page
Table Title
No.
Figure 2-1: Main Deckbuild Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2
Figure 2-2: DECKBUILD Examples Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3
Figure 2-3: Commands Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-6
Figure 2-4: ATHENA Mesh Define Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-7
Figure 2-5: View Grid Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-8
Figure 2-6: New Rectangular Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
Figure 2-7: Inserting New Grid Lines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-9
Figure 2-8: ATHENA Mesh Define Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10
Figure 2-9: Redefined Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11
Figure 2-10: Mesh Initialize Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12
Figure 2-11: Initial Triangular Grid . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13
Figure 2-12: ATHENA Deposit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14
Figure 2-13: Impurity Section of the ATHENA Deposit Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16
Figure 2-14: Grid Control for Deposition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17
Figure 2-15: ATHENA Etch Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18
Figure 2-16: Structure Created by Etch Statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19
Figure 2-17: Arbitrary Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-20
Figure 2-18: ATHENA Relax Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21
Figure 2-19: Spacer Formation using Dry Etch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-22
Figure 2-20: Grids after Various Relax Operations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23
Figure 2-21: ATHENA Mirror Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24
Figure 2-22: ATHENA Reflect Capability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25
Figure 2-23: MOSFET Structure with Electrodes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26
Figure 2-24: ATHENA Electrode Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27
Figure 2-25: ATHENA File I/O Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-28
Figure 2-26: Interstitials can move far into
the substrate even after a short 10um anneal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-32
Figure 2-27: Effect on boron diffusion profile when too small . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .
a substrate depth is used in the simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-33
Figure 2-28: ATHENA Implant Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-34
Figure 2-29: ATHENA Implant Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-36
Figure 2-30: ATHENA Diffuse Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-37
Figure 2-31: ATHENA Gas Flow Properties menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-39
Figure 2-32: ATHENA Epitaxy Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-41
Figure 2-33: A plot of Threshold Voltage vs. Gate Length for NMOS devices . . . . . . . . . . . . . . . . . . . . . . . . 2-43
Figure 2-34: A Typical Dependence of Extracted Threshold Voltage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-44
Figure 2-35: How changing the clust.fact parameter
Athena Users Manual
ii SILVACO International
effects the threshold voltage. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-45
Figure 2-36: The effect of changing the mesh spacing at
the interface on the simulated drain current. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-47
Figure 2-37: Effect of base doping profile on low injection base current in BJT . . . . . . . . . . . . . . . . . . . . . 2-50
Figure 2-38: Effect of emitter contact workfunction on bipolar gain . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-51
Figure 2-39: ATHENA Stretch Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-54
Figure 2-40: Using Stretch Function for a MOSFET Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-55
Figure 2-41: Automatic 1D to 2D Conversion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-56
Figure 2-42: Use of One Dimensional Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-57
Figure 2-43: ATHENA Deposit Menu with Machine Section. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58
Figure 2-44: ATHENA Rate Deposit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-59
Figure 2-45: ATHENA Rate Etch menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-61
Figure 2-46: ATHENA Parameters to Run the Define Machine Etch Menu Section . . . . . . . . . . . . . . . . . . . . 2-62
Figure 2-47: MaskViews Layout Files Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-63
Figure 2-48: MaskViews Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-63
Figure 2-49: Vertical Grid Control Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-64
Figure 2-50: MaskViews: ATHENA Grid Control Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-64
Figure 2-51: ATHENA Cutline Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-65
Figure 2-52: Display Masks Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-65
Figure 2-53: Properties Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-66
Figure 2-54: Properties Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-67
Figure 2-55: ATHENA Mask Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-67
Figure 2-56: MaskViews Files Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-68
Figure 2-57: ATHENA Mask Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69
Figure 2-58: MaskViews Layers Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-69
Figure 2-59: Using Mask Capability for POLY Definition. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-71
Figure 2-60: MaskViews Properties Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-72
Figure 2-61: OPTOLITH Simulation Control Popup. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-72
Figure 2-62: Layers Popup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-73
Figure 2-63: Maximum Extent of the Source Region . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74
Figure 2-64: Three Different Source Types . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-74
Figure 2-65: Annular Source. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-75
Figure 2-66: Projection Pupil . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-76
Figure 2-67: The Image Window can be Placed Anywhere in the XZ Window. . . . . . . . . . . . . . . . . . . . . . . . . 2-77
Figure 2-68: The Computational Window is Always Centered at the Origin . . . . . . . . . . . . . . . . . . . . . . . . . . 2-78
Figure 2-69: Flow Chart of Mesh Adaption Algorithm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83
Figure 2-70: MOSFET Device Mesh Formation Flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-87
Figure 2-71: Initial 1D Structure Relationship. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-88
Figure 2-72: Mesh that is too coarse leads to Dopant Information Loss . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-89
Figure 2-73: Too Dense Mesh Causes Too Much
CPU Time during Subsequent Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-89
SILVACO International iii
Figure 2-74: Large and reasonable Adjacent Triangle Ratios . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90
Figure 2-75: Base Mesh Formation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-90
Figure 2-76: Automatic Base Mesh Generation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91
Figure 2-77: Ion Implementation Adaption on New Base Mesh . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-91
Figure 3-1: Comparison between experimental and simulated
profiles at 900 C. The experimental results are from References [121,122] and the simulations are per-
formed with the CNET model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24
Figure 3-2: As in Figure 3-1 but at 1100 C. The results from
the standard full.cpl are also reported. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-24
Figure 3-3: Resulting Structure from a LOCOS
Oxidation step using the COMPRESS Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-38
Figure 3-4: Resulting Structure from a LOCOS Oxidation
step using the Stress-Dependent VISCOUS Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-40
Figure 3-5: Silicon Dioxide Thickness versus Time
for Different Substrate Orientations and Temperatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-42
Figure 3-6: Silicon Dioxide Thickness versus Time
with Pressure as a Parameter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-43
Figure 3-7: Silicon Dioxide Thickness Versus Oxidation
Time with HCl Percentage and Temperature as Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-44
Figure 3-8: Ratio versus Doping Concentration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46
Figure 3-9: Simulated Silicon Dioxide Thickness vs. Doping
Concentration for Common Silicon Dopants . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-46
Figure 3-10: (a) MOSFET Structure with Proper Gridding in Gate Oxide,
(b) MOSFET Structure with Default Grid Spacing in Gate Oxide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-50
Figure 3-11: Comparison of Arsenic Profiles in Silicon with Default Grid
Spacing and Improved Grid Spacing in the Growing SiO2 layer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-51
Figure 3-12: (a) Boron Concentration Versus Depth
(b) Corresponding Interstitial Concentration Versus Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-52
Figure 3-13: (a) Antimony Concentration Versus Depth
(b) Corresponding Vacancy Concentration Versus Depth . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-53
Figure 3-14: The trajectories of the ion (projectile) and
the lattice atom (recoil). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-67
Figure 3-15: Implantation geometry . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-72
Figure 3-16: Cluster Damage Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-75
Figure 4-1: String Model approximation to the Etch Front . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2
Figure 4-2: Step Profile with a Unidirectional Source. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4
Figure 4-3: Step Profile with Dual Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5
Figure 4-4: Step Profile with a Hemispherical Vapor Source . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-6
Figure 4-5: Illustration of Planetary Evaporator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7
Figure 4-6: Geometric of Source to Substrate in a Conical Evaporator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-8
Figure 4-7: Deposition and Relaxation Model used in
Ballistic Deposition model (MONTE2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10
Athena Users Manual
iv SILVACO International
Figure 4-8: Segment Point in Case of Isotropic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-11
Figure 4-9: Point Advance due to Directional Influence . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12
Figure 4-10: Regions of Significance of rdir and risc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12
Figure 4-11: Diagram of Plasma Flux algorithm:
(a) including zoom-in of ion reflection models (a & b) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15
Figure 4-12: Illustration of the Hard Polish Model:
Structure before Planarization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
Figure 4-13: Illustration of Hard Polish Model:
Structure after Planarization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20
Figure 4-14: Soft Polishing Model:
Areas where different components dominate . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-22
Figure 5-1: Schematic Diagram of a Generalized Optical System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2
Figure 5-2: The Generated Optical System . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-6
Figure C-1: Variations in diffusion due to tuning of DAM.FACT parameter. . . . . . . . . . . . . . . . . . . . . . . . . . . C-2
Figure C-2: Enhanced diffusion of MOS channel profile. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-2
Figure C-3: Simulation of self aligned trench isolation process using
the elite and ssuprem4 modules of athena. ssuprem4
is used for the LOCOS and trench oxidation. elite
is used for the trench etch and refill.
The interface between ssuprem4 and elite is completely
automatic and transparent to the user. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-4
Figure C-4: Simulation of simultaneous dopant diffusion and
glass reflow in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-5
Figure C-5: Reflow of a via array. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-6
Figure C-6: Threshold voltage vs gate length for various
values of implant damage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8
Figure C-7: Threshold voltage vs channel length as a function
of KSURF.0 for fixed DAM.FACT . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8
Figure C-8: Junction depth of an arsenic implant after a fixed
diffusion as a function of DAM.FACT and KSURF.0 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-8
Figure C-9: RTA of a 5.0e13 phosphorus implant matched
to experimental data in [3]. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-10
Figure C-10: The effect of lower TAU.311.0 is to speed up
the diffusion over the initial time period. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-10
Figure C-11: Syntax for extracting analytical implant parameters from
a Monte Carlo implant simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-12
Figure C-12: Comparison of doping profiles analytical extraction versus
Monte Carlo. Analytical implants are run instantaneously
whereas Monte Carlo takes up to 30 minutes on Ultrasparc . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . C-12
SILVACO International v
List of Tables
Figure
No.
Page
Table Title
No.
2-1 Recommended Method Statements for Typical Processes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-30
2-2 ATHENA Implant Model Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-35
2-3 Basic Diffusion and Oxidation Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-40
2-4 Deposition Model Required Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-58
2-5 Allowable Etch Model Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-59
2-6 Summary of Adaptive Meshing Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-83
3-1 Notational standards in diffusion literature. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3
3-2 Table of intrinsic pair diffusivities for different pair types. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-6
3-3 System Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-7
3-4 Parameters for charge statistics and intrinsic point defect concentrations. . . . . . . . . . . . . . . . . . . . . . . 3-9
3-5 Parameters for interstitial traps. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10
3-6 Parameters for specifying point defect boundary and injection conditions. . . . . . . . . . . . . . . . . . . . . . 3-13
3-7 The approximate duration of TED at various annealing temperatures. . . . . . . . . . . . . . . . . . . . . . . . . . 3-17
3-8 Range of Validity of the SVDP Model in ATHENA . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-58
7-1 Types of Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1
7-2 Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-3
7-3 Aberration Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8
7-4 Select Operator Variables. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-114
7-5 Select Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-115
B-1 Thin oxide coefficients (only for dry ambient) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
B-2 Chlorine dependence of dry coefficients for three temperatures:
900, 1000, 1100C . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-2
B-3 These parameters can be accessed by the MATERIAL statement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-4
B-4 Impurity Diffusion Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-5
B-5 Impurity Segregation Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
B-6 Interface Transport Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-6
B-7 Impurity Statements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
B-8 Point Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-7
B-9 Point Defect Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
B-10 Defect Interface Recombination Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-8
B-11 Defect Growth Injection Interface Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
B-12 Material Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
B-13 Silicide/Metal Surface Reaction Rates . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-9
B-14 Impurity Dependent Coefficients . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . B-10
Athena Users Manual
vi SILVACO International
[This page intentionally left blank]
Chapter 1:
Introduction
SILVACO International 1-7
Overview Of ATHENA
ATHENA i s a si mul ator that provi des general capabi l i ti es for numeri cal , physi cal l y-based, two-
di mensi onal si mul ati on of semi conductor processi ng. ATHENA has a modul ar archi tecture that i ncl udes
the fol l owi ng l i censabl e tool s and extensi ons:
ATHENA: Performs structure i ni ti al i zati on and mani pul ati on, and provi des basi c deposi ti on and
etch faci l i ti es
SSUPREM4: I s used i n the desi gn, anal ysi s, and opti mi zati on of si l i con semi conductor structures.
I t si mul ates si l i con processi ng steps such as i on i mpl antati on, di ffusi on and oxi dati on.
ELITE: Thi s tool i s a general purpose 2D topography si mul ator that accuratel y descri bes a wi de
range of deposi ti on, etch and refl ow processes used i n modern I C technol ogi es.
OPTOLITH: Performs general opti cal l i thography si mul ati on i ncl udi ng 2D aeri al i magi ng, non-
pl anar photoresi st exposure, post exposure bake and devel opment.
FLASH: I s used i n the desi gn, anal ysi s and opti mi zati on of compound semi conductor structures.
I t si mul ates i mpl antati on and di ffusi on i n GaAs and other compound semi conductor materi al s,
i ncl udi ng Si Ge.
ATHENA i s desi gned to be used i n conjuncti on wi th the VWF INTERACTIVE TOOLS whi ch i ncl udes
DECKBUILD, TONYPLOT, DEVEDIT, MASKVIEWS, and OPTIMIZER, are documented i n a separate manual .
The compl ete ATHENA documentati on consi sts of the ATHENA User s Manual (thi s document) and the
VWF INTERACTIVE TOOLS manual .
How To Use This Manual
Al l users shoul d read thi s I ntroducti on Chapter for an overvi ew of ATHENA. I nformati on on the current
versi on of ATHENA i s found i n Appendi x C, the ATHENA versi on hi story. For new users, i t i s i mportant
to read Chapter 2 of thi s manual , especi al l y the tutori al secti ons that descri be the si mul ator or
modul es that you have l i censed. After careful l y readi ng the tutori al , you wi l l have acqui red a basi c
understandi ng of what the program can do and how the program i s used. The remai ni ng chapters can
then be referred to for a more detai l ed understandi ng of the capabi l i ti es of ATHENA and how to access
the capabi l i ti es of the program.
ATHENA i s suppl i ed wi th a number of exampl e probl em descri pti ons. These may be accessed through
DECKBUILD, as descri bed i n the VWF INTERACTIVE TOOLS Manual . The exampl es demonstrate many of the
capabi l i ti es of ATHENA. The i nput fi l es provi ded as part of these exampl es can provi de an excel l ent
starti ng poi nt for devel opi ng your own ATHENA i nput fi l es.
ATHENA Users Manual
1-8 SILVACO International
Organization Of This Manual
The organi zati on of thi s manual i s as fol l ows:
Chapter 1 provi des a bri ef overvi ew of ATHENA.
Chapter 2 i s a tutori al whi ch provi des an i ntroducti on to the practi cal uses of ATHENA.
Chapter 3 documents the model s and al gori thms of SSUPREM4.
Chapter 4 documents the model s and al gori thms of ELITE.
Chapter 5 documents the model s and al gori thms of OPTOLITH.
Chapter 6 documents the model s and al gori thms of FLASH.
Chapter 7 documents the i nput syntax for al l modul es.
Appendix A descri bes the C-Interpreter.
Appendix B summari zes the defaul t coeffi ci ents used i n ATHENA.
Appendix C provi des a versi on hi story for ATHENA.
Technical Support
I f you have di ffi cul ti es or questi ons rel ati ng to the use of ATHENA, you can communi cate wi th Si l vaco
support personnel by sendi ng el ectroni c mai l to:
support@silvaco.com
When you send us an e-mai l message, pl ease:
1. Expl ai n the probl em or questi on as ful l y as possi bl e.
2. I ncl ude any i nput fi l es that you have created.
3. Provi de us wi th the versi on number of ATHENA and the versi on numbers of the VWF INTERACTIVE
TOOLS that you are usi ng.
4. I ncl ude your busi ness tel ephone number and FAX number.
You wi l l be contacted promptl y and your probl em wi l l be resol ved as qui ckl y as possi bl e.
User feedback dri ves the fur ther devel opment of ATHENA. Pl ease send your comments on the
programs, suggesti ons for i mprovements, and addi ti onal feature requests to the el ectroni c mai l
address gi ven above.
Introduction
SILVACO International 1-9
Features and Capabilities Of ATHENA
The features and capabi l i ti es avai l abl e i n ATHENA i ncl ude the fol l owi ng (l i sted i n al phabeti c order):
Bake Time and temperature bake specification
Models photoresist material flow
Models photo-active compound diffusion
C-Interpreter Allows user access to diffusion coefficient calculation
Allows user access to activation calculation
Allows user access to segregation calculation
CMP Models Chemical Mechanical Polishing
Hard and soft models or a combination of the two
Includes isotropical etch component
Deposition Conformal deposition model
Hemispherical, planetary, and conical metallization mod-
els
Unidirectional or dual directional deposition models
CVD model
Surface diffusion/migration effects
Ballistic deposition models including atomistic position-
ing effects
User-definable models
Default deposition machine definitions
Development Five different photoresist development models
Diffusion Impurity diffusion in general 2D structures including
diffusion in all material layers
Fully coupled point defect diffusion model
Oxidation enhanced/retarded diffusion effects
Rapid thermal annealing
Models simultaneous material reflow and impurity diffu-
sion
Impurity diffusion in polysilicon accounting for grain
and grain boundary components.
Epitaxy 2D epitaxy simulation including auto-doping
Etch Geometric etch capability
Wet etching with isotropic profile advance
RIE model that combines isotropic and directional etch
components
Microloading effects
Angle dependence of etchant source
ATHENA Users Manual
1-10 SILVACO International
Using ATHENA With Other Silvaco Software
ATHENA i s normal l y used i n conjuncti on wi th the VWF INTERACTIVE TOOLS. These i ncl ude DECKBUILD,
TONYPLOT, DEVEDIT, MASKVIEWS and Opti mi zer. DECKBUILD provi des an i nteracti ve run ti me envi ronment.
TONYPLOT suppl i es sci enti fi c vi sual i zati on capabi l i ti es. DEVEDIT i s an i nteracti ve tool for structure and
mesh speci fi cati on and refi nement, and MASKVIEWS i s an I C Layout Edi tor. The OPTIMIZER supports
bl ack box opti mi zati on across mul ti pl e si mul ators.
Default etch machine definitions
Monte Carlo plasma etching
Dopant Enhanced etching
Exposure Exposure on substrates with arbitrary topography
Defocus and large numerical aperture effects
Imaging Two dimensional, large numerical aperture, aerial image
formation
Up to 9th order imaging system aberrations
Extensive source and pupil plane filtering for enhanced
aerial images
Full phase shift and transmittance variation mask capa-
bilities
Implantation Pearson, dual Pearson, and Gaussian analytical models
Extended low energy and high energy implant parameter
tables
Fast Monte Carlo implant simulation for amorphous materi-
als
Monte Carlo calculations for Crystalline materials
Universal tilt and rotation capability for both analytic
and Monte Carlo calculations
Secondary recoil calculation for Monte Carlo damage cal-
culation
Oxidation Compressible and viscous stress dependent models
Separate rate coefficients for silicon and polysilicon
materials
HCL and pressure-enhanced oxidation models
Impurity concentration dependent effects
Ability to simulate the oxidation of structures with deep
trenches, undercuts, and ONO layers
Accurate models for the simultaneous oxidation and lift-
ing of polysilicon regions
Silicidation Physically-based calculation including simultaneous impu-
rity diffusion
Segregation and point defect injection models
Introduction
SILVACO International 1-11
ATHENA i s frequentl y used i n conjuncti on wi th the ATLAS devi ce si mul ator. ATHENA pr edi cts the
physi cal structures that resul t from processi ng. These physi cal structures are used as i nput by
ATLAS, whi ch then predi cts the el ectri cal characteri sti cs associ ated wi th speci fi ed bi as condi ti ons.
The combi nati on of ATHENA and ATLAS makes i t strai ghtforward to determi ne the i mpact of process
parameters on devi ce characteri sti cs.
ATHENA can al so be used as one of the core si mul ators wi thi n VIRTUAL WAFER FAb. VWF makes i t
conveni ent to perform hi ghl y automated si mul ati on-based experi mentati on. VWF i s used i n a way that
cl osel y mi rrors experi mental research and devel opment procedures. I t therefore l i nks si mul ati on very
cl osel y to technol ogy devel opment, resul ti ng i n greatl y i ncreased benefi ts from the use of si mul ati on.
The Value Of Physically-Based Simulation
ATHENA i s a physi cal l y-based process si mul ator. Physi cal l y-based process si mul ati on i s not a fami l i ar
concept for al l engi neers. A bri ef overvi ew i s provi ded here to serve as an ori entati on for new users.
Users who are al ready fami l i ar wi th process si mul ati on can proceed to the tutori al i n Chapter 2.
Physi cal l y-based process si mul ators predi ct the structures that resul t from speci fi ed process
sequences. Thi s i s done by sol vi ng systems of equati ons that descri be the physi cs and chemi stry of
semi conductor processes.
Physi cal l y-based si mul ati on provi des three major advantages: i t i s predi cti ve, i t provi des i nsi ght, and
i t captures theoreti cal knowl edge i n a way that makes thi s knowl edge avai l abl e to non-experts.
Physi cal l y-based si mul ati on i s di fferent from empi ri cal model i ng. The goal of empi ri cal model i ng i s to
obtai n anal yti c formul ae that approxi mate exi sti ng data wi th good accuracy and mi ni mum compl exi ty.
Empi ri cal model s provi de effi ci ent approxi mati on and i nterpol ati on. They do not provi de i nsi ght,
predi cti ve capabi l i ti es, or encapsul ati on of theoreti cal knowl edge. Physi cal l y-based si mul ati on i s an
al ternati ve to experi ments as a source of data. Empi ri cal model i ng can provi de compact
representati ons of data from ei ther source.
Physi cal l y-based si mul ati on has become very i mportant for two reasons. Fi rst, i t i s al most al ways
much qui cker and cheaper than performi ng experi ments. Second, i t provi des i nformati on that i s
di ffi cul t or i mpossi bl e to measure. The drawbacks of si mul ati on are that al l the rel evant physi cs and
chemi stry must be i ncorporated i nto a si mul ator, and numeri cal procedures must be i mpl emented to
sol ve the associ ated equati ons. These tasks have been taken care of for users of ATHENA.
Users of physi cal l y-based process si mul ati on tool s must speci fy the probl em to be si mul ated. Users of
ATHENA speci fy the probl em by defi ni ng:
1. The i ni ti al geometry of the structure to be si mul ated
2. The sequence of pr ocess steps (i mpl antati on, etchi ng, di ffusi on, exposur e, etc.) that are to be si m-
ul ated
3. The physi cal model s to be used
The subsequent chapter s of thi s manual descr i be how to per form these steps.
ATHENA Users Manual
1-12 SILVACO International
This page intentionally left blank.
Chapter 2:
Tutorial
SILVACO International 2-1
Getting Started
Thi s chapter descri bes the practi cal use of ATHENA. The ai m of the chapter i s to hel p the user start
usi ng the program, by provi di ng a step-by-step tutori al centered on a typi cal process si mul ati on
sequence. I t expl ai ns how ATHENA uses the VWF I nteracti ve tool s i .e., DECKBUI LD, TONYPLOT, MASKVI EWS,
DEVEDI T, and OPTI MI ZER. These tool s make ATHENA easi er to use, and they pr ovi de vi sual i zati on and
i nterface capabi l i ti es wi th other Si l vaco tool s. Thi s tutori al assumes that the user i s fami l i ar wi th the
basi c features of the VWF I nteracti ve Tool s. For more detai l ed i nformati on refer to the VWF I nteracti ve
Tool s manual .
Thi s chapter begi ns by expl ai ni ng how to start ATHENA, and conti nues wi th tutori al s you can fol l ow to
use the program. The tutori al consi sts of a number of secti ons i ncl udi ng: Getti ng Started, Usi ng
ATHENA, Usi ng ATHENA/SSUPREM4, Usi ng ATHENA/ELI TE, Usi ng ATHENA/OPTOLI TH, and Advanced
Topi cs. We recommend that you fi rst study the Usi ng ATHENA secti on, and then move on to the secti on
appropri ate to the parti cul ar tool you wi l l be usi ng: SSUPREM4, ELITE, or OPTOLITH. FLASH users
shoul d study the SSUPREM4 secti on of thi s tutori al .
Thi s secti on expl ai ns how to start ATHENA, how to l oad and run standard exampl es, and how to use the
ATHENA onl i ne hel p faci l i ty. The fol l owi ng expl anati ons assume that ATHENA has been properl y
i nstal l ed. Refer to the Silvaco I nstal l ati on, Mi grati on and Troubl eshooti ng Gui de i f you encounter
i nstal l ati on di ffi cul ti es.
Running ATHENA Under DeckBuild
DECKBUILD i s an i nteracti ve graphi c envi ronment that i s used for the fol l owi ng purposes:
Generati ng i nput fi l es for process and/or devi ce si mul ati on
Runni ng si mul ati ons i nteracti vel y
I nterfaci ng between di fferent si mul ators
I nvoki ng other VWF I nteracti ve tool s
To i nvoke ATHENA under DECKBUILD i n i nteracti ve mode, enter the UNI X command:
deckbuild -an
After a short del ay, the mai n DECKBUILD wi ndow (Fi gure 2-1) wi l l appear. The l ower text wi ndow of thi s
wi ndow wi l l contai n the ATHENA l ogo and versi on number, a l i st of avai l abl e modul es, and a command
prompt. ATHENA i s now ready to run. To become fami l i ar wi th the mechani cs of runni ng ATHENA under
DECKBUILD you can l oad and run some of the ATHENA standard exampl es.
The method descri bed here i s the recommended procedure for starti ng the program. There are other
methods and modes of runni ng ATHENA and these are descri bed i n the secti on enti tl ed Advanced
Features of Athena of thi s chapter under the headi ng Modes of Operati on.
Loading And Running ATHENA Standard Examples
DECKBUI LD makes i t possi bl e to l oad and run a number of exampl e si mul ati on i nput fi l es. To access the
ATHENA exampl es, open the Main Control menu and sel ect the Examples menu i tem. The
DECKBUILD:Examples wi ndow wi l l appear (Fi gure 2-2).
Groups of DECKBUI LD exampl es are l i sted i n the Section menu and are grouped accordi ng to the
si mul ator or si mul ati on topi c that the exampl e demonstrates. I ndi vi dual exampl e i nput fi l es are l i sted
i n the Sub-section menu. Exampl es can be run by sel ecti ng one of the secti ons (e.g.,
ATHENA Users Manual
2-2 SILVACO International
ATHENA_SSUPREM4) from the Section menu. Thi s wi l l open a l i st of i nput fi l e names. Short
descri pti ons of the exampl es wi l l appear i n the Exampl es wi ndow.
Sel ect one of the i nput fi l es usi ng the Sub-section menu or by doubl e-cl i cki ng on the i nput fi l e name.
A descri pti on of the sel ected i nput fi l e wi l l appear i n the exampl es wi ndow.
Press the Load button to l oad the sel ected i nput fi l e i nto the DECKBUI LD text subwi ndow. The i nput fi l e,
al ong wi th other fi l es associ ated wi th the i nput fi l e (structure fi l es, setfi l es for TONYPLOT, and l ayout
fi l es for MASKVI EWS) wi l l be copi ed i nto your current di rectory.
Once the i nput fi l e i s l oaded i nto the DECKBUILD text subwi ndow, you can run the i nput fi l e by pressi ng
the Run button on the DECKBUILD wi ndow or by fol l owi ng speci al i nstructi ons i n the Examples wi ndow.
Figure 2-1: Main Deckbuild Window
Most of the ATHENA exampl es contai n preset cal l s to the graphi cal postprocessi ng tool TONYPLOT. One
or more pl ots wi l l appear whi l e the sel ected exampl e i s runni ng.
I f you are not fami l i ar wi th DECKBUILD, use a si mpl e exampl e to l earn the basi c DECKBUILD features and
capabi l i ti es. I t i s i mportant to l earn how to control a si mul ati on, how to stop and resume executi on,
how to reset a current l i ne, how to pl ot a current structure, how to use the hi story functi on, etc. Thi s
wi l l assi st you i n worki ng through the rest of the tutori al . The detai l s of these functi ons are descri bed
i n the VWF INTERACTIVE TOOLS manual .
Tutorial
SILVACO International 2-3
Online Help
I nformati on on ATHENA commands and syntax can be found usi ng the onl i ne hel p faci l i ty. Thi s faci l i ty
can be accessed i n i nteracti ve mode or through DECKBUILD. Typi ng hel p at the ATHENA> prompt ei ther
i n i nteracti ve mode or i n the DECKBUILD tty subwi ndow wi l l di spl ay a l i st of val i d ATHENA commands.
Enter the command:
HELP <command name>
Thi s wi l l gi ve addi ti onal i nformati on about parameter names, types, i ni ti al val ues, and a descri pti on of
the parameters for the speci fi ed command.
Figure 2-2: DECKBUI LD Examples Window
To obtai n more i nformati on on ATHENA defaul t parameters (stored i n a speci al fi l e athenamod), sel ect
the Models... menu i tem from the Command menu i n the DECKBUI LD wi ndow. Thi s opens the fi l e
athenamod i n a text edi ti ng wi ndow, maki ng i t possi bl e to read the fi l e or copy and paste statements
from the fi l e i nto a DECKBUI LD text subwi ndow. Sel ect the Notes... i tem from the Command menu to
open a speci al i nformati on fi l e that i ncl udes the current rel ease notes as wel l as a rel ease hi story.
For more detai l ed i nformati on about ATHENA syntax, commands, parameters, and thei r defaul t
val ues, refer to Chapter Seven of thi s manual .
ATHENA Users Manual
2-4 SILVACO International
Creating a Device Structure Using ATHENA
Overview of the Procedure
ATHENA i s desi gned as a process si mul ati on framework. The framework i ncl udes si mul ator
i ndependent operati ons and si mul ator speci fi c functi ons whi ch si mul ate di fferent process steps (e.g.,
i mpl ant, RI E, photoresi st exposure, etc.). Thi s secti on of the tutori al descri bes ATHENA i nput/output
and basi c operati ons for creati ng a typi cal i nput fi l e:
Devel opi ng a good si mul ati on gri d
Performi ng conformal deposi ti on
Performi ng geometri c etches
Structure mani pul ati on
Savi ng and l oadi ng structure i nformati on
I nterfaci ng wi th devi ce si mul ators
Usi ng di fferent VWF INTERACTIVE TOOLS
These operati ons are rel evant to al l i ndi vi dual ATHENA pr ocess si mul ator s. Thi s par t of the tutor i al
shoul d hel p user s new to each of the pr ocess si mul ators.
Three secti ons of the tutori al are devoted to i ndi vi dual si mul ators, SSUPREM4, ELITE, and OPTOLITH,
and shoul d be studi ed by users of each speci fi c si mul ator.
ATHENA Input/Output
Before proceedi ng to ATHENA operati on, i t i s i mportant to di scuss how to provi de ATHENA wi th i nput
i nformati on, and the forms of output i nformati on avai l abl e from ATHENA.
Input Information
The bul k of i nput i nformati on for ATHENA i s usual l y provi ded i n the form of i nput fi l es. An i nput fi l e i s
a text fi l e whi ch may be prepared usi ng DECKBUILD (as i t wi l l be descri bed throughout the rest of the
tutori al ) or any ASCI I text edi tor (such as vi on any UNI X system, or textedi t on a SUN system). The
i ndi vi dual l i nes of the text fi l e are cal l ed statements. Each statement consi sts of a statement name
and a set of parameters whi ch speci fy a certai n step of a process si mul ati on or model coeffi ci ents used
duri ng subsequent si mul ati on steps. Detai l ed i nformati on on statement syntax can be found i n
Chapter 7 of thi s manual . The remai nder of thi s tutori al wi l l i ntroduce the user to the task of creati ng
good i nput fi l es.
Si nce ATHENA uses a great deal of defaul t i nformati on, much of the defaul t i nformati on i s stored i n
several nonuser-speci fi ed fi l es:
The athenamod file i ncl udes defaul t parameters of physi cal model s, di ffusi on and oxi dati on
coeffi ci ents, defaul t parameters of numeri cal methods, characteri sti cs of predefi ned deposi ti on
and etchi ng machi nes, and opti cal parameters of materi al s for l i thography si mul ati on
The athenaimp fi l e contai ns i on i mpl antati on l ook-up tabl es
The athenares fi l e i ncl udes resi sti vi ty vs. dopi ng concentrati on data
I t i s i mportant to be aware that i nformati on from the athenamod fi l e i s l oaded i nto ATHENA each ti me
ATHENA starts. Any of the athenamod defaul t parameters can be overri dden by speci fyi ng an
al ternati ve parameter i n an i nput fi l e or the enti re model s fi l e may be speci fi ed usi ng -modfile opti on.
Tutorial
SILVACO International 2-5
Output Information
Al l run-ti me output generated by ATHENA wi l l appear i n the tty subwi ndow when runni ng DECKBUILD,
or i n the current wi ndow (or speci fi ed output fi l e) when runni ng ATHENA standal one. Run-ti me output
can be grouped i nto two categori es: standard output and standard error output.
Standard output consi sts of the output of the PRINT.1D statements and/or the EXTRACT statement
of DECKBUILD, and the normal i nformati on messages generated by ATHENA. The number of messages
generated depends on the output mode chosen i n the OPTION statement. The QUIET mode i s the
defaul t. Mi ni mum output i s generated i n thi s case: al l statements are echoed, and the status of a ti me
consumi ng si mul ati on i s reported. The NORMAL opti on produces some addi ti onal output i nformati on,
i ncl udi ng i nformati on about the current gri d (number of nodes, tri angl es, etc.). VERBOSE and DEBUG
modes are useful for debuggi ng, but these opti ons produce too much output for any other purpose.
Standard Error output consi sts of the warni ng and error messages descri bi ng syntax errors, fi l e
operati on errors, system errors, and i nternal i nconsi stenci es.
Standard Structure File
The mai n channel of i nformati on exchange between ATHENA and other si mul ators and tool s i s the
Standard Structure Fi l e format. The Standard Structure Fi l e format i s a uni versal fi l e format used by
a number of Si l vaco si mul ati on programs. The STRUCTURE statement of ATHENA creates a Standard
Structure Fi l e whi ch contai ns mesh and sol uti on i nformati on, model i nformati on and other rel ated
parameters.
The saved Standard Structure Fi l e can be used by:
ATHENA to re-i ni ti al i ze the structure and conti nue process si mul ati on
ATLAS or other devi ce si mul ators to perform el ectri cal anal ysi s of the structure produced by
ATHENA
TONYPLOT to graphi cal l y di spl ay a sol uti on created by ATHENA
DEVEDIT to gener ate an updated mesh and expor t the mesh and dopi ng back to ATHENA or any
other si mul ator
Creating An Initial Structure
Thi s secti on wi l l descri be how to use the Commands menu of DECKBUI LD to create a typi cal ATHENA
i nput fi l e. The goal of thi s secti on i s not to desi gn a real process sequence, but to demonstrate the use
of speci fi c ATHENA statements and parameters, as wel l as some DECKBUILD features, to create a
meani ngful i nput fi l e. You can fi nd many real i sti c process i nput fi l es among the exampl es and use
them as a starti ng poi nt i n your process si mul ati on.
Defining Initial Rectangular Grid
After DECKBUILD has been i nvoked and the current si mul ator has been set to ATHENA (see the VWF
Automati on Tool s manual for further i nformati on on thi s), open and pi n the Commands menu. I t shoul d
appear as shown i n Fi gure 2-3. Sel ect the Mesh Define.... menu i tem. The ATHENA Mesh Defi ne
popup wi l l appear as shown i n Fi gure 2-4. I t i s recommended that thi s popup be pi nned, because i t wi l l
be used often i n desi gni ng an i ni ti al mesh.
Now i t i s possi bl e to speci fy the i ni ti al rectangul ar gri d. The correct speci fi cati on of a gri d i s cri ti cal i n
process si mul ati on. The number of nodes i n the gri d has a di rect i nfl uence on si mul ati on accuracy
and ti me. A fi ner gri d shoul d exi st i n those areas of the si mul ati on structure where i on i mpl antati on
wi l l occur, where p-n juncti on wi l l be formed, or where opti cal i l l umi nati on wi l l change photoacti ve
N
p
ATHENA Users Manual
2-6 SILVACO International
component concentrati on. The number of ari thmeti c operati ons necessary to achi eve a sol uti on for
pr ocesses si mul ated usi ng the fi ni te el ement anal ysi s method coul d be esti mated as ,
wher e i s of order 1.5 - 2.0.
Therefore, i t i s obvi ous that i n order to mai ntai n the si mul ati on ti me wi thi n reasonabl e bounds, the
fi ne gri d shoul d not be al l owed to spi l l over i nto unnecessary regi ons. The maxi mum number of gri d
nodes i s 20,000 for ATHENA si mul ati ons, but most practi cal si mul ati ons use far fewer nodes than thi s
l i mi t.
Figure 2-3: Commands Menu
To create a si mpl e uni form gri d i n a rectangul ar 1 mi cron by 1 mi cron si mul ati on area, cl i ck on the
Location fi el d and enter a val ue of 0.0. Then cl i ck on the Spaci ng fi el d and enter a val ue of 0.10. Cl i ck
on the I nsert button i n the Mesh Define wi ndow. The l i ne parameters wi l l appear i n the scrol l i ng
l i st.
Note: ATHENA coordinate system has positive x axis pointed to the right along the structure surface and
positive y axis pointed down to the depth of the structure.
N
p
( )

Tutorial
SILVACO International 2-7
I n the same way, set the l ocati on of a second X l i ne to 1.0 wi th a spaci ng of 0.1. The val ues can ei ther
be set by draggi ng a sl i der or by enteri ng a number di rectl y.
Then sel ect the Y di recti on and set the l i nes wi th the same val ues as the X di recti on. Comments can be
added at the Comment l i ne. After the above oper ati ons ar e compl eted, the ATHENA Mesh Define menu
shoul d appear as shown i n Fi gure 2-4.
Figure 2-4: ATHENA Mesh Define Menu
Now i t i s possi bl e to wri te the menu-prepared mesh i nformati on i nto the i nput fi l e. But before doi ng so
i t i s useful to previ ew the rectangul ar gri d by sel ecti ng the View... button. The View Grid wi ndow
wi l l be di spl ayed as shown i n Fi gure 2-5. Noti ce that verti cal and hori zontal gri d l i nes are di stri buted
uni forml y, and 121 poi nts and 200 tri angl es wi l l be generated.
ATHENA Users Manual
2-8 SILVACO International
Figure 2-5: View Grid Window
A uni form gri d such as the one speci fi ed i s qui te i neffi ci ent for performi ng compl ex si mul ati ons.
Therefore the gri d must be i mproved. Fi rst, make a better gri d i n the y-di recti on. I t i s usual l y
necessary to get better resol uti on for the depth profi l e after the i on i mpl antati on step. When adapti ve
gri ddi ng capabi l i ty i s not used, you shoul d appl y prel i mi nary knowl edge of the process you are goi ng to
si mul ate. Suppose you want to perform a 60 keV boron i mpl ant so that the i mpl ant peak woul d be
around 0.2 mi cron. I t i s reasonabl e to make a fi ner gri d at thi s depth. To achi eve thi s, si mpl y add one
more Y-l i ne, setti ng Location to 0.2 and Spacing to 0.02. The new rectangul ar gri d i s shown i n
Fi gure 2-6. Noti ce that the number of poi nts and tri angl es are i ncreased to 231 and 400, respecti vel y.
Tutorial
SILVACO International 2-9
Figure 2-6: New Rectangular Grid
The mi ni mum spaci ng i n the Y-di recti on i s at 0.2 mi cron, and the spaci ng gradual l y i ncreases toward
the bottom and the top of the structure. Because the spaci ng at y=0 i s sti l l 0.1, onl y 3 gri d l i nes l i e
between 0 and 0.2 mi cron. You may want to make a fi ner gri d at the top of the structure. To do thi s,
sel ect the top l i ne of the Y-Locati on scrol l i ng l i st and change the spaci ng to 0.03, and press the Insert
button. The sel ected l i ne wi l l be repl aced by Y LOC=0.00 SPAC=0.03. I f you then press the View...
button, there wi l l be 8 gri d l i nes between y=0 and y=0.2 (Fi gure 2-7).
Figure 2-7: Inserting New Grid Lines
ATHENA Users Manual
2-10 SILVACO International
To i mprove the i ni ti al gri d i n the x-di recti on, two mai n consi derati ons shoul d be kept i n mi nd. Fi rst,
ensur e that a good 2D-pr ofi l e r esol uti on i s speci fi ed under the mask edges. Second, i t i s r ecommended
that verti cal gri d l i nes be pl aced al ong future mask edges. To bui l d hal f of a 0.6 mi cron MOS structure
wi th the center of the gate at x=0 there must be an addi ti onal X l i ne at x=0.3, and spaci ng at thi s l i ne
must be smal l enough to obtai n good l ateral resol uti on of source/drai n i mpl ants. To add these i tems,
return to the X di recti on speci fi cati on i n the Mesh Define menu, and i nsert an addi ti onal X l i ne at
x=0.3 wi th spaci ng = 0.02.
After thi s fi nal i nserti on, and addi ng any desi red Comment i nformati on, the Mesh Define menu
shoul d appear as shown i n Fi gure 2-8. The gri d wi l l have 525 poi nts and 960 tri angl es (see Fi gure 2-9).
Figure 2-8: ATHENA Mesh Define Menu
Tutorial
SILVACO International 2-11
Figure 2-9: Redefined Grid
Fi nal l y, wri te Mesh Defi ne i nformati on to the fi l e by pressi ng the Write button. A set of l i nes l i ke thi s
wi l l appear:
GO ATHENA
# NON-UNIFORM GRID
LINE X LOC=0.00 SPAC=0.1
LINE X LOC=0.3 SPAC=0.02
LINE X LOC=1 SPAC=0.1
LINE Y LOC=0.00 SPAC=0.03
LINE Y LOC=0.2 SPAC=0.02
LINE Y LOC=1 SPAC=0.1
The fi rst l i ne (GO ATHENA) i s cal l ed an autoi nterface statement and tel l s DECKBUILD that the fol l owi ng
fi l e shoul d be run by ATHENA.
Defining the Initial Substrate
The LINE statements speci fi ed by the Mesh Define menu set onl y the rectangul ar base for the
ATHENA si mul ati on structure. The next step i s the i ni ti al i zati on of the substrate regi on wi th i ts poi nts,
nodes, tri angl es, background dopi ng, substrate ori entati on and some addi ti onal parameters. To
i ni ti al i ze the si mul ati on structure, sel ect Mesh Initialize... from the ATHENA Command menu. I t
wi l l appear as shown i n Fi gure 2-10.
Background dopi ng can be set by cl i cki ng on the desi red i mpuri ty box (for exampl e, Boron). The
background i mpuri ty concentrati on speci fi cati on wi l l then become acti ve. (I f the None checkbox i s
checked, the concentrati on i nformati on becomes i nacti ve and appears grayed out). Sel ect the desi red
concentrati on usi ng the sl i der (e.g., 3.0), and sel ect an exponent from the Exp: menu (e.g., 14). Thi s
wi l l gi ve a background concentrati on of 3.0e14 atom/cm3. I t i s al so possi bl e to set background
concentrati on usi ng the By Resistivity speci fi cati on i n Ohmcm. For thi s tutori al , check the 2D
box. Thi s forces the si mul ati on to be run i n a two-di mensi onal cal cul ati on.
ATHENA Users Manual
2-12 SILVACO International
Note: Two dimensi onal mode is used in this tutorial to demonstrate 2D grid generation and
mani pul ati on. However, i n most cases i t is not necessary to change the Auto defaul t in the
Dimensionality item of the Mesh Initialize menu. ATHENA will begin in 1D and will automatically
switch to 2D mode at the first statement which disrupts the lateral uniformity of the device structure. This
generally results in massive savings of computation time.
Other i tems on thi s menu wi l l be di scussed i n the Advanced Topi cs secti on of thi s chapter. Now mesh
i ni ti al i zati on i nformati on can be wri tten i nto the fi l e by pressi ng the Write button. The fol l owi ng two
l i nes wi l l appear i n the text subwi ndow:
# INITIAL SILICON STRUCTURE
INIT SILICON C.BORON=3.0E14 ORIENTATION=100 TWO.D
Figure 2-10: Mesh Initialize Menu
Now, run ATHENA to obtai n the i ni ti al structure. Press the Run button on the DECKBUI LD control . The
fol l owi ng output wi l l appear i n the si mul ator subwi ndow:
ATHENA> # NON-UNIFORM GRID
ATHENA> LINE X LOC=0.00 SPAC=0.10
ATHENA> LINE X LOC=0.3 SPAC=0.02
ATHENA> LINE X LOC=1 SPAC=0.1
ATHENA> #
ATHENA> LINE Y LOC=0.00 SPAC=0.03
ATHENA> LINE Y LOC=0.2 SPAC=0.02
ATHENA> LINE Y LOC=1 SPAC=0.1
ATHENA> # INITIAL SILICON STRUCTURE
ATHENA> INIT SILICON C.BORON=3.0E14 ORIENTATION=100 TWO.D
ATHENA> STRUCT OUTFILE=.history01.str
Tutorial
SILVACO International 2-13
The l i ne STRUCT OUTFILE=.history01.str i s automati cal l y produced by DECKBUILD vi a the hi story
functi on. Thi s functi on provi des an i mportant servi ce when debuggi ng new fi l es, performi ng what i f
si mul ati ons, and vi sual i zi ng the structure at di fferent steps of si mul ati on. Thi s feature wi l l be used
throughout the tutori al . Use any of the fol l owi ng methods to vi sual i ze the i ni ti al structure:
1. Cl i ck on the Tools menu button. DECKBUILD wi l l automati cal l y save a temporary standard
structure fi l e and i nvoke TONYPLOT wi th thi s fi l e.
2. Cl i ck on the Main Control button. The DECKBUILD:Main Control popup wi l l appear. Then cl i ck
on the Plot Current Structure button. DECKBUILD wi l l automati cal l y save a temporary
standard structure fi l e and i nvoke TONYPLOT wi th thi s fi l e.
3. Sel ect (hi ghl i ght) the name of a structure fi l e (.history01.str i n thi s case), and cl i ck on the
Tools or Plot Current Structure. DECKBUILD wi l l i nvoke TONYPLOT wi th the sel ected structure fi l e.
Figure 2-11: Initial Triangular Grid
After a short del ay, TONYPLOT wi l l appear. I t wi l l have onl y regi onal and materi al i nformati on. Cl i ck on
the Plot menu button, and the Display (2D Mesh) popup wi l l appear. Sel ect onl y the two l eft i cons:
Mesh and Edges. The i ni ti al tri angul ar gri d (Fi gure 2-11) wi l l appear i n TONYPLOT.
The gri d i n ATHENA consi sts of poi nts connected to form a number of tri angl es. Each poi nt has one or
more nodes associ ated wi th i t. A poi nt wi thi n a materi al regi on has one node, whi l e a poi nt whi ch
bel ongs to several regi ons has several nodes. A node represents the sol uti on (e.g. dopi ng concentrati on)
i n a parti cul ar materi al regi on at the poi nt. For exampl e, a gi ven node may represent sol uti on val ues
ATHENA Users Manual
2-14 SILVACO International
i n si l i con at a poi nt wi th coordi nates (0.0, 0.0); an enti rel y di fferent node may represent sol uti on
val ues i n oxi de at the same poi nt (0.0, 0.0).
So, the previ ous INIT statement creates the <100> si l i con regi on of 1.0 x 1.0 si ze, whi ch i s
uni forml y doped wi th boron concentrati on of 3e14 atom/cm
3
. Thi s si mul ati on structure i s ready for any
process step (e.g. i mpl ant, di ffusi on, Reacti ve I on Etchi ng, etc.). Before di scussi ng the si mul ati on of
physi cal processi ng usi ng SSUPREM4, ELITE or OPTOLITH modul es, i t i s i mportant to di scuss structure
mani pul ati on statements whi ch can precede or al ternate wi th physi cal process steps.
Simple Film Depositions
Conformal deposi ti on can be used to generate mul ti l ayered structures. Conformal deposi ti on i s the
si mpl est deposi t model and can be used i n al l cases when the exact shape of the deposi ted l ayer i s not
cri ti cal . Conformal deposi ti on can al so be used i n pl ace of oxi dati on of pl anar or quasi -pl anar
semi conductor regi ons when dopi ng redi stri buti on duri ng the oxi dati on process i s negl i gi bl e.
To set the conformal deposi ti on step, sel ect the menu i tems Process - Deposit - Deposit... from the
Commands menu of DECKBUILD. The ATHENA Deposi t menu (Fi gure 2-12) wi l l appear.
Figure 2-12: ATHENA Deposit Menu
As shown, conformal deposi ti on i s the defaul t. I f i t i s known that the oxi de l ayer thi ckness grown i n a
process i s 200 Angstroms, i t i s possi bl e to substi tute thi s wi th conformal oxi de deposi ti on. Sel ect
Oxide from the Material menu, and set i ts thi ckness to 0.02 . I t i s al ways useful to set several gri d
l ayers i n a deposi ted l ayer. I n thi s case, at l east two gri d l ayers are needed i n order to si mul ate
i mpuri ty transport through the oxi de l ayer. I n some other cases (for exampl e, photoresi st deposi ti on
over a non-pl anar structure) a suffi ci entl y fi ne gri d i s needed to accuratel y si mul ate processes wi thi n
the deposi ted l ayer. There are al so si tuati ons (e.g., spacer formati on) when several gri d l ayers i n a

Tutorial
SILVACO International 2-15
deposi ted materi al regi on are needed i n order to properl y represent the geometri cal shape of the
regi on.
The gri d i n the deposi ted l ayer i s control l ed by gri d speci fi cati on parameters i n the ATHENA Deposit
menu. Set the Total number of gri d l ayers to 2, add a Comment, and cl i ck on the Write button. The
fol l owi ng l i nes wi l l appear i n the text subwi ndow:
# GATE OXIDE DEPOSITION
DEPOSIT OXIDE THICK=0.02 DIVISIONS=2
The next step wi l l be to deposi t a phosphorus doped pol ysi l i con l ayer of 0.5m thi ckness. Sel ect the
materi al Pol ysi l i con, and set the thi ckness to 0.5. To add dopi ng, sel ect the Impurities box. The
i mpuri ty concentrati on secti on wi l l be i mmedi atel y added to the ATHENA Deposit menu (Fi gure 2-
13).
ATHENA Users Manual
2-16 SILVACO International
Figure 2-13: Impurity Section of the ATHENA Deposit Menu
Cl i ck on the Phosphorus checkbox and set the dopi ng l evel (e.g., 5.0x10
19
) usi ng the sl i der and the
Exp: menu. I t i s al so possi bl e to set a nonuni form gri d i n the deposi ted l ayer by changi ng the Nominal
grid spacing and the Grid spacing location parameters. To create a fi ner gri d at the
pol ysi l i con surface, set the total number of gri d l ayers to 10, the Nominal grid spacing to 0.02 m
and the Grid spacing location to 0.0 (at the surface). Cl i ck on the Write button, and the fol l owi ng
deposi ti on statement wi l l be wri tten i n the i nput fi l e as:

Tutorial
SILVACO International 2-17
DEPOSIT POLY THICK=0.5 C.PHOSPHOR=5.0E19 DIVISIONS=10 \
DY=0.02 YDY=0.0 MIN.SPACING=0.001
conti nue the ATHENA si mul ati on usi ng the Cont button. Thi s wi l l create the three l ayer structure
shown i n the l eft pl ot of Fi gure 2-14. The parameter MIN.SPACING preserves the hori zontal mesh
spaci ng for hi gh aspect rati o gri ds. ATHENA tri es to reduce hi gh aspect rati o gri ds and MIN.SPACING
stops thi s. To get a fi ner gri d not at the pol ysi l i con surface but for some reason (e.g. i on i mpl antati on)
i n the mi ddl e of pol ysi l i con l ayer, change YDY to 0.2. Thi s puts on a fi ner gri d at a di stance of 0.2 m
from the surface of the structure. You can do thi s by posi ti oni ng the cursor i n the i nput fi l e and
backspaci ng over exi sti ng text, or enteri ng new text.
DEPOSIT POLY THICK=0.5 C.PHOSPHOR=5.0E19 DIVISIONS=10 \
DY=0.02 YDY=0.2
I t i s possi bl e to see the effect of changi ng the YDY. parameter wi thi n the pol ysi l i con wi thout rerunni ng
the whol e i nput fi l e. Si mpl y hi ghl i ght the previ ous statement (DEPOSIT OXIDE...), Cl i ck on the Init
from History button i n the Main Control menu, and then press the Cont button. The new hi story
fi l e can then be l oaded i nto TONYPLOT (see the ri ght pl ot i n Fi gure 2-14 bel ow).
Figure 2-14: Grid Control for Deposition

ATHENA Users Manual


2-18 SILVACO International
Simple Geometrical Etches
The next step i n the tutori al process si mul ati on i s the pol ysi l i con gate defi ni ti on. (I mpl ant and
thermal steps wi l l be di scussed i n the Using SSUPREM4 secti on of thi s tutori al ). To set a geometri cal
etch step, sel ect the chai n Process - Etch - Etch... from the Command menu of DECKBUILD. The
ATHENA Etch menu (Fi gure 2-15) appears.
Figure 2-15: ATHENA Etch Menu
The Geometrical etch i s the defaul t method. Other methods wi l l be di scussed i n the ATHENA/ELITE
secti on of the tutori al . Sel ect Polysilicon from the Material menu. Thi s exampl e wi l l use a
pol ysi l i con gate edge at x=0.3 and set the center of the gate at x=0.0 for the i ni ti al gri d. Therefore
pol ysi l i con shoul d be etched to the ri ght from x=0.3. To do so, sel ect Right from the Geometrical
type, and set the Etch location to 0.3. Thi s wi l l gi ve the fol l owi ng statement:
# POLY DEFINITION
ETCH POLY RIGHT P1.X=0.3
The structure created by thi s ETCH statement i s shown i n the l eft hand pl ot of Fi gure 2-16.
I t i s possi bl e to obtai n an arbi trary shape of geometri cal etchi ng usi ng the Any Shape button. For
exampl e, to make a ti l ted etch, speci fy X and Y l ocati ons of four Arbi trary poi nts as shown i n Fi gure 2-
17.
The fol l owi ng four etch l i nes wi l l be i nser ted i nto the i nput fi l e:
# POLY DEFINITION
ETCH POLY START X=0.2 Y=-1
ETCH CONT X=0.4 Y=1
ETCH CONT X=2 Y=1
ETCH DONE X=2 Y=-1
Tutorial
SILVACO International 2-19
Figure 2-16: Structure Created by Etch Statement
I f thi s i nput fi l e fragment i s run i nstead of the previ ous one (usi ng the INIT statement from the
Hi story capabi l i ty) the structure after thi s etch sequence wi l l appear as di spl ayed i n the ri ght hand
pl ot i n Fi gure 2-16. ATHENA etches al l pol ysi l i con materi al wi thi n the speci fi ed pol ygon. The pol ygon
etch can consi st of any number of poi nts. I f the Insert button i s used, an addi ti onal poi nt wi l l be
i nserted after the currentl y sel ected poi nt.
An addi ti onal opti on for geometri cal etchi ng i s a dry etch wi th a speci fi ed thi ckness. Thi s can be used
for spacer formati on as fol l ows: deposi t an oxi de of a speci fi ed thi ckness (e.g. 0.2 m) and then etch the
same thi ckness agai n.
# CLEAN GATE OXIDE
ETCH OXIDE DRY THICK=0.03
# SPACER DEPOSITION
DEPOSIT OXIDE THICK=0.2 DIVISIONS=8
# SPACER ETCHING
ETCH OXIDE DRY THICK=0.23
The dry etchi ng step etches the speci fi ed materi al i n the regi on between the top (exposed) boundary of
the structure and a l i ne obtai ned by transl ati ng the boundary l i ne down i n the Y di recti on. The etch
di stance i s speci fi ed by parameter THICK. The resul ti ng spacer i s shown i n Fi gure 2-19.

ATHENA Users Manual


2-20 SILVACO International
Reducing Grid Points in Non Essential Areas using the Relax Parameter
The pr evi ous secti ons of the tutor i al demonstr ate that the qual i ty of the gr i d i s extremel y i mpor tant
for ATHENA si mul ati on. The rectangul ar based gri d generated by the INITIALIZE or DEPOSIT
statements may remai n i ntact i n those areas not i nvol ved i n the process steps affecti ng the gri d
(etchi ng, oxi dati on, etc.). The Gri d Rel ax capabi l i ty al l ows the spaci ng to be i ncreased i n such areas at
any poi nt duri ng the si mul ati on. Thi s capabi l i ty i s useful for two reasons. Fi rst of al l , the i ni ti al smal l
spaci ngs are propagated throughout the structure. For exampl e, the fi ne gri d i n the X-di recti on shown
i n Fi gure 2-9 may be needed onl y i n the upper porti on of the structure where dopi ng occurs.
El i mi nati ng some gri d l i nes and poi nts i n the l ower porti on of the structure wi l l not affect the accuracy
of i mpl ant and di ffusi on si mul ati on. Second, i t i s al ways necessary to set a fi ne gri d i n the area where
i on i mpl antati on takes pl ace, but the fi ne gri d may not be needed after the profi l e i s l evel ed-off duri ng
thermal steps. So, rel axati on of an i ni ti al l y fi ne gri d may save si mul ati on ti me duri ng subsequent
steps.
Figure 2-17: Arbitrary Etch
Tutorial
SILVACO International 2-21
Parameters for the RELAX statement are set from the ATHENA Relax menu (Fi gure 2-18).
Figure 2-18: ATHENA Relax Menu
Thi s menu i s i nvoked by sel ecti ng Relax... under Structure i n the DECKBUILD Commands menu. Gri d
rel axati on can be performed over the whol e structure i f Entire Grid i s sel ected, or wi thi n a sel ected
rectangul ar area i f Sel ected i s chosen and Locations for Xmi n, Xmax, Ymi n, and Ymax are speci fi ed.
Sel ecti ng a materi al from the Material popup menu speci fi es whi ch materi al regi on wi l l be affected by
the gri d rel ax operati on. The defaul t i s al l materi al s wi thi n the speci fi ed area. The gri d l i ne
el i mi nati on can be performed ei ther i n one di recti on or i n both di recti ons by sel ecti ng X direction, Y
direction, or Both. The X di recti on, Rel ax, cannot be performed for i ndi vi dual materi al s except for
the substr ate.
ATHENA Users Manual
2-22 SILVACO International
Figure 2-19: Spacer Formation using Dry Etch
To understand how the rel ax functi on changes a gri d, we wi l l use the structure we have obtai ned after
spacer formati on was speci fi ed (Fi gure 2-19). I f we rel ax the enti re gri d i n both di recti ons (Fi gure 2-
20), the fol l owi ng l i nes wi l l be i nserted i nto the tutori al i nput fi l e:
# RELAX EVERYWHERE
RELAX DIR.X=T DIR.Y=T
The resul tant gri d i s shown i n the upper-ri ght corner of Fi gure 2-20.
The total number of gri d poi nts i s reduced from 708 to 388. When compari ng wi th the gri d before
r el axati on (upper-l eft cor ner of Fi gur e 2-20), note that the gr i d wi thi n the oxi de spacer and pol ygate
has not changed. Thi s i s due to several factors:
The rel ax al gori thm works onl y wi th rectangul ar base gri d
I t never el i mi nates gri d l i nes adjacent to a regi on boundary
The rel axed area shoul d be at l east fi ve by fi ve gri d poi nts
Wi thi n si l i con, each second hori zontal l i ne i s el i mi nated. The l ower part of each second verti cal l i ne i s
al so el i mi nated. Thi s happens because the al gori thm does not al l ow the formati on of obtuse tri angl es.
Tutorial
SILVACO International 2-23
Figure 2-20: Grids after Various Relax Operations
I f you do not want the gri d to be rel axed above y=0.3, you need to speci fy Selected and set al l four
boundari es for the rel ax box. Thi s wi l l gi ve the fol l owi ng RELAX statement:
# RELAX LOWER HALF OF THE STRUCTURE
RELAX X.MIN=0.00 X.MAX=1.00 Y.MIN=0.3 Y.MAX=1.00 DIR.X=T DIR.Y=T
I n thi s case the number of gri d poi nts i s 567. The gri d above y=0.3 remai ns i ntact (see the pl ot i n
l ower-l eft corner of Fi gure 2-20), and the el i mi nati on i n X and Y- di recti ons happens onl y bel ow y=0.3.
To i ncrease spaci ng onl y i n the X-di recti on i n the area bel ow y=0.3, sel ect the X direction and l eave
the Area and Location Selections as before. Thi s wi l l gi ve the fol l owi ng rel ax statement:
# RELAX LOWER HALF ONLY IN X-DIRECTION RELAX X.MIN=0.00 X.MAX=1.00 Y.MIN=0.3
Y.MAX=1.00 DIR.X=T DIR.Y=F
Note: The only difference is that instead of DIR.Y=T, the statement contains DIR.Y=F, which prevents
elimination in Y-direction. This gives 638 grid points and a different pattern of elimination (see the plot in
the lower-right corner of Figure 2-20).
ATHENA Users Manual
2-24 SILVACO International
I t i s al so possi bl e to appl y several consequent RELAX statements i n order to achi eve gri d el i mi nati on i n
di fferent areas of the structure.
An i mportant note about the RELAX capabi l i ty i s that i t al l ows you to avoi d creati ng obtuse tri angl es
and avoi d rel axi ng di rectl y on the materi al boundari es. Thi s someti mes resul ts i n no rel axati on or gri d
rel axati on i n a subset of the desi red area. The most desi rabl e method for compl ete control over
gri ddi ng i s through the use of DEVEDI T as descri bed i n the VWF INTERACTIVE TOOLS manual , and as
descri bed bri efl y i n thi s chapter.
Reflecting a Structure in the Y Plane using the Mirror Parameter
Thi s tutori al has been bui l di ng one hal f of a MOSFET-l i ke structure. At some poi nt i n the si mul ati on,
i t wi l l be necessary to obtai n the ful l structure. Thi s must be done pri or to exporti ng the structure to a
devi ce si mul ator or setti ng el ectrode names. I n general , structure refl ecti on shoul d be performed
whenever the structure ceases to be symmetri cal (e.g., a ti l ted i mpl ant, or an asymmetri cal etchi ng or
deposi ti on takes pl ace), or when a refl ecti ng boundary condi ti on i s no l onger appl i cabl e to the si de
whi ch i s goi ng to be the center of the structure.
Usi ng the tutori al structure after spacer formati on and gri d rel axi ng (pl ot i n the l ower-ri ght corner of
Fi gure 2-20), thi s secti on of the tutori al wi l l expl ai n how to mi rror i t at i ts l eft boundary. Sel ect
Mirror under Structure i n the Commands menu (Fi gure 2-21).
Figure 2-21: ATHENA Mirror Menu
Press the Write button to wri te the fol l owi ng statement to the i nput fi l e:
STRUCT MIRROR LEFT
The resul ti ng structure i s shown i n Fi gure 2-22.
The l eft hal f of the structure i s a compl ete mi rror copy of the ri ght part, i ncl udi ng node coordi nates,
dopi ng val ues, etc. Beware of roundi ng errors when mi rrori ng. I f the boundary of refl ecti on i s not
smooth to wi thi n 0.1 angstroms, some poi nts wi l l be dupl i cated.
Specification of Electrodes in ATHENA
The ul ti mate goal of an ATHENA si mul ati on i s usual l y to create a devi ce structure (materi al l ayers pl us
dopi ng) whi ch then can be used by a devi ce si mul ator (usual l y ATLAS) for el ectri cal characteri zati on.
Al though ATLAS i s abl e to speci fy the l ocati ons of el ectrodes, i n many cases thi s must be done i n
ATHENA. For exampl e, i t i s not possi bl e to speci fy an el ectrode l ocati on i n ATLAS when the el ectrode
does not consi st of strai ght segments. Al so, when speci fyi ng el ectrodes i n ATHENA i t i s useful to
transfer el ectrode l ayer i nfor mati on fr om l ayout to el ectri cal tests i n a devi ce si mul ator (see the
descri pti on of the autoel ectrode capabi l i ty i n the MaskViews Chapter of the VWF INTERACTIVE TOOLS
manual ).
Tutorial
SILVACO International 2-25
Figure 2-22: ATHENA Reflect Capability
ATHENA can attri bute an el ectrode to any metal , si l i ci de or pol ysi l i con regi on. A speci al case i s the
backsi de el ectrode whi ch can be pl aced at the bottom of the structure wi thout havi ng a metal regi on
there. I f 0.1 m al umi num l ayer i s deposi ted on the ful l structure after refl ecti on (Fi gure 2-22) usi ng:
DEPOSIT ALUMIN THICK=0.1
and then the par t of the l ayer between x=-0.8 and x=0.8 i s etched usi ng the Any Shape speci fi cati on i n
the ATHENA Etch menu (as i n Fi gure 2-17):
ETCH ALUMINUM START X=-0.8 Y=-20
ETCH CONT X=-0.8 Y=20
ETCH CONT X=0.8 Y=20
ETCH DONE X=0.8 Y=-20
the structure as shown i n Fi gure 2-23 wi l l be obtai ned.
Now i t i s possi bl e to use the ATHENA Electrode menu (Fi gure 2-24) whi ch i s i nvoked by sel ecti ng
Electrode... under the Structure submenu of the Commands menu. To set an el ectrode at a
speci fi ed posi ti on, sel ect the Specified Position button, type i n the X Position (for exampl e, -
0.9) and Name (for exampl e, source), then press the Write button. The fol l owi ng statement wi l l appear
i n the i nput fi l e:
ELECTRODE NAME=SOURCE X=-0.9
ATHENA Users Manual
2-26 SILVACO International
Si mi l arl y, speci fy the drai n el ectrode:
ELECTRODE NAME=DRAIN X=0.9
Figure 2-23: MOSFET Structure with Electrodes
The pol ysi l i con gate el ectrode speci fi cati on has the same format. For thi s structure i t can be done the
same way as for source or drai n:
ELECTRODE NAME=GATE X=0.0
I f the pol ysi l i con l ayer i s not the topmost l ayer at x=0, the Y Position can be speci fi ed. I n thi s case,
check the Y Posi ti on checkbox and type i n a y coor di nate wi thi n the pol ygate l ayer (for exampl e, -0.2).
I f Y i s not speci fi ed and the el ectrode i s not on top, ATHENA wi l l l ook for the el ectrode i n the
underl yi ng l ayers. I f i t fai l s an error wi l l be reported.
To speci fy a backsi de el ectrode, sel ect Backside from the Electrode Type (Fi gure 2-24) and type i n a
name.
The fol l owi ng backsi de el ectrode statement wi l l appear i n the i nput fi l e:
ELECTRODE NAME=BACK BACKSIDE
I f an el ectrode name i s not speci fi ed, DECKBUI LD i ssues an error message:
NO ELECTRODE NAME SPECIFIED
and the command i s not wri tten to the i nput fi l e.
Tutorial
SILVACO International 2-27
I f an i ncorrect posi ti on for el ectrode i s speci fi ed, for exampl e:
ELECTRODE NAME=JUNK X=0.6
Figure 2-24: ATHENA Electrode Menu
ATHENA outputs the fol l owi ng warni ng message:
Warning: Cannot find the electrode for this structure. Electrode statement ignored and ignores the
statement.
Saving a Structure File for Plotting or Initializing an ATHENA Input file for Further Processing
As menti oned earl i er, the DECKBUILD hi story functi on saves structure fi l es after each process step.
However, i n many cases i t i s necessary to save and i ni ti al i ze structures i ndependentl y. There are
several reason for thi s:
The stack for the hi story fi l es i s l i mi ted (25 by defaul t)
I t i s not usual l y desi rabl e to keep dozens of hi story fi l es on di sc (each of whi ch occupy dozens or
hundreds of Kbytes) after the DECKBUILD sessi on ends
Users often want to save the structure i nformati on generated after key process steps (e.g. fi nal
structure)
To save or l oad a structure, use the ATHENA File I/O menu (Fi gure 2-25), whi ch i s i nvoked by
sel ecti ng File I/O... from the Commands menu. Speci fy a fi l e name (the fi l e extensi on .str i s
recommended for al l ATHENA structure fi l es) and press the Save button. The fol l owi ng l i ne wi l l appear
i n the i nput fi l e:
STRUCT OUTFILE=TUTOR.STR
Thi s fi l e (TUTOR.STR) can be rel oaded back i nto ATHENA at any ti me duri ng the current DECKBUILD
sessi on, or duri ng any subsequent sessi on. To rel oad the structure fi l e press the Load button on the
ATHENA Fi l e I /O menu. The fol l owi ng INIT statement wi l l appear:
INIT INFILE=TUTOR.STR
ATHENA Users Manual
2-28 SILVACO International
Figure 2-25: ATHENA File I/O Menu
Note: Only the structure will be reloaded if ATHENA is restarted before this INIT statement. Any
parameters or coefficients that were set during previous simulations must be reset if they are needed.
This structure file can also be used by any device simulator or DEVEDI T.
Tutorial
SILVACO International 2-29
CHOOSING MODELS IN SSUPREM4
Implantation, Oxidation, RTA, Diffusion and Epitaxy
Thi s secti on descr i bes how to si mul ate pr ocess steps speci fi c to the SSUPREM4 modul e of ATHENA:
i mpl antati on, di ffusi on/oxi dati on, epi taxy, and si l i ci dati on. Al so di scussed are the SSUPREM4 model
statements: METHOD, OXIDE, MATERIAL, IMPURITY, etc.
When si mul ati ng any process i nvol vi ng dopant and/or i ts di ffusi on, i t i s absol utel y cri ti cal for
si mul ati on accuracy to use the appropri ate model . Process steps where correct choi ce of model s are
vi tal i ncl ude i mpl antati on, di ffusi on, rapi d thermal anneal i ng, oxi dati on and epi taxy. Thi s secti on
provi des speci fi c advi ce on whi ch model s shoul d be used for each process step.
Why is There More Than One Model for Each Process?
The key to si mul ati ng any dopant rel ated process i s to accuratel y account for damage i n the
semi conductor. I n si l i con processi ng for exampl e, typi cal i mpl antati on doses can cause suffi ci ent
damage to the substrate to enhance dopant di ffusi on rates by three orders of magni tude or more, so
the wrong choi ce of model i n thi s i nstance woul d resul t i n i naccurate resul ts.
Wel l known devi ce anomal i es such as the reverse short channel effect i n MOS processi ng or the
emi tter push effect i n bi pol ar processi ng are whol l y the resul t of such damage enhanced di ffusi on.
Other processes that consume the semi conductor, such as oxi dati on and si l i ci dati on al so i nject damage
i nto the substrate. Thi s must be accounted for i f accurate dopant profi l es are a requi rement.
Thi s secti on ai ms to provi de the user wi th a set of rul es outl i ned i ndi cati ng the correct model that can
be used most of the ti me wi thout the user havi ng a detai l ed knowl edge of the physi cs i nvol ved. The
usual rul es of model sel ecti on appl y here. The more compl i cated the model , the greater the si mul ati on
ti me. There i s al ways a compromi se between si mul ati on accuracy and si mul ati on ti me. The fol l owi ng
secti ons descri be when to use the hi erarchy of model s such that the most compl i cated model s are onl y
used when they make a si gni fi cant di fference to the resul t.
Choosing an Appropriate Model Using the Method Statement
The hi erarchy of di ffusi on and damage model s avai l abl e i s broadl y rel ated to the maxi mum l evel of
damage al ready i n the semi conductor or the maxi mum l evel of damage that the next process step i s
l i kel y to i ntroduce at any parti cul ar ti me duri ng the process fl ow. The l evel of damage i n the
semi conductor at any one ti me i s not a stati c quanti ty but wi l l depend on when and how much damage
was i nduced by a process step and how much anneal i ng has occurred i n subsequent thermal steps. The
range of model s avai l abl e to the user can account for al l of the above effects and al l ows accurate
si mul ati on of dopant di ffusi on i f appropri ate model s have been chosen.
The choi ce of model or combi nati on of model s for any of the pr ocess steps descr i bed above i s defi ned i n
the METHOD statement. The METHOD statement serves a number of functi ons but i n the context of
defi ni ng damage model s the METHOD statement i s used for two pur poses:
1. I t i s used to speci fy model s for how damage i s i nduced duri ng processes such as i mpl antati on or
oxi dati on.
2. I t i s used to speci fy how that damage anneal s and di ffuses i n subsequent or concurrent thermal
processes.
I t i s very i mportant to real i ze that the METHOD statement must be pl aced above the l i ne speci fyi ng the
process step or steps to whi ch i t refers i n the i nput fi l e. Any number of method statements can be used
i n an i nput fi l e al l owi ng the user to change the model s at wi l l duri ng the process fl ow to opti mi ze the
speed and accur acy of the si mul ati on. The model s speci fi ed i n the method statement wi l l hol d true for
al l processes that fol l ow i t, unti l i t i s updated by a subsequent method statement.
ATHENA Users Manual
2-30 SILVACO International
Tabl e 2-1 bel ow i ndi cates a recommended method statement for typi cal processes. I t shoul d be
real i zed that these statements are hi erarchi cal , so there i s no accuracy l ost i f a hi gher or more
compl i cated model i s used where a si mpl er one woul d suffi ce. The onl y downsi de here i s a l onger
si mul ati on ti me. The tabl e bel ow starts off wi th the si mpl est of model s and progresses to the more
compl i cated ones.
There i s one excepti on to the tabl e above, and that i s the si tuati on where there was no i ni ti al damage
to the substrate, yet the dopant concentrati on i s so hi gh, speci al consi derati ons have to be taken i nto
account. Thi s occurs when doped oxi des are used as di ffusi on sources as used to be the case duri ng the
earl y days of processi ng. For doped oxi des bei ng used as di ffusi on sources use the fol l owi ng method
statement:
method cnet
Modern processes are now predomi nantl y i mpl antati on based and so the set of model s i nvoked by the
cnet parameter i s sel dom used.
When to Change the method Statement During the Process Flow
I t has previ ousl y been stated that the di sadvantage of usi ng the most advanced and compl ex model s i s
the ti me i nvol ved duri ng di ffusi on cycl e si mul ati on. Accordi ngl y, there i s an i ncenti ve duri ng compl ex
process si mul ati ons to swi tch back to a si mpl er model duri ng a di ffusi on cycl e when the majori ty of the
damage created by a previ ous i mpl ant, for exampl e, has been anneal ed. Consequentl y, the questi on of
when to swi tch to a si mpl er model needs some i nstructi on.
I f the process bei ng model ed has i nvol ved i mpl antati on or oxi dati on at any stage, i t woul d be advi sabl e
not to use a model any si mpl er than two.dim. Thi s i s because the accurate si mul ati on of i ntersti ti al
and vacancy movement woul d be l ost i f the si mpl est (fermi) model was used. An excepti on to thi s
woul d be i n some power devi ces wi th very l ong di ffusi on ti mes where the exact nature of surface
damage woul d have l i ttl e i mpact on the fi nal di stri buti on of the dopant, deep i n the substrate and
si mul ati on ti me, i s at a premi um.
I n real i ty, for most smal l geometry processes, the questi on of swi tchi ng model s becomes one of when to
add a new method statement whi ch changes from:
METHOD FULL.CPL CLUSTER.DAM HIGH.CONC
to
METHOD TWO.DIM
after a hi gh dose i mpl ant.
Table 2-1. Recommended Method Statements for Typical Processes
Method Statement Syntax Suitability of using this method syntax
method fermi Use ONLY before UNDAMAGED silicon diffusions
where doping concentrations are less than
1e20/cm3 and NO OXIDIZING ambient is present.
method two.dim
Use before implant doses less than 1e13/cm
2

and for oxidations.
method full.cpl
cluster.dam high.conc
Use before implant doses greater than 1e13/cm
2
Tutorial
SILVACO International 2-31
A simple guideline would be the following:
Swi tch back to the TWO.DIM model i f the anneal temperature i s greater than 900
degrees and the devi ce has been anneal ed for at l east one mi nute fol l owi ng an
i mpl ant, where the dose i s greater than 1e13/cm
2
.
For a more accurate gui del i ne, users are referred to the tabl e i n the Model s chapter of thi s manual
under the secti on headi ng <311> Cl uster Transi ent I ntersti ti al Bul k I njecti on Model The tabl e
shows the anneal temperature/ti me combi nati ons requi red for 95% of the cl usters formed duri ng hi gh
dose i mpl ants to di ssol ve. Model i ng these dopant/defect cl usters requi res the ful l y coupl ed (full.cpl)
and cl uster damage (cluster.dam) model s. Onl y when these cl usters have di ssol ved can the two.dim
model be used wi thout si gni fi cant l oss of si mul ati on accuracy. As a general rul e, i t i s recommended
that the method statement be changed to method two.dim onl y after a di ffusi on ti me that i s at
l east two or three ti mes as l ong as the val ues quoted i n the tabl e.
I f the user wi shes to be certai n of when i t i s safe to swi tch model s, the recommended procedure i s to
save a structure fi l e at the poi nt of i nterest, l oad the fi l e i nto tonyplot and perform a 1D cutl i ne.
Pl ot the cl usters and i ntersti ti al s. I f the cl uster concentrati on i s sti l l vi si bl e, i t i s too earl y to swi tch
model s.
For power devi ces, where si mul ati on ti me i s at a premi um, the same method as descri bed i n the
previ ous paragraph shoul d be used, but i nstead of usi ng the cl uster concentrati on as a gui de as to
when to swi tch model s, the i ntersti ti al concentrati on shoul d be used as the gui de as to when to swi tch
model s one more ti me from the TWO.DIM model to the basi c FERMI model . When the i ntersti ti al
concentrati on near the surface duri ng a very l ong anneal has been reduced to onl y margi nal l y above
the background l evel at the anneal temperature concerned, the method statement can be swi tched to
METHOD FERMI to greatl y reduce the si mul ati on ti me. The i ntersti ti al background l evel wi l l be the
l evel deep i n the substrate where l i ttl e damage has occurred.
Modeling the Correct Substrate Depth
An i mportant and often overl ooked aspect of the correct model i ng of dopant di ffusi on i s the choi ce of
substrate depth. I t has been menti oned previ ousl y that the rate of dopant di ffusi on i s hi ghl y
dependent on the l evel of damage i n the substrate. I t fol l ows therefore, that the accurate model i ng of
dopant di ffusi on requi res the accurate model i ng of substrate damage, parti cul arl y the movement of
i ntersti ti al s. I n general , the i ntersti ti al s created di rectl y or i ndi rectl y by i mpl antati on and oxi dati on
tend to di ffuse much greater di stances than the dopant. The substrate depth chosen for model i ng
purposes must therefore be deep enough to al l ow the i ntersti ti al concentrati ons to return to
background l evel s at the bottom of the si mul ated substrate, even if no dopant diffusion occurs at
this depth.
ATHENA Users Manual
2-32 SILVACO International
Figure 2-26: Interstitials can move far into the substrate even after a short 10um anneal
Fi gure 2-26 shows typi cal di ffusi on profi l es of i ntersti ti al s after a 1e15/cm3 20keV Boron i mpl ant at
vari ous anneal ti mes. After onl y a 10 mi nute anneal , the i ntersti ti al s have di ffused 8um i nto the
substrate.
I ntersti ti al s, l i ke dopant, requi re a concentrati on gradi ent i n order for overal l di ffusi on to take pl ace. I f
the concentrati on gradi ent of i ntersti ti al s i s removed by havi ng too shal l ow a substrate depth for
exampl e, the concentrati on of i ntersti ti al s wi l l start to pi l e up because they are no l onger bei ng
removed vi a di ffusi on i nto the bul k of the substrate. I f the l evel of model ed i ntersti ti al s becomes too
hi gh, then the di ffusi on of dopant, even near the surface of the substrate, wi l l al so be too hi gh and the
si mul ati on wi l l be i naccurate.
Tutorial
SILVACO International 2-33
Figure 2-27: Effect on boron diffusion profile when too small a substrate depth is used in the simulation
Fi gure 2-27 shows the boron profi l es for two i denti cal anneal s, the onl y di fference bei ng the depth of
the si mul ated substrate. I t can cl earl y be observed that a shal l ow model ed substrate al ways resul ts i n
more total di ffusi on, even though the substrate depth was greater than the total di ffusi on depth i n
both cases.
Model i ng a deep substrate need not i nvol ve a huge number of extra mesh poi nts, si nce the mesh poi nts
may be pl aced qui te far apart near the bottom of the substrate. Al l that i s requi red of the mesh poi nts
near the bottom of the substrate i s that there be suffi ci ent to model the gradi ent of i ntersti ti al s i n thi s
regi on. The number of addi ti onal mesh poi nts may be further reduced i n the X-di recti on by the use of
several RELAX statements.
For normal smal l geometry MOSFET/Bi pol ar processi ng, a substrate depth of 20um shoul d be more
than adequate. Thi s depth coul d be reduced by pl otti ng the verti cal i ntersti ti al profi l es at vari ous
poi nts i n the process to fi nd the maxi mum depth of i ntersti ti al di ffusi on. There i s l i ttl e to be gai ned by
reduci ng the depth of si mul ati on however, i f the combi nati on of l arge gri d spaci ng, deep i n the
substrate and the RELAX statement i s used appropri atel y.
Simulating Ion Implantation
I on i mpl antati on i s the mai n method used to i ntroduce dopi ng i mpuri ti es i nto semi conductor devi ce
structures. Adequate si mul ati on of the i on i mpl antati on process i s very i mportant because modern
technol ogi es empl oy smal l CDs and shal l ow dopi ng profi l es, hi gh doses, ti l ted i mpl ants and other
advanced methods.
The IMPLANT statement can be set usi ng the ATHENA Implant menu (Fi gure 2-28) i nvoked by
sel ecti ng Implant... under Process i n the Commands menu.
ATHENA Users Manual
2-34 SILVACO International
Figure 2-28: ATHENA Implant Menu
The fol l owi ng l i st gi ves the mi ni mum set of parameters that shoul d be speci fi ed:
Name of i mpl ant i mpuri ty (e.g., boron)
I mpl ant dose usi ng the sl i der for the pre-exponenti al val ue (e.g., 4.0) and the Exp: menu for the
exponent (e.g., 12)
I mpl ant energy i n KeV (e.g. 60)
Ti l t angl e i n degrees (e.g., 7)
Rotati on angl e i n degrees (e.g., 30)
Al l other parameters may use thei r defaul t val ues. Press the Write button, and the fol l owi ng
statement wi l l appear i n the i nput fi l e:
# CHANNEL IMPLANT
IMPLANT BORON DOSE=4.0E12 ENERGY=60 PEARSON TILT=7 ROTATION=30 \
CRYSTAL
Al l of the parameters i n the statement above are sel f-expl anatory except CRYSTAL. The CRYSTAL
parameter i ndi cates that for al l anal yti cal model s, the range stati sti cs extracted for a si ngl e si l i con
crystal wi l l be appl i ed (when avai l abl e). I f AMORPHOUS i s sel ected, the range parameters measured
i n pre-amorphi zed si l i con wi l l be used (when avai l abl e). The same parameter CRYSTAL has another
meani ng for the Monte Carl o or BCA i mpl ant model s. I t i nvokes the Crystal l i ne Materi al Model whi ch
takes channel i ng i nto account. Note that the l atter model i s much sl ower (5 - 10 ti mes) than the
Amorphous materi al model . The Crystal l i ne materi al Model i s the defaul t model for BCA or Monte
Carl o si mul ati on. For detai l ed descri pti on of i on i mpl ant model sel ecti on, see Implantation Models
i n SSUPREM4 Model s Chapter.
Tutorial
SILVACO International 2-35
I t i s al so possi bl e to speci fy ti l t and rotati on angl es of the i on beam. Posi ti ve ti l t angl es correspond to
the i on beam comi ng from the top l eft. Speci fyi ng the rotati on angl e makes sense onl y for nonzero ti l t
angl es. Zero rotati on means that the i on beam vector l i es i n the pl ane paral l el to the 2D si mul ati on
pl ane; 90 degrees rotati on means that the i on beam vector l i es i n the pl ane perpendi cul ar to the
si mul ati on pl ane.
Sel ecti ng Continual rotation causes SSUPREM4 to rotate the wafer, i .e., i mpl antati on wi l l be
performed at 24 di fferent rotati on angl es from 0 to 345 degrees, i n i ncrements of 15 degrees.
Two damage model s are avai l abl e i n SSUPREM4 (see Chapter 3). Onl y the Unit Damage model can be
used wi th an anal yti cal i mpl ant model . Both model s are avai l abl e for Monte Carl o si mul ati on. Use one
of the damage model s onl y when poi nt defects generated duri ng i on i mpl antati on may affect
subsequent di ffusi on steps. When you use UNIT.DAMAGE, you may speci fy the DAM.FACTOR as wel l
(the defaul t i s 0.01). For more detai l s about the damage model and i ts effect on subsequent di ffusi on,
refer to Chapter 3 of thi s manual .
When the Monte Carl o model i s sel ected, several addi ti onal opti onal parameters become avai l abl e for
speci fi cati on by the user (Fi gure 2-29). The fi rst three are rel ated to the Damage model . The four
others control Monte Carl o cal cul ati on as wel l as i mpl ant trajectory pl ots. See Tabl e 2-2 for a qui ck
reference of ATHENA i mpl ant model s.
Table 2-2. ATHENA Implant Model Reference
Process Model Assumption Recommendation
Implant SIMS Verified Dual
Pearson (SVDP) -
Default
Empirical See Table 3-1
Single Pearson Analytic All other cases
Monte Carlo
Monte or BCA
Statistical Multi-layer structures: angled
implants into a structure where
many ions could be reflected
(trenches); when channeling is
not described by SVDP; high or
very low energy
Silicon
Type
Amorphous No channel-
ing effect
is included
Most of implant profile is
within amorphous materials
(oxide, polysilicon, pre-amor-
phized silicon); channeling is
negligible or not important
Crystal - Default Channeling
effect is
included
When channeling effects are
important: light ions (boron,
phosphorus)_, zero or close to
zero degrees tilt, implant
through thin amorphous layer
into crystalline substrate
ATHENA Users Manual
2-36 SILVACO International
Figure 2-29: ATHENA Implant Window
Simulating Diffusion
Si mul ati on of thermal process steps i s a focal poi nt of SSUPREM4. The hi erarchy of di ffusi on and
oxi dati on model s i s descri bed i n thi s chapter and i n Chapter 3. Thi s secti on wi l l demonstrate how to
set di fferent parameters and model s of di ffusi on, oxi dati on and si l i ci dati on. The l ast process wi l l take
pl ace onl y i f at l east one refractory metal or si l i ci de l ayer i s present i n the structure.
The parameters and model s of a di ffusi on/oxi dati on step can be prepared from the ATHENA Diffuse
menu. (Fi gure 2-30).
Tutorial
SILVACO International 2-37
Figure 2-30: ATHENA Diffuse Menu
Thi s menu i s i nvoked by sel ecti ng Diffuse... under Process i n the DECKBUILD Command menu. The
Di ffuse menu has four secti ons. Onl y the Ti me/Temperature and Ambi ent secti ons appear i ni ti al l y.
The Impurities and Models secti ons appear onl y when the correspondi ng check boxes are sel ected.
The mi ni mum set of di ffusi on step parameters i s:
Ti me (e.g. 60 mi nutes)
Temperature (e.g. 1100 degrees Cel si us)
Gas pressure (1 atmosphere i s defaul t)
The fol l owi ng i nput fi l e statements wi l l appear:
# DRIVE-IN
DIFFUS TIME=60 TEMP=1100 NITRO PRESS=1.00
I f you choose the Ramped box and End Temperature or Temperature rate, a ramped temperature
thermal step i s si mul ated. The temperature rate i s a vari abl e by defaul t, but i t can be set to a speci fi c
constant temperature rate by sel ecti ng Constant i n the Rate box. I f the End temperature i s set to
1000, the fol l owi ng l i nes appear:
# RAMPING DOWN
DIFFUS TIME=60 TEMP=1100 T.FINAL=1000 NITRO PRESS=1.00
The same pul l down menu used for i nert di ffusi ons i s al so used for oxi dati ons descri bed i n the secti on
enti tl ed Si mul ati ng Oxi dati on. However, si nce there are speci al consi derati ons for i nert di ffusi ons
whi ch come under the category of Rapi d Thermal Anneal s (RTA), the speci al notes pertai ni ng to thi s
speci fi c set of condi ti ons are descri bed i n the next secti on. These notes are very i mportant for accurate
ATHENA Users Manual
2-38 SILVACO International
si mul ati on of hi gh temperature, short durati on anneal s. I t i s therefore strongl y recommended that
these notes are read before attempti ng to wri te the RTA secti on of the i nput fi l e.
Important Notes for Simulating Rapid Thermal Anneals (RTA)
The usual reason for empl oyi ng a Rapi d Thermal Anneal (RTA) i n a process fl ow i s to anneal out
damage i n the substrate whi ch has been caused by a previ ous process step, usual l y an i mpl ant, whi l e
at the same ti me mi ni mi zi ng dopant di ffusi on. Dopant acti vati on al so occurs duri ng thi s process.
These anneal s are usual l y hi gh i n temperature and l ow i n durati on for sound devi ce physi cs reasons.
Once agai n, the key to accurate si mul ati on of Rapi d Thermal Anneal s l i es i n the accurate si mul ati on
of substrate damage behavi or. The rol e of i ntersti ti al s i n enhanced dopant di ffusi on has been
previ ousl y touched on i n thi s chapter and the reader i s strongl y advi sed to read the secti on enti tl ed
Process Steps Where User Model Selection is Critical for Accurate Results to become
fami l i ar wi th the rol e of i ntersti ti al s duri ng process si mul ati on.
To understand why a Rapi d Thermal Anneal usual l y empl oys hi gh temperatures and short durati ons,
the fol l owi ng statement must be understood:
For a gi ven hi gh dose i mpl ant, i f an anneal durati on i s sel ected such that a fi xed per-
centage of the damage i s anneal ed, the lower the anneal temperature, the more
dopant diffusion occurs.
The above statement requi res an expl anati on si nce i ntui ti vel y, the opposi te woul d seem more l i kel y. A
descri pti ve expl anati on of what i s happeni ng can be i nformati ve i f the two extremes of anneal
temperature are consi dered.
For the l owest anneal temperatures, the damage anneal rate i s al most zero, so dopant di ffusi on rates
are enhanced by a factor of 1000 or more for the l ong ti me peri ods requi red to remove the damage. Thi s
resul ts i n hi gh total dopant di ffusi on.
For the hi ghest temperature anneal s, a si gni fi cant percentage of damage removal occurs i n a fracti on
of a second. Al most zero damage enhanced di ffusi on or total di ffusi on therefore occurs i n thi s i nstance
and the anneal ti me to remove the damage i s very short. Extrapol ati ng between these extremes
provi des a qual i tati ve expl anati on of what occurs for i ntermedi ate temperature anneal s.
Two i mportant poi nts have now been establ i shed:
1. For sound devi ce physi cs reasons, most RTA processes consi st of hi gh temperature, short durati on
anneal s.
2. Damage-enhanced di ffusi on wi l l onl y occur for a few seconds at typi cal RTA temperatures.
For accurate si mul ati on of RTA, the second poi nt i s most i mportant and often wrongl y negl ected.
Suppose a rapi d thermal anneal consi sts of a 10 second ramp up to 1000C, fol l owed by a 20 second
anneal , fol l owed by a 10 second cool down. From poi nt two above i t i s apparent that:
Most of the Total Dopant Diffusion Would Have Taken Place During the
Ramp Up Phase of the Rapid Thermal Anneal.
Therefore, ALWAYS model the temperature ramp up accuratel y when si mul ati ng an RTA process. I n
most cases, the ramp down may be negl ected, si nce al l the di ffusi on has al ready taken pl ace at the
begi nni ng when the si l i con was sti l l damaged.
Tutorial
SILVACO International 2-39
Simulating Oxidation
I t has al ready been stated that the pul l down menu for si mul ati ng oxi dati ons i s the same as that for
si mul ati ng i nert di ffusi ons descri bed i n the secti on enti tl ed Si mul ati ng Di ffusi on, so refer to thi s
secti on for advi ce on sel ecti ng the appropri ate pul l down menu from DECKBUI LD.
The defaul t method for oxi dati on i s Compress. I n SSUPREM4 exampl es there are a number of exampl es
whi ch i l l ustrate the use of di fferent model s for di fferent processes and structures.
I n our previ ous exampl e descri bed i n the Si mul ati ng Di ffusi on secti on i f the next temperature step i s
goi ng to be at a constant temperature of 1000C i n dry O2 wi th 3% of HCL i n the ambi ent, sel ect the
Dry O2 box and set HCL% equal to 3 i n the Ambient secti on of the Diffuse menu. The fol l owi ng i nput
fi l e fragment wi l l appear:
# GATE OXIDE
DIFFUS TIME=60 TEMP=1000 DRYO2 PRESS=1.00 HCL.PC=3
I f the ambi ent i s a mi xture consi sti ng of more than one oxi dant, the total oxi dati on rate wi l l depend on
the combi ned effect of al l speci es i n the ambi ent. To speci fy the contents of the ambi ent mi xture, sel ect
the Gas Fl ow button i n the Ambi ent secti on; an addi ti onal ATHENA Gas Fl ow Properti es menu
appears:
Figure 2-31: ATHENA Gas Flow Properties menu

I f the Gas Fl ow components are sel ected, as shown i n Fi gure 2-31, the fol l owi ng statement wi l l be
generated:
# GATE OXIDE
DIFFUS TIME=60 TEMP=1000 F.H2O=5.3 F.HCL=0.06 F.O2=8.0 \
PRESS=1.00
One or several i mpuri ti es can be present i n the ambi ent. Ambi ent can be set i n the Impurity
Concentration secti on of the ATHENA Diffuse menu by checki ng correspondi ng checkboxes, and by
setti ng the val ues usi ng sl i ders and the Exp: menus.
For exampl e, by sel ecti ng the appropri ate boxes and val ues, the fol l owi ng DIFFUSE statement coul d
be i nserted i nto the i nput fi l e:
# FIELD OXIDE
DIFFUS TIME=100 TEMP=850 T.FINAL=1060 WETO2 PRESS=1.00 \
HCL.PC=0 C.ARSENIC=9.0E19 C.PHOSPHOR=4.0E20
Several other parameters not i ncl uded on the menu are avai l abl e i n the DIFFUSE statement. They
are descri bed i n Chapter Seven of thi s manual . Three of these parameters coul d be very useful : DUMP,
DUMP.PREFIX, and NO.DIFF. DUMP and DUMP.PREFIX can be used to make a movi e usi ng
ATHENA Users Manual
2-40 SILVACO International
TONYPLOT. The NO.DIFF parameter speci fi es that i mpuri ty redi stri buti on wi l l be negl ected. Thi s
provi des a good approxi mati on for l ow temperature processes such as si l i ci dati on.
Several other model speci fi cati on statements are i mportant for di ffusi on processes. These are:
IMPURITY, INTERSTITIAL, and other i mpuri ty and poi nt defect statements, whi ch speci fy
model parameters (di ffusi vi ty, segregati on, etc.) for these speci es
The OXIDE statement, whi ch speci fi es parameters for di fferent oxi dati on model s
The MATERIAL statement, whi ch speci fi es some basi c parameters for al l materi al s
The SILICIDE statement, whi ch speci fi es si l i ci dati on coeffi ci ents
A tabl e of basi c di ffusi on and oxi dati on model s i s shown i n Tabl e 2.3.
A detai l ed descri pti on of al l di ffusi on and exi dati on model s can be found i n the SSUPREM4 Model
chapter.
Simulating the Epitaxy Process
ATHENA/SSUPREM4 can si mul ate a hi gh temperature si l i con epi taxi al processes. The epi taxy process i s
consi dered as a combi nati on of deposi t and di ffuse processes. Therefore, processes such as
autodopi ng from a hi ghl y doped buri ed l ayer i nto a l i ghtl y doped epi taxi al l ayer can be si mul ated.
However, di ffusi on parameters for epi taxi al si l i con are consi dered the same as for si ngl e crystal
si l i con.
The ATHENA Epitaxy menu (Fi gure 2-32) i s i nvoked by sel ecti ng Epitaxy under Process i n the
Commands menu.
The Epitaxy menu consi sts of fi ve secti ons:
The time/temperature secti on of the menu sel ects temperature step parameters i n the same
way as i n the DIFFUSE statement (see Si mul ati ng Di ffusi on and Oxi dati on).
The thickness/rate secti on sel ects ei ther the total thi ckness of the epi taxi al l ayer, or the
deposi t rate i n mi crons/mi nute. I n the l atter case the total thi ckness wi l l be determi ned by the
rate and ti me.
Table 2-3. Basic Diffusion and Oxidation Models
Process Model Assumption Recommendation
Diffuse Fermi -
Default
Defect in equi-
librium
For undamaged substrates in
inert ambients
two.dim Transient defect
diffusion
during oxidation, and post
med. dose implant (e.g., OED)
full.cpl Defect and impu-
rity binding
energy model
Post high dose implant & co-
diffusion effects, but execu-
tion time is high
Oxida-
tion
Vertical Planar 1D oxidation only (should
never be used)
Compress -
Default
Non-planar with
linear flow
2D oxidation (e.g. birds beak)
Viscous
Elastic
Non-planar with
non-linear flow
2D oxidation (e.g. birds beak
with thick Si
3
N
4
, however,
execution time is higher
Tutorial
SILVACO International 2-41
The grid specification secti on speci fi es the verti cal gri d structure wi thi n the grown epi taxi al
l ayer. Al l gri d par ameter s ar e equi val ent to those of the ATHENA Deposit menu (Fi gure 2-12).
Impurity concentrati on can be speci fi ed i n the growi ng epi taxi al l ayer i n the same way as i n
the DIFFUSE statement.
Al l parameters i n the l ast three groups are opti onal . I f the parameters of an epi taxi al step are set
exactl y as shown i n Fi gure 2-32, the fol l owi ng statement wi l l appear i n the i nput fi l e:
# EPI-LAYER
EPITAXY TIME=30 TEMP=900 T.FINAL=1000 THICKNESS=5 DIVISIONS=20 \
DY=0.05 YDY=0.00
Note: The diffusion during the epitaxy process will use the diffusion model set in the most recent
METHOD statement. If another METHOD statement is needed, include it before the EPITAXY statement.
Figure 2-32: ATHENA Epitaxy Menu
ATHENA Users Manual
2-42 SILVACO International
Calibrating ATHENA for a Typical MOSFET Process Flow
Thi s secti on of the manual provi des i nformati on on whi ch parameters shoul d be tuned i n the i nput fi l e
to provi de predi cti ve si mul ati ons usi ng a typi cal MOSFET process fl ow. Thi s i s not a stand al one text
but presumes the reader i s now fami l i ar wi th the precedi ng tutori al on the mechani cs of maki ng an
i nput fi l e and usi ng the correct methods and model s. I n parti cul ar, the secti on enti tl ed Process
Steps Where User Model Selection is Critical for Accurate Results shoul d be total l y
understood by the user. The i ncorrect use of the method statement, for exampl e, wi l l i nval i date the
rest of the fol l owi ng secti on.
The cal i brati on of an ATHENA i nput fi l e for a typi cal MOS process fl ow necessari l y i nvol ves the devi ce
si mul ator Atl as, si nce el ectri cal measurements from the MOSFETs i n questi on often represents the
majori ty of the physi cal data avai l abl e for cal i brati on. I t may be thought that thi s i s somethi ng of a
paradox si nce Atlas woul d al so have to be correctl y cal i brated. The reason that thi s does not present
a probl em i s di scussed bel ow.
An i mportant poi nt to keep i n mi nd when usi ng Technol ogy Computer Ai ded Desi gn (TCAD) i n
general , i s that the most cri ti cal task by far i s to accuratel y model the process fl ow.
Note: For accurate simulation of MOSFETs, 90% of the time should be invested in achieving an
accurate process simulation, while only 10% of the time should be invested in fine-tuning the device
simulation.
The reason for thi s, especi al l y for si l i con technol ogi es, i s that the devi ce physi cs i s very wel l
understood i n general . For si l i con, not onl y i s the physi cs wel l understood, i t i s al so wel l characteri zed,
so most of the defaul t val ues i n ATLAS wi l l be correct. The cal i brati on of an ATHENA process fi l e,
therefore, does not i nvol ve the cal i brati on of wel l known quanti ti es such as di ffusi on coeffi ci ents.
Rather, the cal i brati on i nvol ves vari abl es whi ch are process and producti on l i ne dependent. For
exampl e, the damage caused by an i mpl ant cannot be determi ned exactl y si nce i t i s dose rate
dependent and can be i nfl uenced by beam heati ng of the substrate, whi ch i n turn i s dependent on the
carousel rotati on speed and the effi ci ency of the cool i ng system, etc.
Note: If the process has been correctly modeled, the device simulation will also be accurate if
appropriate models have been chosen.
I f a si mul ated devi ce exhi bi ts el ectri cal characteri sti cs that are total l y i naccurate, chances are the
user has done somethi ng wrong i n the process si mul ati on, not the devi ce si mul ati on. Do not make the
mi stake of changi ng wel l known defaul t val ues i n the si mul ators i n order to make a curve fi t one set of
resul ts, as thi s wi l l l ead to poor predi cti ve behavi or. Try and fi nd the root cause of a di screpancy.
Input Information
I t may seem obvi ous but i t must be stressed that an accurate process fl ow i s vi tal for si mul ati on
accuracy, especi al l y for rapi d thermal anneal s (see the secti on enti tl ed Important Notes for
Simulating Rapid Thermal Anneals). Other process i nformati on requi red i s an accurate cross-
secti on of the oxi de spacer. Model i ng the spacer pr ofi l e accur atel y ensur es that the l ateral damage
di stri buti on due to the subsequent source-drai n i mpl ants i s correctl y model ed.
Turni ng to el ectri cal data, the most i mportant devi ce el ectri cal data i s a pl ot of threshol d vol tage
versus gate l ength for the NMOS devi ces. Typi cal pl ots of threshol d vol tage versus gate l ength are
shown i n Fi gure 2-33. I n thi s fi gure, the RTA anneal temperature and ti mes were vari ed to show the
vari ous profi l es that can be expected. A more typi cal pl ot i s represented by the 1000C RTA profi l e,
showi ng a peak val ue around 1-2 mi crons wi th a tai l off for l onger or shorter gate l engths.
Tutorial
SILVACO International 2-43
Figure 2-33: A plot of Threshold Voltage vs. Gate Length for NMOS devices
Gate oxi de thi ckness measurements are al so requi red. Care must be exerci sed here i f oxi de thi ckness
i s measured usi ng capaci tance-vol tage (C-V) methods, si nce quantum effects i n very thi n oxi des (l ess
than 5nm) can l ead to i naccuraci es because the actual l ocati on of the peak concentrati on of the
accumul ati on charge i s not at the i nterface as cl assi c physi cs predi cts, but a short di stance i nto the
si l i con. Use the QUANTUM model i n ATLAS to match accumul ati on capaci tance wi th oxi de thi ckness for
ver y thi n oxi des.
Other useful el ectri cal i nput i nformati on i s data that wi l l not be used now, but l ater for the cal i brati on
process i tsel f, to test the predi cti ve nature of the si mul ati on. Typi cal el ectri cal data used for predi cti ve
testi ng i ncl udes threshol d vol tage versus gate l ength measurements for a non-zero substrate bi as.
Tuning Oxidation Parameters
Duri ng oxi dati on, i ntersti ti al s are i njected i nto the si l i con substrate by the advanci ng i nterface. The
fi rst parameter to tune i s the fracti on of consumed si l i con atoms that are re-i njected back i nto the
substrate as i ntersti ti al s. I n Athena, the rel ated tuni ng parameter i s cal l ed Theta.0 and i s defi ned
i n the Interstitial statement. Theta.0 has been found to be sl i ghtl y di fferent for wet and dry
oxi des. The defaul t val ue i s reasonabl y accurate for dry oxi des but some tuni ng may be requi red for
wet oxi dati on.
The major effect of i ntersti ti al i njecti on duri ng gate oxi dati on i s to create enhanced di ffusi on of the
threshol d adjust i mpl ant. The measured threshol d vol tage of the fi nal devi ce i s very sensi ti ve to the
dopant concentrati on near the si l i con-gate oxi de i nterface. Consequentl y, threshol d vol tage
measurements are a sensi ti ve i ndi cator of i ntersti ti al behavi or. However, oxi dati on i s not the onl y
source of i ntersti ti al i njecti on. The source-drai n and LDD i mpl ants al so i nduce a l arge concentrati on of
i ntersti ti al s. I n order to i sol ate oxi dati on enhanced di ffusi on, therefore, the threshol d vol tage of a l ong
ATHENA Users Manual
2-44 SILVACO International
gate l ength devi ce i s used, preferabl y where L=20um or more, such that the threshol d vol tage wi l l be
l i ttl e i nfl uenced by damage near the source-drai n regi ons.
I ntersti ti al s i njected by source-drai n i mpl ant damage can travel up to 10um al ong the surface before
recombi nati on takes pl ace. A gate l ength of 20um i s therefore recommended as the mi ni mum gate
l ength for cal i brati on as thi s al l ows the i ntersti ti al s to di ffuse 10um al ong the surface from both the
source and drai n ends wi thout effecti ng di ffusi on near the center of the devi ce. I n summary, tuni ng
Theta.0 i nvol ves the compari son of model ed and measured threshol d vol tage data for a l ong gate-
l ength devi ce.
Theta.0 can be rapi dl y tuned by taki ng a one di mensi onal (1D) verti cal cutl i ne through the center of
the gate and doi ng a 1D process si mul ati on. Theta.0 can be tuned manual l y or may be tuned usi ng
the opti mi ze functi on i n Deckbui l d . Theta.0 i s si mpl y tuned unti l measured and si mul ated data
of l ong channel threshol d vol tage correspond. The fi ne tuni ng of Theta.0 i s performed usi ng a ful l two
di mensi onal si mul ati on.
Figure 2-34: A Typical Dependence of Extracted Threshold Voltage
Fi gure 2-34 shows a typi cal dependence of extracted threshol d vol tage on the THETA.0 tuni ng
parameter. Real i sti c val ues of THETA.0 correspond to the ri si ng part of the curve. The gl i tch i n the
curve i s due to roundi ng errors i n the EXTRACT statement used to cal cul ate the thr eshol d vol tage due
to the automati c and i ndependent mesh generated i n the EXTRACT statement. The mesh can be
changed from i ts defaul t val ue shown here to el i mi nate thi s effect. However cl ose exami nati on reveal s
that the error i nvol ved i s onl y a few mi l l i vol ts whi ch i s accurate enough for most process parameter
extracti ons.
Tutorial
SILVACO International 2-45
Tuning Implantation Parameters
Two i mpl antati on parameters can now be tuned usi ng the threshol d vol tage versus gate l ength data.
The peak val ue of threshol d vol tage for a gi ven process fl ow (the reverse short channel effect) wi l l be a
functi on of the i ni ti al i mpl ant damage caused by the LDD and source-drai n i mpl ants. Si nce these
i mpl ants have a hi gh total dose and therefore damage, the tuni ng parameter here i s the cl usteri ng
factor. I n Athena, thi s parameter i s cal l ed clust.fact and i s defi ned i n the cluster
statement. The hi gher the cl usteri ng factor, the greater the damage, the greater the di ffusi on, the
greater the reverse short channel effect. Fi gure 2-35 shows the effect on the threshol d vol tage of
changi ng the cl ust.fact parameter for a typi cal process fl ow.
Figure 2-35: How changing the clust.fact parameter effects the threshold voltage
The second i mpl antati on parameter that can now be tuned i s the l ateral spread of the i mpl ant near
the surface. I n Athena, thi s parameter i s cal l ed lat.ratio1 and i s defi ned i n the implant
statement. The l ateral spread of the source-drai n and LDD dopant i s responsi bl e for the cl assi cal short
channel effect, where the threshol d vol tage reduces for very short channel l engths. Si mpl y tune the
lat.ratio1 parameter unti l the onset of cl assi cal short channel effects of si mul ated and measured
data correspond. I f the lat.ratio1 i s i ncreased, the onset of the cl assi cal short channel effect wi l l
occur for l onger gate l engths.
Tuning Diffusion parameters
The fi nal part of the threshol d vol tage versus gate l ength curve can now be used to tune the surface
recombi nati on rate of i ntersti ti al s. I n ATHENA, thi s parameter i s cal l ed KSURF.0 and i s speci fi ed i n the
INTERSTITIAL statement. The surface recombi nati on of i ntersti ti al s wi l l di ctate the rol l -off rate of
threshol d vol tage from i ts peak val ue (reverse short channel effect) to the l ong gate l ength val ue. Once
ATHENA Users Manual
2-46 SILVACO International
agai n, si mpl y tune ksurf.0 unti l the l ong channel threshol d vol tage rol l off rate matches that of the
measured data.
PMOS Tuning
PMOS devi ces are a speci al case si nce the boron doped Source/Drai n i mpl ants overal l tend to absorb
i ntersti ti al s rather than emi t them. The reverse short channel effect i n buri ed channel PMOS devi ces
can be caused by hi gh angl e i mpl ants. I f hi gh angl e i mpl ants are used, the reverse short channel
effect can be tuned usi ng the LAT.RATIO1 parameter i n the i mpl ant statement.
Related Issues on using the Device Simulator ATLAS for MOS Process Tuning
I t shoul d now be apparent that the cal i brati on of an Athena pr ocess fi l e i nvol ves the use of the devi ce
si mul ator Atl as to a si gni fi cant extent. Hence, i t i s i mperati ve that the use of the devi ce si mul ator does
not create addi ti onal errors renderi ng the process cal i brati on resul ts i nval i d.
I t i s fortui tous that the devi ce physi cs i nvol ved i n si mul ati ng the condi ti ons requi red to extract a
threshol d vol tage are not demandi ng. The drai n vol tage requi red to extract a threshol d vol tage i s onl y
50-100mV so effects such as i mpact i oni zati on, etc., can be negl ected. The fi el d perpendi cul ar to the
gate i s al so rel ati vel y l ow around the threshol d vol tage so fi el d effects i n thi s di recti on wi l l onl y be of
mi nor consequence. I t i s recommended, however, to at l east use the model s SRH and CVT duri ng the
cal cul ati on. Other parameters for si l i con are suffi ci entl y wel l known for si l i con to the poi nt that the
resul ts from the devi ce si mul ator are rel i abl e.
The fi rst i mportant poi nt i s to ensure that the user l et the devi ce si mul ator cal cul ate the work functi on
of the gate el ectrode fr om the si mul ated dopi ng pr ofi l e r ather than assi gni ng a val ue to i t. I n pr acti ce,
thi s means ensuri ng that the pol y si l i con gate i s not i tsel f defi ned as an el ectrode, but rather a l ayer of
metal , usual l y al umi num, i s deposi ted on top of the pol y si l i con gate and thi s metal l ayer i s the fi l m
that i s defi ned as the el ectrode. Do not assi gn a work functi on to thi s deposi ted metal el ectrode to
ensure that i t behaves as an ohmi c contact rather than a Schottky contact. The effecti ve work functi on
of the pol y gate wi l l then be correctl y cal cul ated from the dopi ng profi l e i n the pol ysi l i con.
An i mportant area for accuracy i n MOSFETs i s model i ng the i nversi on regi on under the gate, as i t i s
thi s charge that i s responsi bl e for current conducti on i n the devi ce. The i nversi on regi on charge under
the gate-onl y extends approxi matel y 30 Angstroms i nto the si l i con. The i nversi on regi on charge
densi ty under the gate fal l s off rapi dl y wi th depth i nto the si l i con. I t i s i mperati ve, therefore, that
there are several mesh poi nts i n the Y di recti on i n thi s i nversi on regi on i n order to model the drai n
current correctl y. Accordi ngl y, i t i s recommended that the mesh spaci ng under the gate be no more
than 10 Angstroms (1nm).
I t may be thought that a 10 Angstrom mesh under the gate woul d resul t i n a huge number of mesh
poi nts. However, there onl y need be approxi matel y three mesh poi nts wi thi n the i nversi on regi on i n
the Y di recti on. The gri d spaci ng can i ncrease rapi dl y i n spaci ng away from the oxi de-si l i con
i nter face. Fi gur e 2-36 shows the effect of changi ng the mesh spaci ng at the i nter face on the si mul ated
drai n current. I t can be seen from thi s fi gure that too coarse a mesh al ways resul ts i n too hi gh a
current bei ng si mul ated.
Tutorial
SILVACO International 2-47
Figure 2-36: The effect of changing the mesh spacing at the interface on the simulated drain current.
Fi nal l y, i f contact resi stance i s a probl em, thi s shoul d be i ncl uded i n the CONTACT statement. The
r esi stance added to the contact statement shoul d be the measured resi stance per contact di vi ded by
the number of contacts on each i ndi vi dual el ectrode. Obvi ousl y, for D.C. measurements, the resi stance
on the gate contact wi l l have no effect on the resul ts, si nce no current fl ows i n thi s di recti on.
Checking the Predictive Powers of Tuned Process Parameters
I f the process si mul ati on has been correctl y tuned, the process and devi ce si mul ators shoul d have
predi cti ve powers. I n order to check the val i di ty of the tuni ng process, a new set of el ectri cal data
shoul d be used that was NOT used duri ng the tuni ng process. For exampl e, a good al ternati ve set of
data i s to check the threshol d vol tage versus gate l ength for a non-zero vol tage appl i ed to the MOSFET
body contact.
Conclusion
I n concl usi on, usi ng just one set of easi l y obtai ned measur ed el ectri cal data, namel y a pl ot of thr eshol d
vol tage versus gate l ength, most of the tuni ng parameters requi red for accurate process si mul ati on can
be obtai ned. The other most i mpor tant pi ece of data r equi red i s an accur ate measur ement of the gate
oxi de thi ckness, whi ch i s routi nel y measured i n any i nstance.
The user has been gi ven speci fi c advi ce as to whi ch process and devi ce model s to use for each process
i n order to get the best resul ts out of the si mul ati on software. I n parti cul ar, the correct use of model s
for the i mpl antati on and di ffusi on processes i s stressed, as thi s has a dramati c effect on MOSFET
characteri sti cs, especi al l y as anneal ti mes and devi ce di mensi ons decrease.
Calibrating ATHENA for a Typical Bipolar Process Flow
As wi th MOS cal i brati on text, the reader i s presumed to be fami l i ar wi th the precedi ng tutori al on the
mechani cs of maki ng an i nput fi l e and usi ng the correct methods and model s. I n parti cul ar, the secti on
enti tl ed Process Steps Where User Model Selection is Critical for Accurate Results shoul d
ATHENA Users Manual
2-48 SILVACO International
be total l y understood by the user. The i ncorrect use of di ffusi on model s defi ned i n the method
statement, for exampl e, woul d i nval i date the remai nder of the fol l owi ng secti on.
Cal i brati ng a bi pol ar process fl ow entai l s matchi ng the two parameters, base current and col l ector
current versus base emi tter vol tage, to measured resul ts throughout the ful l operati ng range of the
devi ce. By i mpl i cati on, the current gai n of the devi ce (I c/I b) wi l l al so be matched. Al l of the fol l owi ng
paragraphs refer to the standard pl ot of col l ector and base currents measured agai nst the base-emi tter
vol tage, Vbe, unl ess i t i s speci fi cal l y stated otherwi se. Thi s standard I V graph i s usual l y referred to as
the Gummel Pl ot.
Another way of pl otti ng the same i nformati on i n a di fferent format whi ch can prove useful i s a pl ot of
current gai n, hfe, versus the l og of the col l ector current. Thi s graph, however, i s a deri vati on of the
same i nformati on whi ch makes i t l ess cl ear as to whi ch current i s i ncreasi ng or decreasi ng for each
change and i t i s therefore, a l ess useful graph when i t comes to understandi ng exactl y what i s
happeni ng to the col l ector and base currents.
The ful l operati ng range of a bi pol ar juncti on transi stor (BJT) consi sts of three general regi ons defi ned
by the current densi ty i njected i nto the base. These three operati ng regi ons are usual l y descri bed as
l ow, medi um and hi gh current i njecti on regi mes. The medi um i njecti on regi on i s the most i mportant
part of the curve to model correctl y, as thi s represents the typi cal operati ng condi ti on of the BJT. Each
of the three operati ng regi ons i s domi nated by a di fferent physi cal phenomenon. Successful model i ng
of a BJT therefore, i nvol ves matchi ng both the base and col l ector cur r ents i n each of the thr ee gener al
operati ng regi ons, maki ng a total of si x areas for cal i brati on. The deri ved parameter, hfe, i s al so a good
parameter to moni tor, si nce thi s i s sensi ti ve to errors i n the rati o of col l ector to base current.
The fol l owi ng text suggests an approach and descri bes whi ch of the si x regi ons are effected by each
change. The general techni que i s to cal i brate the parameters that have the greatest effect on devi ce
performance i n al l regi ons fi rst and then to move on to more subtl e phenomenon that effect certai n
parts of the base and/or col l ector currents. I n general , matchi ng the col l ector current for al l i njecti on
regi ons i s l ess probl emati c than matchi ng the base current at the extremes of the i njecti on regi ons and
consequentl y, there are more secti ons on tai l ori ng these parts of the curve. The text i s di vi ded up i nto
the fol l owi ng secti ons:
1. Tuni ng Base and Col l ector Currents Al l Regi ons
2. Tuni ng the Base Current Al l Regi ons
3. Tuni ng the Col l ector Current Al l Regi ons
4. The Base Current Profi l e Medi um I njecti on
5. The Base Current Profi l e Low I njecti on
6. Concl usi ons
I f the above order i s fol l owed, there shoul d be a reasonabl e correl ati on between measured and
si mul ated data. However, most of the tuni ng parameters have some degree of i nter-dependency, the
degree of whi ch i s al so devi ce desi gn speci fi c, so some degree of i terati on of the tuni ng parameters i s to
be expected.
When tuni ng bi pol ar transi stors, there i s a greater emphasi s i n tuni ng parameters whi ch are accessed
usi ng the devi ce si mul ator, ATLAS compared to opti mi zi ng MOSFETs where most tuni ng parameters are
process-rel ated. A powerful combi nati on i s the tuni ng of a Bi CMOS process where the MOSFET part of
the process fl ow can be used to tune the process parameters whi l e the Bi pol ar part of the fl ow i s used
to tune the devi ce si mul ator. Thi s techni que shoul d yi el d a hi gh degree of predi ctabi l i ty i n the resul ts.
Tuni ng the process si mul ator parameters i n Athena i s mai nl y requi red to model effects such as the
i mpl antati on i nduced defect enhanced di ffusi on, responsi bl e for the emi tter push effect whi ch i s
essenti al to obtai n the correct depth of the base-col l ector juncti on. The correct process model i ng of the
out di ffusi on of dopant from the pol y-emi tter i nto the mono-crystal l i ne substrate i s al so cri ti cal to
obtai ni ng wel l matched I V curves. Another cri ti cal process model i ng area i s the base i mpl ant, as i t i s
essenti al to match measured and model ed base resi stance for correct model i ng of the col l ector current.
These and other i ssues are di scussed i n the secti ons bel ow.
Tutorial
SILVACO International 2-49
Tuning Base and Collector Currents All Regions
The most i mportant parameter to model the general l evel of base and col l ector currents i s the devi ce
measurement temperature. The base and col l ector currents are strongl y i nfl uenced by temperature
changes, as smal l as a few degrees centi grade. A si gni fi cant effort shoul d be made to determi ne the
exact temperature of the devi ce duri ng measurements before cal i brati on i s attempted and thi s
temperature shoul d be i nput i nto the devi ce si mul ator, ATLAS, i n the MODELS statement usi ng the
TEMPERATURE=<> parameter. An i ncrease i n temperature wi l l cause an i ncrease i n base and col l ector
currents.
Tuning the Base Current All Regions
A cri ti cal regi on for pol y-emi tter bi pol ar devi ces i s the i nterface between the pol y emi tter and the
mono-crystal l i ne si l i con. Thi s regi on i s di ffi cul t to process si mul ate di rectl y as the i nterface between
the pol ysi l i con emi tter and si ngl e crystal l i ne si l i con usual l y consi sts of a thi n, uneven and possi bl y non
conti nuous fi l m of oxi de. Thi s i s si mul ated by cal i brati ng the overal l effect of thi s i nterface, not wi th a
process si mul ator, but wi th the devi ce si mul ator, ATLAS. The tuni ng parameter i s the surface
recombi nati on vel oci ty at thi s i nterface for el ectrons (VSURFN for PNP devi ces), or hol es (VSURFP for
NPN devi ces). Thi s wi l l onl y be effecti ve for thi n emi tters where at l east a fracti on of the hol es (for
NPN devi ces) can reach the emi tter before recombi nati on.
The surface recombi nati on vel oci ty parameter not onl y effects the base current but i t al so effects the
base current i n al l of the operati ng regi ons. I t i s, therefore, a powerful parameter to approxi matel y
match the base current and gai n throughout the ful l operati ng range. I n some cases, the base current
may be l ess effected i n the very hi gh and very l ow i njecti on regi ons by changes i n the surface
recombi nati on vel oci ty, addi ng some scope to fi ne tuni ng the profi l e of the base current versus base-
emi tter vol tage curve.
I t i s i mportant to real i ze that the pol yemi tter MUST be defi ned as an el ectrode to be abl e to defi ne the
i nterfaci al surface recombi nati on vel oci ty, VSURFN and VSURFP, usi ng the CONTACT statement. Thi s i s
i n contrast to the MOSFET cal i brati on text where i s strongl y advi sed not to defi ne the pol ygate as an
el ectrode. Be sure not to get these two confused. The parameter that acti vates the recombi nati on
vel oci ty i s SURF.REC whi ch i s al so i n the CONTACT statement. For exampl e, an NPN BJT statement
woul d be:
CONTACT NAME=emitter N.POLYSILICON SURF.REC VSURFP=1.5e5
A l ower val ue of recombi nati on vel oci ty, VSURFP wi l l reduce the base current and i ncrease the gai n,
hfe. The converse i s al so true.
ATHENA Users Manual
2-50 SILVACO International
Tuning the Collector Current All Regions
The parameter whi ch affects the col l ector current over the enti re range i s the i ntri nsi c base resi stance
as shown i n Fi gure 2-37 bel ow. The base resi stance i s pri mari l y determi ned by the dose of the base
i mpl ant(s). An i ncrease i n the base i mpl ant dose wi l l decrease the i ntri nsi c base resi stance and
decrease the col l ector current i n al l i njecti on regi ons. I n some cases, however, the col l ector current
may be l i ttl e affected i n the very hi gh i njecti on regi on gi vi ng scope for fi ne tuni ng the profi l e of
col l ector current versus base-emi tter vol tage.
Figure 2-37: Effect of base doping profile on low injection base current in BJT
I f the pi nched or i ntri nsi c base sheet resi stance i s a measured parameter, the si mpl est way to match
measured and si mul ated data i s to make sl i ght changes to the base i mpl ant dose such that the
si mul ated dose i s not outsi de the expected error i n actual i mpl anted dose i n conjuncti on wi th the error
i n percentage acti vati on.
I n some desi gns, where the base contact i s cl ose to the col l ector contact or the base contact i s the
substrate or i s general l y wi de, the col l ector current may al so i n i nfl uenced i n al l current i njecti on
regi ons by speci fyi ng a surface recombi nati on vel oci ty at the base contact. For a typi cal desi gn wi th a
buri ed n+ col l ector and surface contacts, the surface recombi nati on vel oci ty at the base contact may
have l i ttl e effect on the col l ector current
Tutorial
SILVACO International 2-51
The Base Current Profile Medium Injection
There are two major parameters i n ATLAS that have a si gni fi cant effect on the base current i n the
medi um i njecti on regi me: the work functi on of the pol y-emi tter and the band-gap narrowi ng effect.
These two effects are descri bed i n secti ons (a) and (b) bel ow.
(a). Pol y-emi tter work functi on
I f the pol y-emi tter i s descri bed as N.POLYSILICON i n the CONTACT statement for an NPN
devi ce as descri bed i n secti on (3) above, the work functi on of the pol y-emi tter i s set to 4.17V and
i s correct for saturati on doped n++ pol y-si l i con. I f, however, the pol y-emi tter i s not saturati on-
doped, the work functi on wi l l di ffer from thi s i deal and have a pronounced effect on the base
current and current gai n i n the medi um i njecti on regi me as shown i n Fi gure 2-38 bel ow. The
work functi on of the pol y-gate can vary from 4.17V for n++ pol y-si l i con to (4.17V + Eg) for p++
pol ysi l i con, dependi ng on the posi ti on of the Fermi -Energy. Changi ng the workfuncti on of the
pol y-emi tter by just 0.1V from 4.17V to 4.27V can often hal ve the current gai n i n the medi um
i njecti on regi me so i t i s very i mportant to assi gn the correct val ue. The contact statement bel ow
assi gns a workfuncti on of 4.27eV to the pol y-emi tter, whi l e keepi ng the other parameters the
same as before:
CONTACT NAME=emitter SURF.REC VSURFP=1.5e5 WORKFUN=4.27
The pol y-emi tter work functi on can be cal cul ated by measuri ng the posi ti on of the Fermi -
Energy at the pol y-si l i con/si l i con i nterface rel ati ve to the conducti on band and addi ng thi s val ue
to 4.17V. For exampl e, i f the Fermi -Energy i s measured as bei ng 0.1eV from the conducti on
band edge, the work functi on of the pol y-emi tter set i n the contact statement shoul d be set to
4.17 + 0.1 = 4.27V.
Figure 2-38: Effect of emitter contact workfunction on bipolar gain
ATHENA Users Manual
2-52 SILVACO International
(b). Bandgap Narrowi ng Effects
I f the BIPOLAR parameter i s sti pul ated i n the MODELS statement i n ATLAS, bandgap narrowi ng
i s i ncl uded automati cal l y. The i ncl usi on of bandgap narrowi ng i n the MODELS statement i s
strongl y advi sed si nce thi s phenomenon has a si gni fi cant effect on the current gai n of the devi ce.
However, i n order to val i date the defaul t Kl aassen bandgap narrowi ng model , the Kl aassen
mobi l i ty model shoul d al so be used. Thi s i s acti vated by usi ng the addi ti onal keyword KLA to the
model s statement. For exampl e:
MODELS BIPOLAR KLA
correctl y acti vates the Kl aassen bandgap narrowi ng model . The parameters i n the Kl aassen
bandgap narrowi ng model are user defi nabl e i n the MATERIAL statement and are descri bed i n the
Physi cs secti on of the ATLAS manual . There are three user defi nabl e parameters for the Kl aassen
band gap narrowi ng model . The BGN.E parameter has a l i near dependency on dopi ng concentrati on
and has the defaul t val ue of 6.92e-3 vol ts. BGN.C has a square root dependency wi th dopi ng
concentrati on and has the defaul t val ue of 0.5. BGN.N i s the val ue of dopi ng where band gap
narrowi ng effecti vel y starts to take effect and has a defaul t val ue of 1.3e17/cm3. The equi val ent
defaul t setti ng consequentl y shoul d be wri tten as:
MATERIAL BGN.E=6.92e-3 BGN.C=0.5 BGN.N=1.3e17
These parameters can be al tered to modi fy the current gai n of the devi ce i n the medi um i njecti on
regi me. For exampl e, reduci ng the l i near parameter from 6.93e-3 to 6.5e-3 i s suffi ci ent to cause a
si gni fi cant i ncrease i n current gai n i n the medi um i njecti on regi on. Al though the bandgap narrowi ng
parameters affect both col l ector and base currents, the base current i s affected to a greater degree. The
most sensi ti ve pl ot to see the effect of smal l changes to bandgap narrowi ng i s a pl ot of current gai n
versus l og of col l ector current. A reducti on i n bandgap narrowi ng wi l l resul t i n an i ncrease i n current
gai n i n the medi um current i njecti on regi on.
The Base Current Profile Low Injection
Thi s i s one case where there i s an i nterdependency on one parameter, si nce the i ntri nsi c base
resi stance not onl y effects the col l ector current i n al l regi ons (see above) but i t al so has an effect on the
base current i n the l ow i njecti on regi on as shown i n Fi gure 2-37 on page 51.
For a smal l range of i mpl ant doses around the opti mum, the base dopi ng concentrati on wi l l al so effect
the posi ti on of the knee and/or the rate of fal l off of the base current i n the l ow i njecti on operati ng
regi on of the devi ce. Thi s i s most noti ceabl e as a l oss of current gai n i n the l ow i njecti on regi on for the
al ternati ve standard pl ot of current gai n versus col l ector current. An i ncrease i n the base i mpl ant
reduces the i ntri nsi c resi stance and typi cal l y i ncreases the base current i n the l ow i njecti on regi on
resul ti ng i n a decrease i n current gai n for very l ow currents.
A si mi l ar effect to i ncreasi ng the base dopi ng i s observed i f the base dopi ng i s kept constant but the
overal l dopi ng i s reduced i n the mono-crystal l i ne si l i con regi on of the emi tter. The dopi ng profi l e i n the
mono-crystal l i ne regi on of the emi tter can be tuned usi ng three parameters i n the process si mul ator,
ATHENA.
The mai n physi cal effect of these ATHENA parameters i s to change the dopi ng profi l e of the emi tter i n
the mono-crystal l i ne si l i con. These three parameters are:
1. The total i ntersti ti al concentrati on i n the pol y-emi tter.
2. The dopant segregati on effects i n the pol y-emi tter.
3. The dopant vel oci ty across the si l i con/pol ysi l i con boundary.
The fi rst parameter wi l l effect how qui ckl y the dopant i n an i mpl anted pol y-emi tter reaches the
si l i con/pol ysi l i con boundary duri ng the RTA di ffusi on and therefore effects the total di ffusi on of dopant
i nto the si ngl e crystal l i ne part of the emi tter and hence the base wi dth dopi ng profi l e etc.
Tutorial
SILVACO International 2-53
The second parameter effects dopant pi l e-up at the pol y-si l i con/si l i con boundary and hence the source
dopi ng concentrati on at the mono-crystal l i ne i nterface. Once agai n, thi s wi l l effect the overal l dopi ng
profi l e of the emi tter i n the mono-crystal l i ne regi on of the devi ce.
The thi rd parameter effects the vel oci ty of transport of dopant across the pol ysi l i con/si l i con boundary
wi th si mi l ar effects to the parameters above.
These parameters can be used to tai l or the emi tter dopi ng profi l e i n the mono-crystal l i ne si l i con regi on
to match avai l abl e measured data, usual l y i n the form of SI MS or capaci tance i nformati on. An
accurate profi l e of dopant i n the pol y-si l i con part of the emi tter i s not too i mportant i f measured data
concerni ng i nterfaci al dopant concentrati ons i s avai l abl e. Thi s i s because the work functi on of the
pol y-emi tter i s goi ng to be set i n ATLAS by defi ni ng the pol y-emi tter as an el ectrode. Al l that i s
requi red to cal cul ate the correct work functi on at the pol y-si l i con emi tter, i s the i nterfaci al dopi ng
concentrati on at the pol y-si l i con/si l i con i nterface on the pol y si de of the juncti on. Setti ng the correct
work functi on for the pol y-emi tter i s descri bed i n secti on (4-a) above.
Conclusions:
By usi ng a l ogi cal combi nati on of tuni ng parameters avai l abl e to the user i n both the process
si mul ator (ATHENA) and the devi ce si mul ator (ATLAS) and wi th a l i ttl e experi ence as to the degree of
i nfl uence of each parameter, a good match for bi pol ar transi stors shoul d be obtai nabl e for most devi ce
desi gns.
Si nce i t i s usual l y l ess probl emati c to match the col l ector current for al l l evel s of appl i ed base-emi tter
vol tage compared to the matchi ng of base current, the user wi l l probabl y fi nd that more ti me i s spent
tyi ng to match the base current for very smal l and very l arge val ues of appl i ed base-emi tter vol tage.
The user shoul d ensure, however, that a good amount of ti me i s pl aced on maki ng sure that the correct
process model s are used i n the process fl ow to reduce the overal l uncertai nty as to whi ch parameters
requi re cal i brati on.
Using Advanced Features of ATHENA
Structure Manipulation Tools
Using the Structure FLIP Capability
There i s another structure mani pul ati on capabi l i ty, whi ch al l ows you to fl i p the structure about the x
axi s. The statement:
STRUCT FLIP.Y
causes the structure to be verti cal l y fl i pped.
Thi s operati on may be useful i f some process steps (etchi ng, deposi ti on, or i mpl ant) take pl ace from the
backsi de of the wafer. Usi ng thi s statement, i t i s possi bl e to fl i p a structure, perform these steps and
then fl i p i t back.
Using the Stretch Capability
I n some cases, a devi ce characteri zati on as a functi on of l ength i s of i nterest. For exampl e, the drai n
current characteri sti cs depend strongl y on the gate l ength. The stretch capabi l i ty makes i t possi bl e to
generate a number of MOSFET structures wi th di fferent gate l engths from one ATHENA si mul ati on.
The structure obtai ned so far i n thi s tutori al (Fi gure 2-22) has a gate l ength of 0.6 . To i ncrease the
gate l ength to 1.5 use the STRETCH command as fol l ows. Sel ect Stretch under Structure i n the
Commands menu. The ATHENA Stretch wi ndow wi l l appear as shown i n Fi gure 2-37.

ATHENA Users Manual


2-54 SILVACO International
Sel ect Pol ysi l i con from the Stretch Target Material menu, set Stretch Length to 1.5 , choose 10
as the number of Gri d Di vi si ons, and press the Wri te button. The fol l owi ng command wi l l appear i n
the i nput fi l e:
# STRETCH TO 1.5 MICRONS
STRETCH LENGTH=1.5 POLY SNAP DIVISION=10
As a resul t, the pol ygate wi l l be stretched from i ts i ni ti al l ength of 0.6 (l eft pl ot i n Fi gure 2-38) to
1.5 (ri ght pl ot i n Fi gure 2-38).
Ten (10) addi ti onal verti cal gri d l i nes wi l l be i nserted i n the center of the gate area. The LENGTH
parameter of the STRETCH command coul d serve as a spl i t parameter for the Virtual Wafer Fab spl i t
experi mentati on capabi l i ty. The stretch functi on can save a great deal of CPU ti me.
Another appl i cati on of the stretch capabi l i ty i s i n the si mul ati on of l arge power devi ce structures,
where acti ve areas are uni form everywhere except i n cl ose proxi mi ty to the mask edges, and are
separated from each other by l ong nonacti ve or i sol ati on regi ons. I t i s possi bl e to si mul ate a shrunken
structure and then stretch the acti ve and/or nonacti ve areas to the actual wi dths. Thi s wi l l al so save a
tremendous amount of si mul ati on ti me.
Using ATHENA In 1D Mode
The speed of a si mul ati on can be greatl y i ncreased by runni ng ATHENA i n 1D mode. ATHENA
automati cal l y runs i n 1D mode by defaul t i ni ti al l y. The si mul ati on wi l l automati cal l y be converted to
2D mode when a two di mensi onal si mul ati on process such as ETCH or EXPOSE i s performed. Si mpl e
operati ons such as conformal deposi ts, oxi dati on, and di ffusi on run much faster i n 1D mode.

Figure 2-39: ATHENA Stretch Menu

Tutorial
SILVACO International 2-55
Figure 2-40: Using Stretch Function for a MOSFET Structure
ATHENA Users Manual
2-56 SILVACO International
The deposi ti on and etch sequences shown i n Fi gure 2-39 show a sequence of 1D deposi ti ons wi th an
automati c conversi on to 2D at the fi rst etch.
Figure 2-41: Automatic 1D to 2D Conversion
Another aspect of 1D mode i s demonstrated i n Fi gure 2-40. I n thi s case the INITIALIZE command i s
speci fi ed wi th the parameters ONE.D and X.LOC=<n> (see INITIALIZE i n Chapter Seven of thi s
manual ). ONE.D speci fi es that a one di mensi onal cal cul ati on i s to be done at the l ocati on X.LOC.
I n the case of Fi gure 2-38 1D profi l es are generated at di fferent X l ocati ons of a compl i cated Bi CMOS
structure. Thi s al l ows qui ck check of the overal l process usi ng the 1D mode.

Deposition and Wet/Dry Etching using the Physical Models in ATHENA/ELITE
Overview
Thi s secti on descri bes the deposi ti on and etch capabi l i ti es of the ELITE modul e of ATHENA usi ng
ATHENA/ELITE defaul t machi nes
To use ATHENA/ELITEs physi cal l y based deposi ti on and etch model s, at l east one of the fol l owi ng
steps must be taken:
Use one of the predefi ned machi nes that i s descri bed i n the ATHENA/ELITE chapter
Wi thi n your i nput fi l e, modi fy the speci fi cati on of one of these predefi ned machi nes to fi t your
process
Defi ne a custom machi ne
I nvoke a prepared fi l e that defi nes machi nes of i nterest
For exampl e, one of the predefi ned machi nes i s named PE4450. Thi s machi ne deposi ts al umi num at a
rate of 1 mi cron/mi nute from a hemi spheri c source.
Initial
silicon
Epitaxy or
other
blanket
processing
Gate
formation
or other
2D step
Process
completion
Fast 1D
calculation
Fast 1D
calculation.
Automatically
transformed to
2D calculation.
2D calculation
Tutorial
SILVACO International 2-57
Figure 2-42: Use of One Dimensional Mode
To si mul ate the effects of two mi nutes of operati on of thi s machi ne, i nvoke the ATHENA Deposi t menu
and sel ect the Machine checkbox. The secti on PARAMETERS TO RUN THE DEFINED MACHINE
wi l l appear i n the menu (Fi gure 2-41).
The Machi ne name PE4450, the ti me uni ts (mi nutes), and the Ti me of run (2.0) must be speci fi ed. I t i s
recommended that the Total number of gri d l ayers" i n the deposi ted materi al regi on i s speci fi ed. I f
thi s number i s set to 10, the fol l owi ng ATHENA/ELI TE deposi t statement wi l l be i nserted:
# USING DEFAULT DEPOSIT MACHINE PE4450
DEPOSIT MACHINE=PE4450 TIME=2.0 MINUTES DIVISIONS=10
I mpuri ty concentrati ons i n the deposi ted regi on can be speci fi ed i n the I mpuri ty concentrati on secti on
of the ATHENA Deposit menu by cl i cki ng on the Impurities box.
Modifying ATHENA/ELITE Default Machines
The fi l e athenamod defi nes the machi ne PE4450 as fol l ows (Noti ce that a ( \ ) i s used to concatenate or
conti nue a l ong i nput l i ne)):
RATE.DEPO MACHINE=PE4450 ALUMINUM \
U.M SIGMA.DEP=.35 HEMISPHE DEP.RATE=1.0 \
ANGLE1=72 ANGLE2=-70
The machi ne i s model ed wi th a hemi spheri cal deposi ti on model . The deposi ti on rate i s 1 mi cron/
mi nute. The l ogi cal parameter, U.M speci fi es what uni ts are used, i n thi s case mi crons per mi nute.
Fi nal l y, the angl es of i nci dence of the hemi spheri cal deposi ti on wi th respect to the surface normal are
Build a complete
2D process
description
(i.e. BiCMOS).
P - Substrate
P - Substrate
N
+
N
+
N
+
N
+
N
+
N
+
P
+
P
+
P
+
P
+
P
+
P
+
P
P
N
N
Quickly calculate
1D profiles at key
locations using
the 1D mode.
Modify process
description to
produce desired
characteristics
at key locations.
Simulate the
complete 2D
process only
once!
ATHENA Users Manual
2-58 SILVACO International
speci fi ed wi th the ANGLE1 and ANGLE2 parameters. These characteri sti cs of the machi ne PE4450
may be modi fi ed si mpl y by copyi ng the speci fi cati on to the i nput fi l e and usi ng an ASCI I edi tor. For
exampl e:
RATE.DEPO MACHINE=PE4450 ALUMINUM \
U.M SIGMA.DEP=.35 HEMISPHE DEP.RATE=.5 \
ANGLE1=72 ANGLE2=-70
redefi nes machi ne PE4450 to have a deposi ti on rate of 0.5 mi cron/mi nute.
Defining ELITE Deposition Machines
You can defi ne your own deposi ti on machi ne usi ng the ATHENA Rate Deposit menu (Fi gure 2-43).
Thi s menu i s i nvoked by sel ecti ng Rate Deposit... under Deposit... i n the Process submenu of the
Commands menu. Machi ne defi ni ti on requi res the speci fi cati on of fi ve general parameters and one or
several model -speci fi c parameters. The general parameters that must be speci fi ed are:
Machi ne name (e.g. TEST01) Thi s parameter uni quel y i denti fi es the machi ne
Materi al name (e.g. al umi num) A user defi ned materi al can al so be speci fi ed
Machi ne (model ) type (e.g. uni di recti onal ) One of si x model s can be sel ected by pressi ng the
appropri ate button
Deposi ti on (rate uni ts speci fi er e.g., A/mi n) One of seven uni t speci fi er s can be sel ected from
the menu
Deposi ti on rate (e.g. 1000) Thi s parameter i s speci fi ed i n the user-sel ected uni ts
The SIGMA.DEP parameter i s opti onal and defaul ts to 0.2.
Figure 2-43: ATHENA Deposit Menu with Machine Section
Tutorial
SILVACO International 2-59
An al ternati ve to a compl ete refl ow cal cul ati on i s provi ded by parameters SMOOTH.WIN and
SMOOTH.STEP that provi de a geometri c averagi ng over a wi ndow of wi dth SMOOTH.WIN mi crons that i s
performed SMOOTH.STEP ti mes. These parameters perform a post-deposi ti on smoothi ng, whi ch
effecti vel y emul ates a refl ow process. The wi der smoothi ng wi ndow produces a more i ntensi ve surface
redi stri buti on of the deposi t materi al . The defaul t number of smoothi ng operati ons (1) i s adequate for
most appl i cati ons.
One or several model -speci fi c parameters are attri buted to each model . For exampl e, onl y the ANGLE1
parameter i s requi red for the uni di recti onal model . Tabl e 2-4 i ndi cates whi ch parameters are requi red
for each model . The Parameters for Speci fi c Machi ne Type secti on of the Rate Deposit menu i ncl udes
onl y those parameters whi ch are rel evant to the sel ected model . Each parameter has a defaul t val ue
whi ch wi l l be i nserted i n the i nput fi l e. I f some of the parameters are undefi ned, the si mul ati on may be
i nval i d or may produce unpredi ctabl e resul ts.
I f the ATHENA Rate Deposit menu i s set as shown i n Fi gure 2-43, the fol l owi ng RATE.DEPO
statement wi l l be i nserted i nto the i nput fi l e.
RATE.DEPO MACHINE=TEST01 ALUMINUM A.S SIGMA.DEP=0.2 \
SMOOTH.WIN=0.1 SMOOTH.STEP=1 UNIDIREC \
DEP.RATE=1000 ANGLE1=0.00
Figure 2-44: ATHENA Rate Deposit Menu
ATHENA Users Manual
2-60 SILVACO International
Defining ELITE Etch Machines
An ATHENA/ELI TE etch machi ne can be defi ned usi ng the ATHENA Rate Etch menu (Fi gure 2-44).
Thi s menu i s i nvoked by sel ecti ng Rate Etch... under Etch... i n the Process submenu of the
Command menu. The machi ne defi ni ti on requi res the speci fi cati on of four general parameters and one
or several model -speci fi c parameters.
The general parameters that must be speci fi ed are:
Machine name (e.g. TEST02) Thi s parameter uni quel y i denti fi es the machi ne.
Material name (e.g. si l i con) A user defi ned materi al can al so be speci fi ed.
Machine type (e.g. Wet Etch) One of three model s can be sel ected by pressi ng the
appropri ate button.
Etch rate uni ts speci fi er (e.g. A/min) One of seven uni t speci fi ers can be sel ected from the
menu.
One or several model -speci fi c parameters are attri buted to each model . For exampl e, onl y the
ISOTROPIC rate parameter i s requi red for the Wet Etch model . Tabl e 2-5 i ndi cates whi ch parameters
are requi red for each of the three model s. The Parameters for Specific Machine Type secti on of the
Rate Etch menu i ncl udes onl y those parameters whi ch are rel evant to the sel ected model .
I f the ATHENA Rate Etch menu i s set as shown i n Fi gure 2-43, the fol l owi ng RATE.ETCH statement
wi l l be i nserted i nto the i nput fi l e:
# TEST02 ETCHING MACHINE
RATE.ETCH MACHINE=TEST02 SILICON U.M WET.ETCH ISOTROPIC=0.03
Table 2-4. Deposition Model Required Parameters
Parameters
Models
CVD UNI DUAL HEMI CONIC PLANET MONTE1 MONTE2 USER.DATA.1 USER.DATA.2
dep.rate yes yes yes yes yes yes yes yes optional yes
step.cov yes no no no no no no no no no
angle1 no yes yes yes yes yes/no* yes yes no no
angle2 no no yes yes no yes no no no no
c.axis no no no no yes yes no no no no
p.axis no no no no yes yes no no no no
dist.pl no no no no no yes/no * no no no no
sigma.dep no optional optional optional optional optional optional optional no yes
smooth.win no optional optional optional optional optional optional optional optional optional
smooth.step no optional optional optional optional optional optional optional optional optional
* To use the planetary model, either the ANGLE1 or the DIST.PL parameter must be specified. These parameters are mutually exclusive.
Tutorial
SILVACO International 2-61
Figure 2-45: ATHENA Rate Etch menu
I f several materi al s are present i n the structure to be etched, etch rates for each materi al type shoul d
be speci fi ed i n separate RATE.ETCH statements.
Using A Specified Etch Machine
When etch rates for a speci fi c machi ne are speci fi ed usi ng RATE.ETCH statements, i t i s possi bl e to
si mul ate the effects of the oper ati on of thi s machi ne. To si mul ate the etch pr ocess usi ng a speci fi ed
etch machi ne, i nvoke the ATHENA Etch menu and sel ect the Etching Machine checkbox. The
Parameters to Run the Defi ned Machi ne secti on wi l l appear i n the menu (Fi gure 2-44).
The machi ne name (TEST02), the ti me uni ts (e.g. mi nutes) and the Ti me of run (e.g. 1.0) must be
speci fi ed by the user. There are al so two tuni ng parameters whi ch control ti me steppi ng duri ng the
etch process. To i mprove the smoothness of the etch surface, the maxi mum ti me step parameter
DT.MAX shoul d be decreased from i ts defaul t val ue of 10 percent of the speci fi ed Ti me of Run val ue.
I f the ATHENA Etch menu i s set as shown i n Fi gure 2-44, the fol l owi ng ETCH statement wi l l appear i n
the i nput fi l e when the WRITE button i s pressed:
# 1 MINUTE ETCHING USING TEST02 ETCH MACHINE
ETCH MACHINE=TEST02 TIME=1.0 MINUTES DT.MAX=0.25
A new parameter DX.MULT has been added to the etch statement to al l ow enhanced di screti zati on
duri ng i ndi vi dual ELITE Etch steps. I ncr easi ng the val ue of DX.MULT from i ts defaul t val ue of 1.0
wi l l resul t i n l arger surface segments and a reduced di screti zati on. Decreasi ng DX.MULT wi l l resul t i n
better di screti zati on i n both space and ti me duri ng the Etch cal cul ati on. Reduci ng the val ue of thi s
Table 2-5. Allowable Etch Model Parameters
Parameters wet.etch rie
isotropic yes yes
directional no yes
divergence no yes
chemical no yes
ATHENA Users Manual
2-62 SILVACO International
parameter al l ows real i sti c model i ng of wet etches that previ ousl y were poorl y resol ved. Use of thi s
parameter (DX.MULT) i s preferabl e to the use of DT.MAX.
MaskViews Interface
Thi s secti on descri bes an al ternati ve to the manual speci fi cati on of gri d and etch steps descri bed i n the
Usi ng the ATHENA secti on of thi s manual .
Defining Initial Rectangular Grid Using MaskViews
An i ni ti al rectangul ar gri d can al so be defi ned by usi ng Si l vacos I C l ayout edi tor MASKVIEWS. MASKVIEWS
i s desi gned speci fi cal l y for i nterfaci ng I C l ayout i nformati on wi th process and devi ce si mul ators.
Detai l ed i nformati on about MASKVIEWS and i ts i nterface wi th DECKBUILD can be found i n The VWF
INTERACTIVE TOOLS manual . Thi s secti on gi ves several practi cal suggesti ons on how to prepare a good
i ni ti al gri d for ATHENA.
Wi th MASKVIEWS, i t i s possi bl e to omi t ATHENA mesh defi ni ti on commands because the gri ddi ng
i nformati on can be i ncl uded i n the l ayout fi l e. When usi ng MASKVIEWS to provi de l i ne i nformati on,
DECKBUILD wi l l comment out exi sti ng l i ne commands when i t l oads the MASKVIEWS i nformati on.
Load the exampl e mvanex01.i n from the MASKVIEWS-ATHENA Secti on of DECKBUILD Exampl es Loader.
I nvoke MASKVIEWS Layout Fi l es popup (Fi gure 2-45) by sel ecti ng the MaskVi ews-Starti ng MaskVi ews
i tem from the Tools menu of DECKBUILD.
Choose the mvanex01.lay l ayout fi l e from the scrol l i ng l i st and press the Start MaskVi ews button.
After a few moments the MASKVIEWS wi ndow wi l l appear as shown i n Fi gure 2-46.
Thi s secti on wi l l descri be how to modi fy a gri d speci fi cati on for ATHENA. Fi rst, set the gri d i n the Y
di recti on by sel ecti ng Y... under Gri d from the DEFINE menu. The Verti cal grid control popup wi l l
appear as shown i n Fi gure 2-63.
I t i s possi bl e to add, modi fy and del ete the l i nes for ATHENA i ni ti al rectangul ar gri d exactl y the same
way as usi ng the ATHENA Mesh Define menu from DECKBUILD as previ ousl y descri bed. Note that the
Distance parameter i s equi val ent to the Locati on parameter i n ATHENA, and the Add button i s
equi val ent to the I nsert button of the ATHENA Mesh Define menu. Al so, press Return after enteri ng
the Di stance or the Spaci ng val ues. I f the Di stances and Spaci ng are set as shown i n Fi gure 2-47, the
gri d wi l l be the same i n the Y-di recti on as the gri d produced usi ng the ATHENA Mesh Define menu.
Figure 2-46: ATHENA Parameters to Run the Define Machine Etch Menu Section
Tutorial
SILVACO International 2-63
MaskVi ews al so control s the i ni ti al ATHENA gri d i n the X-di recti on. MASKVIEWS gener ates ATHENA l i ne
statements for each mask edge on val i d l ayers crossed by a cutl i ne. The gri d spaci ng and the val i dati on
of l ayers can be set by the MASKVIEWS: ATHENA Grid Control menu (Fi gure 2-47). Thi s menu i s i nvoked
by sel ecti ng X... under Grid from the Define menu.
Figure 2-47: MaskViews Layout Files Popup
Figure 2-48: MaskViews Window
ATHENA Users Manual
2-64 SILVACO International
Fi gure 2-48 shows the l i ne l ocati ons and spaci ngs preset for the POLY l ayer. Thi s set of parameters
means that for each POLY edge crossed by a cutl i ne, three l i ne statements are to be i nserted i nto the
ATHENA i nput fi l e. The fi rst l i ne wi l l be l ocated exactl y at the edge and the spaci ng wi l l be 0.05m. The
second l i ne wi l l be i nsi de the POLY l ayer 0.3m from the edge, and spaci ng at thi s l i ne i s 0.15m. The
thi rd l i ne wi l l be outsi de the POLY l ayer 0.2m from the edge, and i ts spaci ng wi l l be set to 0.1 m. The
current edi t l ayer can be chosen by sel ecti ng the Name button for the l ayer i n the key l i st of MASKVIEWS
(Fi gure 2-53). I f AAD i s sel ected, then onl y one l i ne i s set for an edge of the AAD l ayer because offset
di stances are equal to 0.0. I t i s recommended that onl y one l i ne be set for uni mportant l ayers. I t i s al so
i mportant to val i date onl y those l ayers that are goi ng to be used i n ATHENA MASK statements.
When gri d parameters are set for al l val i d l ayers, a cutl i ne can be chosen. Cl i ck on the Write fi l e
button, and the prompt Sel ect fi rst end of ATHENA cross secti on l i ne wi l l appear i n the footer of the
MaskVi ews wi ndow. Press the SELECT mouse button at the desi red poi nt i n the l ayout (e.g. wi thi n
the VIA2 regi on i n the upper l eft corner of the l ayout). You wi l l be prompted to sel ect another end of
the cross secti on l i ne. Then drag the poi nter and press the SELECT mouse button on the other end of
the sel ected cutl i ne. The ATHENA cutline popup wi l l appear as shown i n Fi gure 2-49. Thi s shows the
Figure 2-49: Vertical Grid Control Popup
Figure 2-50: MaskViews: ATHENA Grid Control Menu
Tutorial
SILVACO International 2-65
exact l ocati on of the cutl i ne. Now i t i s possi bl e to previ ew the mask and gri d i nformati on generated by
MASKVIEWS. Press the Previ ew button and the Di spl ay Masks wi ndow wi l l appear as shown i n Fi gure 2-
50.
The addi ti onal i nformati on on the number of l i nes, poi nts, and tri angl es i s al so di spl ayed i n thi s
wi ndow. I f the gri d does not appear as shown i n Fi gure 2-50 the Options Grid box must be sel ected
as wel l as the Display Masks box on the Properties... menu (Fi gure 2-51).
To sel ect another cutl i ne l ocati on, press the Done button i n the ATHENA cutline popup, and repeat
the cutl i ne sel ecti on process for the desi red cutl i nes one at a ti me. I f the gri d i s not sati sfactory, the X...
and/or Y... setti ngs can be modi fi ed and then the modi fi ed gri d can be previ ewed wi thout sel ecti ng
another cutl i ne. For exampl e, i f the Spacing at edge (Fi gure 2-64) i s decreased from 0.05 to 0.025, a
fi ner gri d wi l l be obtai ned at both POLY gate edges (compare Fi gure 2-68 wi th Fi gure 2-66).
Figure 2-51: ATHENA Cutline Popup
Figure 2-52: Display Masks Window
ATHENA Users Manual
2-66 SILVACO International
When the l ocati on of a cutl i ne and the correspondi ng gri d are sati sfactory, the cutl i ne i nformati on can
be stored/used ei ther as a Cut file or as a cutl i ne object.
The Cut fi l e can be saved by pressi ng the Wri te button (Fi gure 2-49). Thi s fi l e can be then l oaded i nto
DECKBUILD for use i n ATHENA by sel ecti ng cutfi l es... under MASKVIEWS fr om the Tool s menu of DECKBUILD.
The MASKVIEWS Cut Files popup wi l l appear. Sel ect the desi red *.sec* fi l e and press Load (Fi gure 2-
53).
Thi s popup i s i nvoked by sel ecti ng Cut files... under MASKVIEWS from the Tool s menu of DECKBUI LD.
Al ternati vel y you can sel ect Drag & Drop from the Category menu (Fi gure 2-53). I t i s now possi bl e to
sel ect any previ ew as shown i n Fi gure 2-50. Press the SELECT button anywhere wi thi n the Di spl ay
Masks wi ndow, and the cutl i ne i con wi l l appear. Wi thout rel easi ng the SELECT mouse button, drag the
i con i nto the Cut Fi l es wi ndow and drop i t by rel easi ng the SELECT mouse button (Fi gure 2-54).
Several cutl i nes wi th di fferent l ocati ons and gri ds can be Dragged and Dropped i n thi s fashi on, and
then any of them can be l oaded i nto DECKBUI LD for use by ATHENA.
When ATHENA i s l oaded wi th a cutl i ne, DECKBUILD wi l l comment out al l exi sti ng l i ne statements and
wi l l automati cal l y run l i ne statements generated by MASKVIEWS. For exampl e, the fol l owi ng output wi l l
appear i n the tty subwi ndow i f default.sec.1 generated for the CMOS I nverter, i s l oaded:
ATHENA> LINE X LOC=0.000 SPAC=0.100 TAG=LEFT
ATHENA> LINE X LOC=0.300 SPAC=0.100
ATHENA> LINE X LOC=0.500 SPAC=0.100
ATHENA> LINE X LOC=0.600 SPAC=0.100
ATHENA> LINE X LOC=0.800 SPAC=0.050
ATHENA> LINE X LOC=1.100 SPAC=0.150
ATHENA> LINE X LOC=1.500 SPAC=0.150
ATHENA> LINE X LOC=1.800 SPAC=0.050
ATHENA> LINE X LOC=2.000 SPAC=0.100
ATHENA> LINE X LOC=2.100 SPAC=0.100
ATHENA> LINE X LOC=2.300 SPAC=0.100
ATHENA> LINE X LOC=2.600 SPAC=0.100 TAG=RIGHT
ATHENA> LINE Y LOC=0.00 SPAC=0.03 TAG=TOP
Figure 2-53: Properties Menu
Tutorial
SILVACO International 2-67
ATHENA> LINE Y LOC=0.20 SPAC=0.02
ATHENA> LINE Y LOC=1.00 SPAC=0.10 TAG=BOTTOM
Figure 2-54: Properties Menu
Figure 2-55: ATHENA Mask Popup
ATHENA Users Manual
2-68 SILVACO International
Figure 2-56: MaskViews Files Window
Using MaskViews for Generating Masks in ATHENA
The dry etchi ng capabi l i ty of ATHENA as wel l as the physi cal etchi ng capabi l i ty of ATHENA/ELITE can
be used i n conjuncti on wi th the mask generati ng capabi l i ty provi ded by DECKBUILD and MASKVIEWS. A
cutl i ne l oaded i nto DECKBUILD has i nformati on on the x-l ocati on of the photomask edges. The sequence
of mask creati on and stri ppi ng steps shoul d be speci fi ed i n the ATHENA i nput fi l e. Thi s can be done
usi ng the ATHENA Mask popup (Fi gure 2-53) whi ch i s i nvoked by sel ecti ng Photo from the Process
menu. By sel ecti ng Mask... on the Photo Menu, the popup used for i nserti ng a MASK statement wi l l
appear.
Names of al l avai l abl e mask l ayers are i n Fi gure 2-56. When a name i s sel ected (e.g., POLY) from the
l i st, press the Appl y Mask button, and the fol l owi ng l i nes wi l l appear i n the i nput fi l e:
# DEFINING POLY MASK
MASK NAME="POLY"
Duri ng runti me, DECKBUILD converts the MASK statement i nto a DEPOSIT statement fol l owed by a seri es
of ETCH statements. The mask thi ckness and materi al type are defi ned i n the Layers popup (Fi gure 2-
72) i n the Define menu of MASKVIEWS.
Two types of mask materi al are avai l abl e: photoresi st and barri er. The real thi ckness of a photoresi st
l ayer shoul d be speci fi ed because i t may be used as a mask for i mpl antati on. Barri er i s a fi cti ti ous
materi al . I t i s i mpenetrabl e for any i mpl ants and can serve onl y as a maski ng materi al . Thi s materi al
i s i mpl emented i n ATHENA for the purpose of si mpl i fyi ng si mul ati on of mask deposi ti on over hi ghl y
non-fl at structures. A regi on to be etched may be any area not contai ni ng a mask on a cl ear fi el d l ayer,
or any area contai ni ng a mask on a dark fi el d area. The fi el d type i s al so speci fi ed i n the Layers popup
(Fi gure 2-72). I n the case of the POLY mask and cutl i ne i n Fi gure 2-65, the barri er l ayer wi l l be etched
to the l eft of x=0.8, and to the ri ght to x=1.8. The fol l owi ng echo output wi l l appear i n the tty
subwi ndow as the resul t of defi ni ng of the POLY mask:
ATHENA> # DEFINING POLY MASK
ATHENA> ## MASK NAME="POLY"
ATHENA> DEPO BARRIER THICK=0.10
Tutorial
SILVACO International 2-69
ATHENA> STRUCT OUTFILE=.HISTORY.9
ATHENA> ETCH BARRIER START X=-0.100 Y=-20
ATHENA> ETCH CONT X=-0.100 Y=20
ATHENA> ETCH CONT X=0.800 Y=20
ATHENA> ETCH DONE X=0.800 Y=-20
ATHENA> STRUCT OUTFILE=.HISTORY.10
ATHENA> ETCH BARRIER START X=1.800 Y=-20
ATHENA> ETCH CONT X=1.800 Y=20
ATHENA> ETCH CONT X=2.800 Y=20
ATHENA> ETCH DONE X=2.800 Y=-20
ATHENA> STRUCT OUTFILE=.HISTORY.11
Figure 2-57: ATHENA Mask Popup
Figure 2-58: MaskViews Layers Menu
ATHENA Users Manual
2-70 SILVACO International
I f the Reverse Mask checkbox i s checked i n the ATHENA Photo popup, then the fol l owi ng l i nes wi l l be
i nserted i nto the i nput fi l e:
# DEFINING POLY MASK
MASK NAME="POLY" REVERSE
and the effect of the fi el d attri bute i s reversed, i .e., the barri er area wi l l be etched between x=0.8 and
x=1.8.
When the mask i s defi ned, the ATHENA dry etch capabi l i ty can be used to etch the speci fi ed thi ckness
of a materi al not covered by the mask. After the dry etch i s compl ete, stri p the mask by cl i cki ng the
Stri p Mask button i n the ATHENA Photo popup. A typi cal mask defi ni ti on fragment shoul d appear as
fol l ows:
# POLY DEFINITION
MASK NAME="POLY"
ETCH POLY THICK=0.5
STRIP
I f the cutl i ne from Fi gure 2-49 i s l oaded, thi s wi l l gi ve the structure shown i n upper pl ot of Fi gure 2-
57. I f the reverse parameter i s added, the structure wi l l appear as shown i n the l ower pl ot of Fi gure 2-
57.
Using ATHENA/OPTOLITH
Overview
ATHENA/OPTOLITH i s desi gned as an opti cal l i thography tool i ntegrated i nto a compl ete process
framework. Speci fi c functi ons of ATHENA/OPTOLITH i ncl ude 2D aeri al i mage formati on, 2D
photoresi st exposure and devel opment, post exposure bake, and post processi ng capabi l i ti es such as CD
extracti on for generati ng SMILE pl ots. Thi s secti on of the tutori al descri bes ATHENA/OPTOLITH i nput/
output and the fol l owi ng basi c operati ons for creati ng a typi cal i nput fi l e for opti cal l i thography:
Creati ng an i nput mask usi ng MASKVIEWS or the LAYOUT command
Desi gni ng custom or standard i l l umi nati on systems
Projecti on Fouri er pl ane fi l teri ng
I magi ng control s
Properti es of materi al s
Structure exposure, post exposure bake, and devel opment
CD extracti on, SMI LE pl ots, and l oopi ng procedures
Thi s secti on of the tutori al assumes that the user i s fami l i ar wi th the general operati on of ATHENA as
descri bed i n the previ ous secti ons of thi s chapter. Thi s i ncl udes fami l i ari ty wi th the command
l anguage used to generate structures, as wel l as a general knowl edge of the use of the VWF I nteracti ve
Tool s. Speci fi c features that refer parti cul arl y to OPTOLITH wi l l be expl ai ned here.
Creating A Mask
A mask can be created usi ng the MaskVi ews tool supported by the VWF INTERACTIVE TOOLS, or by usi ng
the LAYOUT command. MASKVI EWS faci l i tates the creati on of compl i cated masks and can i mport
di fferent mask data formats such as the GDS2 stream format. I n the case of si mpl e masks contai ni ng
one or two features, i t may be si mpl er to use the LAYOUT command.
Tutorial
SILVACO International 2-71
MaskViews
After sel ecti ng MASKVIEWS under the Tools/MaskViews menu, press the Start MaskViews button.
The MASKVIEWS wi ndow wi l l appear. Press the Properti es... button, and the MASKVIEWS Properties
popup wi l l appear (Fi gure 2-58).
Open the Simulator menu and sel ect the ATHENA/OPTOLITH menu i tem. Customi zed control s for
MASKVIEWS/OPTOLITH wi l l appear i n the MASKVIEWS wi ndow. The col ored buttons on the ri ght si de of the
wi ndow are di screte control s for phase i n degrees, and i ntensi ty transmi ttance. The buttons fi rst
appear as phase. To change to transmi ttance, open the Phases menu above the buttons and sel ect the
Transmittances menu i tem. Thi s wi l l change the buttons from phase to transmi ttance control s.
Conti nuous control s for phase and transmi ttance are l ocated di rectl y bel ow the col ored buttons.
The mask can now be desi gned usi ng the mouse dri ven l i ne wri ter fol l owi ng the descri pti on outl i ned i n
the MASKVIEWS chapter of the VWF INTERACTIVE TOOLS manual . Once the mask i s created, i t shoul d be
saved to a fi l e wi th a name endi ng i n a .lay extensi on for future edi ti ng.
Figure 2-59: Using Mask Capability for POLY Definition
ATHENA Users Manual
2-72 SILVACO International
I t i s i mportant to be aware that there are two types of fi l es that can be saved from a MASKVIEWS l ayout
i nformati on. The fi rst type i s the l ayout fi l e. Thi s fi l e i ncl udes the i nformati on about l ayers and mask
features. Thi s i nformati on i s stored by sel ecti ng the Files Save menu i tem on the MASKVIEWS scr een.
The second type of fi l e that can be saved from MASKVIEWS i s a fi l e that i s si mi l ar to the l ayout fi l e but
that i s wri tten to i nterface wi th ATHENA/OPTOLITH.
The fi l e to be used by OPTOLITH i s created by pressi ng the Wri te Fi l e button i n the MASKVIEWS wi ndow.
The fol l owi ng popup wi l l appear (Fi gure 2-59).
Enter the desi red fi l e name, whi ch shoul d end wi th a .sec extensi on, and proceed to the next step.
Note that i n the bottom of the MASKVIEWS wi ndow the message Sel ect fi rst corner of OPTOLITH
si mul ati on area appears. MASKVIEWS i s prepared for the sel ecti on of the i mage wi ndow. The i mage
wi ndow descri bes the area where i ntensi ty wi l l be cal cul ated. Cl i ck on the desi red area for i ntensi ty
cal cul ati on to create the fi rst corner of the OPTOLITH si mul ati on area. The message: Sel ect the other
corner of OPTOLITH si mul ati on area wi l l appear at the bottom of the MASKVIEWS wi ndow. Cl i ck on the
desi red second corner. Once thi s second poi nt i s sel ected, the coordi nates of the i mage wi ndows l ower
l eft and upper ri ght corners wi l l be di spl ayed i n the OPTOLITH Simulation Control popup. Press the
Write button to save the OPTOLITH mask fi l e. The i nput fi l e created by MASKVIEWS i s l oaded i nto
OPTOLITH by the IMAGE command and wi l l be descri bed l ater. There are addi ti onal features of
MASKVIEWS that are i mportant to understand: Under the Define menu, when the Layers menu i tem i s
sel ected, the fol l owi ng popup wi l l appear see Fi gure 2-60.
Figure 2-60: MaskViews Properties Popup
Figure 2-61: OPTOLITH Simulation Control Popup
Tutorial
SILVACO International 2-73
I f Dark i s sel ected, the fi el d background wi l l be dark and the features wi l l have the i ntensi ty
transmi ttance as sel ected. I f Clear i s sel ected, the i ntensi ty transmi ttance automati cal l y becomes (1 -
T).
Onl y rectangul ar features are used i n the i magi ng modul e. MASKVIEWS automati cal l y converts tri angl es
or pol ygons to a set of paral l el rectangl es. Fi ner resol uti on on these rectangl es can be obtai ned by
changi ng the resol uti on on the Screen... popup under the Define menu.
Mask Layout
I n the LAYOUT command, each mask feature i s defi ned wi th one command l i ne. For exampl e:
LAYOUT X.LO=-0.5 Z.LO=-5.0 X.HI=0.5 Z.HI=5.0 TRANS=1 PHASE=0
defi nes a 1 wi de l i ne that i s 10m l ong. The mask has an i ntensi ty transmi ttance of one and a phase
of zero degrees. The LAYOUT command can be repeated as often as desi red. The number of mask
features i s l i mi ted onl y to the amount of memory avai l abl e. The command:
LAYOUT LAY.CLEAR
wi l l remove al l previ ous mask features from memory. Overl appi ng mask features wi l l cause an error.
The OPAQUE and CLEAR parameters can be speci fi ed i n the IMAGE command. Thi s wi l l not reverse
pol ari ty as i t does i n MASKVIEWS.
Illumination System
The i l l umi nati on system i s defi ned through the use of two commands: ILLUMINATION and
ILLUM.FILTER. ILLUMINATION, defi nes the i l l umi nati ng wavel ength, the possi bl e x and z ti l t of the
opti cal system, and the rel ati ve i ntensi ty whi ch i s usual l y set to one. ILLUM.FILTER defi nes the shape
of the i l l umi nati on system. The general shapes avai l abl e are CIRCLE, SQUARE, GAUSSIAN,
ANTIGUASSIAN, and SHRINC. The extent of the source must be defi ned to be wi thi n a square centered
at the ori gi n as i l l ustrated i n Fi gure 2-61.
The extent of the source i s defi ned by the coherence parameter SIGMA. SIGMA defi nes the radi us for
ci rcul ar sources (CIRCLE, GAUSSIAN, and ANTIGAUSSIAN), the x and y i ntercepts for square
sources, and the radi us of each i ndi vi dual SHRI NC source el ement as i l l ustrated i n Fi gure 2-62.
Figure 2-62: Layers Popup

ATHENA Users Manual


2-74 SILVACO International
I n al l cases, anythi ng outsi de of the square defi ned by SIGMA=1 wi l l be i gnored. The SHRINC source
posi ti on i s defi ned by the RADIUS and ANGLE parameters as seen i n Fi gure 2-62. The SHRINC source
can be defi ned by the command:
ILLUM.FILTER SHRINC RADIUS=0.25 ANGLE=45 SIGMA=0.1
Figure 2-63: Maximum Extent of the Source Region
Figure 2-64: Three Different Source Types
1
-1
1 -1
Source
Region
Sigma
- Sigma
Sigma
-Sigma
SQUARE
Sigma
CIRCLE
SHRINC
Radius
+ Angle
Sigma
Tutorial
SILVACO International 2-75
Arbi trary sources can be defi ned by usi ng the ANGLE and RADIUS parameters. Phase and i ntensi ty
transmi ttance of each source el ement are control l ed by the parameter PHASE and TRANSMITTANCE. By
posi ti oni ng each source el ement i n the source regi on, any type of source can be si mul ated. To si mul ate
a SHRINC source, the fol l owi ng command l i nes shoul d be entered:
ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=45
ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=135
ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=225
ILLUM.FILTER CIRCLE SIGMA=0.1 RADIUS=0.25 ANGLE=315
I f overl appi ng sources are defi ned, a warni ng i s i ssued and the most recent source i s used. I f the
overl ap i s parti al , onl y the overl ap area i s overwri tten by the most recent source.
Annul ar fi l ters can al so be superi mposed on the source. There are two types of annul ar fi l ters: square
and ci rcl e. Annul ar fi l ters have a mul ti pl i cati ve effect on the source. Because of thi s, care shoul d be
taken when defi ni ng a compl ex source and a compl ex fi l ter. An exampl e of an annul ar source of i nner
radi us 0.4 and outer radi us 0.6 i s gi ven bel ow:
ILLUM.FILTER CIRCLE SIGMA=0.6
ILLUM.FILTER CIRCLE INNER.RAD=0.0 OUTER.RAD=0.4 TRANSMIT=0.0
I n the fi rst statement, the parameter SIGMA defi nes the outer radi us. I n the second statement, an
opaque spot i s defi ned as an annul ar fi l ter as shown i n Fi gure 2-63.
The source must be descri bed before the fi l ter or the command wi l l be i gnored and a warni ng gi ven.
The parameter, CLEAR.FILTER, i s used to remove al l pre-exi sti ng fi l ters and sources.
Figure 2-65: Annular Source
The Projection System
The projecti on system i s defi ned usi ng two commands: PROJECTION and PUPIL.FILTER. The
PROJECTION command i s used to defi ne the numeri cal aperture and fl are of the projecti on system. The
PUPIL.FILTER command descri bes the shape of the projecti on system and the possi bl e fi l ters of the
projecti on system. The shape of the projector pupi l can be square or ci rcul ar. The ci rcul ar pupi l has the
opti on of havi ng a Gaussi an or anti -Gaussi an transmi ttance profi l e. Fi l teri ng of the Fouri er spectrum
can be performed usi ng annul ar fi l ters. The fi l ters have a mul ti pl i cati ve effect on the transmi ttance
and phase i n the projector pupi l . The fol l owi ng exampl e creates an opaque square at the ori gi n:
Sigma = 0.6
ATHENA Users Manual
2-76 SILVACO International
PUPIL.FILTER SQUARE
PIL.FILTER SQUARE INNER.RAD=0.0 OUTER.RAD=0.1 TRANS=0.0
Thi s creates the fol l owi ng projecti on pupi l (Fi gure 2-64):
The maxi mum extent of the projector pupi l pl ane i s +1 or -1 i n both di mensi ons. A fi l ter exceedi ng
these di mensi ons wi l l be i gnored and a warni ng wi l l be i ssued.
Figure 2-66: Projection Pupil
Imaging Control
The i mage cal cul ati on i s done by the IMAGE command and i ts associ ated parameters. The mask to be
i maged wi l l al ready be defi ned ei ther by a MASKVIEWS *.sec fi l e, or by the LAYOUT command. I f a
MaskViews *.sec fi l e i s used, then the IMAGE command wi l l be of the form:
IMAGE INFILE=*.sec ...
I f the mask i s defi ned usi ng the LAYOUT command, then the mask features are stored i n memory and
the onl y requi red i nput rel ated to mask features i s the OPAQUE/CLEAR speci fi cati on. OPAQUE speci fi es
the background i ntensi ty transmi ttance to be zero, and CLEAR speci fi es the backgr ound i ntensi ty
transmi ttance to be one. OPAQUE i s the defaul t setti ng. OPAQUE and CLEAR cannot be used wi th an
i nput fi l e from MASKVIEWS.
The i mage wi ndow (not to be confused wi th the computati onal wi ndow, whi ch wi l l be di scussed bel ow)
i s speci fi ed wi th the parameters WIN.X.LOW, WIN.Z.LOW, WIN.X.HI, and WIN.Z.HI. These
parameters defi ne the mi ni mum and maxi mum range of the x and z val ues as shown i n Fi gure 2-65.
The aeri al i mage i s cal cul ated onl y i nsi de thi s wi ndow. Thi s al l ows for faster computati on when onl y a
cross secti on i s desi red. I f a si mpl e cross secti on i s desi red, the wi ndow parameters for z (WIN.Z.LO,
WIN.Z.HI) shoul d be set to the same val ue for a cross secti on paral l el to the x-axi s.
Thi s val ue (WIN.Z.LOW = WIN.Z.HI) gi ves the l ocati on of the cross-secti on.
1
-1
1 -1
0.1
-0.1
0.1 -0.1
Tutorial
SILVACO International 2-77
Figure 2-67: The Image Window can be Placed Anywhere in the XZ Window
The resol uti on i n the i mage wi ndow can be control l ed by two di fferent sets of parameters. The fi rst set
i s DX and DZ. DX and DZ are the resol uti on i n mi crometers for the x and z di recti ons, respecti vel y. The
second set of parameters i s based on the number of poi nts i n each di recti on: X.POINTS and Z.POINTS.
The resol uti on wi l l be the l ength of the si de of the i mage wi ndow di vi ded by the number of poi nts.
Defocus of the aeri al i mage can be studi ed usi ng the DEFOCUS parameter. DEFOCUS uses uni ts of
mi crometers.
The parameter, N.PUPIL, speci fi es the computati onal wi ndow. I f N.PUPIL i s not speci fi ed, i t i s
automati cal l y cal cul ated to a si ze that encompasses al l mask features. I n these cases the
computati onal wi ndow may be set manual l y usi ng the fol l owi ng formul a:
2-1
where l ength i s the i ntercept coordi nate for the x and z axes of a square centered at the ori gi n that
del i mi ts the computati onal wi ndow as shown i n Fi gure 2-66.
z
x
WIN.X.LOW
WIN.Z.LOW
WIN.Z.HIGH
WIN.X.HIGH
Image
Window
N.PUPI L
l ength NA
l ambda
---------------------------- * 2 =
ATHENA Users Manual
2-78 SILVACO International
Figure 2-68: The Computational Window is Always Centered at the Origin
Once the i mage has been cal cul ated, i t can be stored i n a standard structure fi l e by the command:
STRUCTURE OUTFILE=*.STR INTENSITY
The INTENSITY modi fi er i denti fi es the fi l e to be di ffer ent than a standar d str uctur e. Thi s fi l e can l ater
be i ni ti al i zed i nto memory and used wi thout runni ng the i magi ng modul e. To i ni ti al i ze an i ntensi ty
fi l e, type:
INITIALIZE INFILE=*.STR INTENSITY
The i ntensi ty modi fi er agai n speci fi es the type of fi l e. An i ntensi ty fi l e i ni ti al i zed i n thi s fashi on i s
useful onl y for exposures that use the verti cal propagati on model .
N.PUPIL al so affects the accuracy of the aeri al i mage cal cul ati on. A hi gher N.PUPIL val ue i ncreases
the number of source poi nts by a factor (2*N.PUPIL+1) squared, and wi l l i ncrease both the accuracy
and the computati on ti me.
Note: The image window and the computational window are not linked. The computational window is
automatically adjusted to include all mask features, unless otherwise specified in the IMAGE command.
This means that the entire mask will be used in the image calculation. The image window can be used to
specify that only a part of the entire image be calculated in order to increase the speed of the simulation.
The selected image can be overridden in the IMAGE command by specifying new window coordinates.
Aeri al i mage i ntensi ty di stri buti ons can be added together by speci fyi ng MULT.IMAGE on repeti ti ons of
the IMAGE command. Any number of i mages may be added together. The fi rst IMAGE statement
shoul d not contai n the bool ean parameter MULT.IMAGE so that precedi ng aeri al i mages are erased
from memory. Wei ghti ng of the aeri al i mages can be done usi ng the INTENSITY parameter on the
ILLUMINATION command.
ONE.DIM i s a new parameter that has been added to the IMAGE command. I t al l ows cal cul ati on of one
di mensi onal aeri al i mages. Thi s i s used pri mari l y for i ncreasi ng speed i n the exposure cal cul ati on for
repeti ti ve l i ne wi dth cal cul ati ons.
z
x
Computational
Window
Tutorial
SILVACO International 2-79
Defining Material Properties
There are two commands i n ATHENA/OPTOLITH that rel ate to properti es of materi al s: OPTICAL and
RATE.DEVELOP. The OPTICAL command sets the compl ex i ndex of refracti on for a si ngl e materi al at a
gi ven wavel ength. The RATE.DEVELOP command sets devel opment rate parameters for each resi st
defi ned i n the resi st l i brary. Defaul t val ues for these materi al parameters are l ocated i n the
athenamod fi l e, whi ch can be vi ewed i n DECKBUILD by sel ecti ng the Models... i tem from the Command
menu. Any of these parameters can be changed by enteri ng the command wi th the new val ues.
For exampl e, to change the i ndex of refracti on of si l i con at 0.365 m, enter:
OPTICAL SILICON LAMBDA=0.365 REFRAC.REAL=6.522 REFRAC.IMAG=2.705
To enter resi st parameters at wavel ength 0.407m, use the RATE.DEVELOP command as fol l ows:
RATE.DEVELOP NAME.RESIST=AZ135OJ LAMBDA=0.407 \
A.DILL=0.88 B.DILL=0.077 C.DILL=0.018 E1.DILL=5.63 \
E2.DILL=7.43 E3.DILL=-12.6
Photoresi st parameters for devel opment or di ffusi vi ty (Di x.0, Di x.E) can be entered separatel y from
exposure parameters wi thout speci fyi ng the wavel ength. The photoresi st name must al ways be
speci fi ed. When speci fyi ng Di l l exposure parameters A, B, and C, i t i s necessary to speci fy the
wavel ength, as these parameters vary wi th wavel ength.
Structure Exposure
Exposure, post exposure bake, and devel opment each have separate commands: EXPOSE, BAKE, and
DEVELOP, respecti vel y. I n order to use these three commands, some i ni ti al requi rements must be met.
Fi rst, an i ntensi ty cross secti on or Fouri er Spectrum data must be avai l abl e. Second, a structure
i ncl udi ng photoresi st must be created usi ng the techni ques descri bed i n the Usi ng ATHENA secti on of
thi s tutori al .
Thi s i ntensi ty cross secti on can come from three di fferent pl aces. The fi rst i s by runni ng the i magi ng
modul e pri or to exposure. Thi s puts the i ntensi ty data array i nto memory. The second i s by i ni ti al i zi ng
wi th an i ntensi ty data array that has been stored i n a standard structure fi l e (see I magi ng Control s,
above) usi ng the fol l owi ng command:
INITIALIZE INFILE=*.STR INTENSITY
The INTENSITY qual i fi er l ets ATHENA know that thi s i s an i ntensi ty fi l e, as opposed to a standard
structure fi l e. After thi s command i s entered, the i ntensi ty data array wi l l be pl aced i n memory.
Wavel ength wi l l be stored i n thi s fi l e and can be changed onl y by rerunni ng the i magi ng modul e. The
non-verti cal propagati on model can onl y be i nvoked i f the IMAGE command i s run pri or to the
EXPOSURE command.
The thi rd method of enteri ng an i ntensi ty cross secti on i s through a user data fi l e. The fi l e shoul d
contai n the wavel ength, the number of data poi nts, and the i ntensi ty and posi ti on of each poi nt. The
fi rst l i ne of thi s fi l e shoul d contai n the wavel ength i n mi crometers. The second l i ne shoul d contai n the
number of poi nts, and the fol l owi ng l i ne shoul d contai n the posi ti on and then the i ntensi ty of the fi rst
poi nt on the same l i ne. Thi s shoul d be repeated for each poi nt. Thi s i nput fi l e i s read i n the EXPOSE
command usi ng the format:
EXPOSE INFILE=*.EXP
The non-verti cal propagati on model can onl y be i nvoked i f the IMAGE command i s run pri or to the
EXPOSURE command.
Once the i ntensi ty array i s i ni ti al i zed or the Fouri er spectrum data i s i n memory vi a the IMAGE
command, exposure may be executed i f a structure exi sts i n memory and i f that structure has
ATHENA Users Manual
2-80 SILVACO International
photoresi st as i ts top l ayer(s). The structure can be created i n the i nput fi l e or can be i ni ti al i zed as
descri bed i n the Usi ng ATHENA secti on of thi s chapter.
The EXPOSE command has many parameters that control the accuracy and speed of the exposure
si mul ati on, as wel l as rel ated i magi ng parameters. The fol l owi ng parameters control si mul ati on speed
and accuracy and are not necessary for a prel i mi nary si mul ati on:
FLATNESS, NUM.REFL, FRONT.REFL, BACK.REFL, ALL.MATS
The most i mportant of these parameters i s the FLATNESS parameter. I f FLATNESS i s set equal to zero,
the al gori thm uses the enti re gri d for the cal cul ati on and may l engthen the si mul ati on ti me. The
remai ni ng parameters refer to the i mage to be exposed. Both TE and TM modes are avai l abl e i n
exposure, but they must be performed separatel y. Sel ect TE by addi ng the PERPENDICULAR
parameter to the EXPOSE command; or sel ect TM by enteri ng the PARALLEL parameter. TE i s the
defaul t. The exposure dose i s al so defi ned i n the EXPOSURE command i n uni ts of mJ/cm
2
usi ng the
DOSE parameter.
Numeri cal aperture i s i ncl uded i n the EXPOSURE command usi ng the parameter NA. Thi s provi des the
opti on for the verti cal propagati on model , whi ch si gni fi cantl y decreases si mul ati on ti me. To sel ect the
verti cal propagati on mode, NA i s set equal to zero (the defaul t).
Defocus effects are speci fi ed i n the IMAGE command. The DEFOCUS parameter i n the EXPOSE
command i s no l onger used.
I n the non-verti cal propagati on mode, exposures can be made wi th ei ther coherent or i ncoherent
sources. Coherent sources are descri bed by SIGMA=0.01 i n the IMAGE command. Thi s defi nes a smal l
enough source that onl y one di screti zati on poi nt i s i ncl uded. I f a l arge SIGMA i s defi ned and
di screti zati on of the source al l ows at l east three source poi nts i n the x (or z) di recti on, then three
poi nts from the source wi l l be used i n the bul k i mage cal cul ati on wi th equal wei ght gi ven to each
poi nt. The poi nts chosen wi l l be the central poi nt and the outermost poi nts, or the di mensi on of the
chosen cross secti on (x or z). I f mul ti pl e sources are defi ned usi ng the ILLUM.FILTER command, then
the central poi nt of each SOURCE defi ned i s used for cal cul ati ng the bul k i mage i n the exposure. The
l atter al l ows an arbi trary amount of source poi nts to be si mul ated for the bul k i mage cal cul ati on. Thi s
i s done by speci fyi ng many smal l adjacent sources and one poi nt wi l l be taken from each source.
Bul k i mage exposures can be added together by speci fyi ng MULT.EXPOSE on repeti ti ons of the EXPOSE
command. Any number of exposures may be added together. The fi rst EXPOSE statement shoul d not
contai n the bool ean parameter MULT.EXPOSE so that precedi ng exposures are erased from memory.
Wei ghti ng of the exposures can be done usi ng the DOSE parameter on the EXPOSE command.
The fi nal four parameters, X.CROSS, Z.CROSS, CROSS.VALUE, and X.ORIGIN al l refer to the aeri al
i mage cross secti on. The bool ean parameters, X.CROSS or Z.CROSS, defi ne the cross-secti on to be
paral l el to the x-axi s or the z-axi s, respecti vel y. CROSS.VALUE speci fi es the z l ocati on of X.CROSS, or
the x l ocati on of Z.CROSS. These parameters are especi al l y useful when several cross secti ons from
one l arge, two di mensi onal aeri al i mage wi l l be si mul ated. X.CROSS i s the defaul t. I f CROSS.VALUE i s
not speci fi ed, the center of the i mage wi ndow, defi ned i n the i magi ng modul e, wi l l be used as
CROSS.VALUE. X.ORIGIN al l ows the aeri al i mage cross secti on to be shi fted l ateral l y i n the two
di mensi onal exposure si mul ati on.
Post Exposure Bake
The BAKE command can be i nvoked by usi ng onl y one parameter, the di ffusi on l ength: DIFF.LENGTH.
For a post exposure bake of 60 seconds at a temperature of 125C, the recommended di ffusi on l ength i s
between 0.05 and 0.1 mi crometers.
The BAKE command can al so be used by speci fyi ng TIME i n seconds and TEMPERATURE i n C.
Tutorial
SILVACO International 2-81
Development
The devel opment modul e offers a choi ce of si x di fferent devel opment model s. Model parameters are
speci fi ed i n the RATE.DEVELOP command as menti oned above. After the devel opment model i s
sel ected, the three pri mary parameters for the DEVELOP command are TIME (i n seconds), STEPS, and
SUBSTEPS. TIME i s the total devel opment ti me. STEPS speci fi es the number of ti mes the structure i s to
undergo regri ddi ng. SUBSTEPS i s the total number of ti mes that the devel opment l i ne shoul d be
moved. Each substep i s performed for a ti me i ncrement equal to TIME/(STEPS*SUBSTEPS). After
each regri ddi ng of the structure, i t i s possi bl e to dump out a standard structure fi l e to show the
progress of the devel opment. To do thi s, speci fy the parameter DUMP=1. To name the structure fi l e that
i s to be dumped, speci fy DUMP.PREFIX=<name>, and the structure wi l l be created i n the l ocal
di rectory wi th the name <name>**.str, where ** i s the current devel opment ti me.
Post Development Bake
A physi cal l y based refl ow of the devel oped photoresi st i s avai l abl e. I t i s speci fi ed usi ng the BAKE
command and the bool ean parameter REFLOW al ong wi th TIME and TEMPERATURE.
CD Extraction, Smile Plots, And Looping Procedures
CDs are extracted from the structure usi ng the functi on MAT1| MAT2(y). Thi s gi ves the hori zontal
i ntersecti on of materi al number 1 and materi al number 2 at the val ue y. To extract a CD from a profi l e,
the fol l owi ng format i s used:
(GAS|PHOTO(1.4) - PHOTO|GAS(1.4))
Thi s wi l l gi ve the CD at the hori zontal l i ne y=1.4.
To generate swi ng curves, the FOREACH and END statements must be used for l oopi ng. The exampl e
bel ow shows the i nput l anguage used to perform the l oop:
PRINTF ATHENA > SWING
PRINTF 16 2 2 > SWING
PRINTF THICKNESS > SWING
PRINTF CDS > SWING
FOREACH J (0.1 TO 0.5 STEP 0.25)
INITIALIZE INFILE=ANOPEX15.STR
DEPOSIT NITRIDE THICK=J DIV=1 MIN.SPACE=0.01
DEPOSIT PHOTORESIST NAME.RESIST=ZZZ THICK=1 DIV=30 MIN.SPACE=0.01
EXPOSE DOSE=150 NUM.REFL=3 NA=0 FRONT.REFL=1
BAKE DIFF.LENGTH=0.05
STRUCTURE OUTFILE=ANOPEX15.J.STR2
DEVELOP MACK TIME=45 STEPS=9 SUBSTEPS=10
STRUCTURE MIRROR LEFT
STRUCTURE OUTFILE=ANOPEX.15.J.STR3
PRINTF J (ZZZ|GAS(1.4+J) - GAS|ZZZ(1.4 + J)) > SWING
END
Thi s creates an output fi l e cal l ed SWING. The fi rst command wri tes the name of the framework. The
second wri tes the number of rows, number of col umns, and number of ti tl es (see the TONYPLOT chapter
i n the VWF INTERACTIVE TOOLS manual ).
The FOREACH statement si gnal s the begi nni ng of the l oop, and the END statement ter mi nates the l oop.
J i s the par ameter to be var i ed i n the l oop. I n thi s case i t i s the thi ckness of the ni tri de l ayer.
The fi nal PRINTF statement pri nts the data to the fi l e: fi rst the thi ckness J, then the CD at y=1.4+J. I n
the DECKBUILD i nput fi l e, enter the command:
ATHENA Users Manual
2-82 SILVACO International
tonyplot -da SWING
and a pl ot of the swi ng curve wi l l appear. Thi s command can al so be wri tten i n the i nput fi l e after the
l oop.
I n order to generate SMILE pl ots (focus-exposure l ati tude curves) a doubl e l oop i s requi red. The i nput
l anguage used for a typi cal doubl e l oop i s shown bel ow.
PRINTF ATHENA > SMILE
PRINTF 24 3 3 > SMILE
PRINTF DEFOCUS > SMILE
PRINTF CDS > SMILE
PRINTF DOSE > SMILE
FOREACH I (200 TO 300 STEP 25)
FOREACH J (-1.5 TO 1.5 STEP 0.5)
INITIALIZE INFILE=ANOPEX12.STR1
IMAGE DEFOCUS=J WIN.X.LO=.5 WIN.X.HI=.5 WIN.Z.LO=0 WIN.Z.HI=0 \
CLEAR
EXPOSE DOSE=I
BAKE DIFF.LENGTH=0.05
STRUCT OUTFILE=ANOPEX12.J.I.STR
DEVELOP MACK TIME=45 STEPS=5 SUBSTEPS=10
STRUCTURE MIRROR LEFT
STRUCTURE OUTFILE=ANOPEX12.J.I.STR3
PRINTF J (ZZZ|GAS(1.4) - GASS|ZZZ(1.4)) I > SMILE
END
I n thi s smi l e pl ot exampl e, exposure DOSE i s vari ed i n the outer l oop and DEFOCUS i s vari ed i n the
i nner l oop.
The output i s wri tten to a fi l e cal l ed SMILE. The di fference between the smi l e pl ot and the swi ng pl ot i s
that smi l e pl ots must di sti ngui sh between several types of data. To do so, a thi rd col umn cal l ed group
i s added (see the TonyPl ot chapter i n the VWF I nteracti ve Tool s manual ). The fi nal PRINTF statement
pri nts DEFOCUS (J), CDs, and DOSE (I). To di spl ay the pl ot, outsi de of DECKBUILD, enter the
command:
tonyplot -da SMILE
and the pl ot wi l l appear. I n the TonyPlot wi ndow, sel ect Plot/Display, and a popup wi l l appear. Pul l
down the Group menu and sel ect the Dose menu i tem. Thi s wi l l group the set of pl ots for each
exposure dose.
Note that i n the above two exampl es, we can use the STRUCTURE MIRROR LEFT command after the
DEVELOP command. Thi s i s appl i cabl e i n al l symmetri c cases and reduces run ti me by up to 33
percent. Thi s i s done by maki ng the cal cul ati on for hal f the l i ne and then refl ecti ng the structure to
obtai n the enti re l i ne profi l e.
Adaptive Meshing
Introduction to Mesh Adaption
ATHENA has a bui l t i n mesh adapti on modul e that automati cal l y adapts the gri d to dopant profi l es.
Used together wi th i mpl antati on and di ffusi on, the modul e can achi eve more opti mi zed accuracy of a
gi ven profi l es representati on for a gi ven number of gri d poi nts. Thi s rel i eves the user, to some extent
from the ti me consumi ng mesh generati on task i n the si mul ati on structure preparati on stage. I t wi l l
al so i mprove the accuracy and speed of the subsequent di ffusi on/oxi dati on/epi taxy stages where
i mpuri ty profi l es change wi th ti me. The al gori thm used was devel oped by C. C. Lin and M. E. Law
Tutorial
SILVACO International 2-83
from University of Florida [109][110]. I t uses an effi ci ent l ocal error esti mator and a tri angul ati on
scheme sui tabl e for compl ex two-di mensi onal movi ng boundary probl ems.
Adaption During Ion Implantation
I on i mpl ant i s a common process step to i ntroduce i mpuri ti es i nto the substrate to form acti ve devi ce
regi ons. Pri or to the i mpl ant step i t i s di ffi cul t to determi ne the requi red mesh densi ty di stri buti on
because the exact dopant pr ofi l e i s not known pr i or to pr ocessi ng. Thus the user can onl y esti mate the
profi l e and requi red mesh. I t i s a ti me consumi ng process to speci fy mesh generati on commands to
create the mesh wi th a densi ty conformi ng to an esti mated profi l e. Graphi cal tool s, l i ke DEVEDI T, can
make thi s easi er, but cannot total l y el i mi nate the pr ocess. Wi th the Adapti ve Meshi ng modul e, these
di ffi cul ti es can be over come to a l ar ger extent.
The program uses an i terati ve al gori thm to determi ne the requi red mesh densi ty di stri buti on to
accuratel y conform to the i mpl anted profi l e, and wi l l automati cal l y generate the addi ti onal requi red
mesh. The al gori thm i s i l l ustrated wi th the fl ow chart depi cted i n Fi gure 2-67.
Figure 2-69: Flow Chart of Mesh Adaption Algorithm
Adaption During A Heat Cycle
Duri ng the di ffusi on/oxi dati on/epi taxy processes, i mpuri ty profi l es are usual l y changi ng conti nual l y
wi th each el apsed ti me step. An i ni ti al l y generated opti mal mesh wi l l not conform to the ti me varyi ng
dopant profi l e. I f the i mpuri ty profi l es change substanti al l y duri ng the process, the mesh densi ty
di stri buti on wi l l be very di fferent from the dopant contour di stri buti on, causi ng both accuracy and
speed probl ems.
Previous Mesh
Continue
Accurate
Implanted
Profile
Distribution?
Dopant Implant
Mesh Adaption
Clear Dopant
+ Increase Adaptive
Meshing critera
N
Y
ATHENA Users Manual
2-84 SILVACO International
Duri ng si mul ati on, the total ti me of a di ffusi on/oxi dati on/epi taxy process i s usual l y di vi ded i nto many
smal l ti me steps, wi th profi l es changi ng gradual l y between ti me steps. Usi ng the Adapti ve Meshi ng
modul e, a mesh adapti on may be performed after each ti me step. Thi s al l ows the mesh to conform to
the dopant after a ti me step.
The di fference between the dopant contours and the change i n the mesh densi ty di stri buti on wi l l thus
be l i mi ted to the di fference of dopant profi l es between ti me steps. Thi s di fference i s substanti al l y
smal l er than that over total di ffusi on ti me. Thus, mesh adapti on can al l ow more accuracy and
mi ni mi ze the mesh densi ty for the dopant representati on at any gi ven ti me.
A Si mpl e Exampl e:
GO ATHENA
LINE X LOC=0.00 SPAC=0.1
LINE X LOC=2.00 SPAC=0.1
LINE X LOC=0.00 SPAC=0.1
INIT SILICON C. ARSENIC=10E14
DIFF TIME=50 TEMP=950 DRYO2
DEPOSIT POLY LEFT PL.X=1.2
ETCH POLY LEFT PL.X=1.2
STRUCT OUTF=MOS_0.STR
#PERFORM ADAPTIVE MESHING FOR BOTH IMPLANT AND DIFFUSION
METHOD ADAPT
IMPLANT BORON DOSE=1.0E13 ENGERY=15 PERSON TILT=0
STRUCT OUTF=MOS_1.STR
DEPOSIT OXIDE THICK=.35 DIV=6
ETCH OXIDE THICK=.35
IMPLANT BORON DOSE=1.0E14 ENGERY=15 PERSON TILT=0
STRUCT OUTF=MOS_2.STR
DIFFUSE TEMP=1000 TIME=30
STRUCT OUTF=MOS_3.STR
QUIT
LISTING 1: A SIMPLE EXAMPLE OF IMPLANT ADAPTIVE MESHING
Thi s si mpl e exampl e creates a LDD MOS devi ce structure. The i ni ti al si mpl e mesh i s speci fi ed wi th the
four LINE commands, thi s i ni ti al mesh i s referred to as a base mesh and opti ons for i ts formati on wi l l
be di scussed i n more detai l l ater. After some i ni ti al 1D processi ng, the adapti ve meshi ng functi on i s
i nvoked. Subsequentl y automati cal l y adds mesh that conforms wel l to the two i mpl anted Boron
profi l es. Duri ng the fi nal DIFFUSE statement, Boron has been dri ven down i nto the substrate and
tessel l ated wi th the i ni ti al si mpl e mesh. The mesh adaptati on modul e adapts after each ti me step.
Thi s resul ts i n meshi ng conformi ng to the Boron profi l e throughout the di ffusi on process.
The mesh adapti on modul e i s i nvoked duri ng the si mul ati on by speci fyi ng bool ean fl ag ADAPT on the
METHOD command precedi ng IMPLANT, DIFFUSE, or EPITAXY statements. The syntax behi nd thi s
si mpl e exampl e usi ng the mesh adapti on modul e i s shown bel ow.
Three commands are avai l abl e to access the mesh adaptati on modul e, they are bri efl y descri bed as the
fol l owi ng: The METHOD statement i s used to control numeri cal al gori thms. When METHOD ADAPT i s
speci fi ed the mesh adaptati on al gori thm wi l l be used. I f METHOD ADAPT=false i s speci fi ed the mesh
adaptati on al gori thm wi l l be turned off. ADAPT i s off by defaul t.
ADAPT speci fi es that the adapti ve meshi ng shoul d be performed on any of the fol l owi ng IMPLANT,
DIFFUSE or EPITAXY statements. Adaptati on i s performed by fol l owi ng each step on each DIFFUSE/
EXPITAXY statement. IMPLANT.MES speci fi es whi ch adapti ng al gori thm to use on IMPLANT
statements, currentl y IMPLANT.MES=0 corresponds to the Uni versi ty of Fl ori das al gori thm, thi s i s the
defaul t. Currentl y thi s i s the onl y recommended al gori thm. There are four other parameters on the
METHOD statement that speci fy mesh smoothi ng.
Tutorial
SILVACO International 2-85
They are:
ETCH.SMOOTH speci fi es that mesh smooth operati on wi l l be performed after etch.
DEPO.SMOOTH speci fi es that mesh smooth operati on wi l l be performed after deposi t.
DIFF.SMOOTH speci fi es that mesh smooth operati on wi l l be performed after di ffusi on.
STEP.SMOOTH speci fi es that mesh smooth operati on wi l l be performed after each di ffusi on ti me
step. These four parameters are currentl y set as defaul t.
The ADAPT.PAR statement i s used to set parameters to adjust the mesh adaptati on process. The
parameters avai l abl e on the ADAPT.PAR statement are the fol l owi ng: Speci fy materi al regi ons to be
adapted, such as SILICON, OXDIDE, POLYSILICON, etc. Thi s may be one or several materi al s at a
ti me. The defaul t i mpuri ti es i ncl ude I.BORON, I.ARSENIC, etc. Speci fy i mpuri ti es to be adapted on.
Thi s may be one or several i mpuri ti es at a ti me. The parameter, DISABLE, speci fi es materi al s/
i mpuri ti es gi ven di sabl ed to be effecti ve on mesh adapti ng or smoothi ng. The MAX.ERR parameter
speci fi es the maxi mum error al l owabl e before addi ng poi nts to the mesh (uni tl ess). Error cal cul ated
above thi s val ue cause poi nts to be added. The MIN.ERR parameter speci fi es the mi ni mum error bel ow
whi ch poi nts may be del eted from the mesh (uni tl ess). Error cal cul ated bel ow thi s val ue causes poi nts
to be removed. Both MAX.ERR and MIN.ERR are cal cul ated usi ng the Bank-Wei ser error esti mator
whi ch i s defi ned as:
2-2
where h i s the average of the edge l engths associ ated wi th node i , C
i
i s the i mpuri ty concentrati on at
node i . The parameter, CONC.MIN, speci fi es the mi ni mum i mpuri ty concentrati on bel ow whi ch
adapti ng wi l l stop (uni ts 1.0/cm
3
)
The ADAPT.MESH statement i s used to do mesh adaptati on for a gi ven devi ce structure wi thout
coupl i ng i mpl ant- di ffusi on/epi taxy to the process, so the mesh adaptati on modul e can be used to assi st
the manual mesh generati on process. The fol l owi ng parameters are avai l abl e on the ADAPT.MESH
statement:
The ADAPT parameter speci fi es that a stand al one adapti ve meshi ng step shoul d be performed to
refi ne or rel ax the current mesh based on the materi al /i mpuri ty speci fi cati on gi ven on the ADAPT.PAR
statement (defaul t fal se).
The ADAPT.COUNT parameter speci fi es that stand al one anneal i ng be performed duri ng the executi on
of the ADAPT.MESH statement (defaul t fal se).
The parameter, SMTH.COUNT, speci fi es the number of smooth l oops duri ng the smoothi ng al gori thm.
Adaptive Meshing Control
Adapti ve meshi ng may be used i n several di fferent modes and has several commands to control i t.
Thi s secti on descri bes the adapti ve meshi ng rel ated commands and how to use them.
The commands are l i sted i n Tabl e 2-6:
Table 2-6. Summary of Adaptive Meshing Control
Parameter Description
METHOD Used to switch the various automated adaption modes on and off.
ADAPT.MESH Used to invoke a stand alone adaption of the mesh at a specific
point.
c h
2
*
Laplace C
i
( )
C
i
( )
-------------------------------- =
ATHENA Users Manual
2-86 SILVACO International
The Mechanics of the Base Mesh Formation
ATHENA uses adapti ve meshi ng i n both 1D and 2D modes. Both of these modes are control l ed by the
ADAPT.PAR parameters however the concept of the Base Mesh needs to be descri bed. A typi cal
si mul ati on, for exampl e a MOS, i s si mul ated i n 1D i ni ti al l y and then swi tched to 2D at some poi nt
mi d-pr ocess fl ow, per haps at the Pol y Gate defi ni ti on process step.
At thi s poi nt the mesh i s extruded from 1D to 2D and the resul t i s the base mesh. The Base Mesh then
forms the basi s, and i s the starti ng poi nt, for 2D Adapti ve Meshi ng. The mesh qual i ty of thi s base
mesh i s i mportant for success of future adapti on, for exampl e duri ng source-drai n i mpl ants and
anneal s.
Interface Mesh Control
An addi ti on functi on i s avai l abl e to control the mesh i n the vi ci ni ty of a materi al i nterface. Thi s extra
control al l ows the addi ti on of gri d l i nes for exampl e to run al ong under the gate of MOSFET at some
di stance from the Si /Si 02 i nterface. Thi s capabi l i ty i s often useful for addi ng mesh as requi red by
hi ghl y mesh dependent mobi l i ty model s duri ng a fol l owi ng devi ce si mul ati on. I t i s al so useful to be
abl e to add mesh for better segregati on model i ng. The ADAPT ADD.I.LINE=n command control s the
addi ti on of a new mesh l i ne. Two materi al s are speci fi ed as parameters to the command, defi ni ng an
i nter face, or set of i nter faces. The mesh l i ne i s added to MATERIAL1 as fol l ows:
ADAPT.MESH ADD.I.LINE=0.001 MATERIAL1 / MATERIAL2
For exampl e, i n the case of addi ng an addi ti onal mesh l i ne to the SILICON i n the channel regi on of a
MOSFET:
ADAPT.MESH ADD.I.LINE=0.001 SILICON / OXIDE
The structural transi ti on from 1D to 2D, to create a base mesh i s control l ed by the BASE.PAR
parameters. Fi gure 2-68 i ndi cates the fl ow of events, towards the formati on of a base mesh and
beyond, i n the case of MOSFET devi ce.
ADAPT.PAR Used to control both the stand alone adaption and the automatic
adaption meshing criteria.
GRID.MODEL Used to describe an external template file containing mesh
related commands, specific to a general technology or device
BASE.MESH Used to define the 1D starting point of a mesh for an adaptive
mesh based simulation.
BASE.PAR Used to specify the adaption criteria for the base mesh only.
Table 2-6. Summary of Adaptive Meshing Control
Parameter Description
Tutorial
SILVACO International 2-87
Figure 2-70: MOSFET Device Mesh Formation Flow
The base mesh qual i ty i s i mportant to al l ow a subsequent adapti on i n 2D. The adjacent rati o of
el ements, both i n 1D and 2D rel ate di rectl y to the smoothness of the fi nal mesh qual i ty.
The generati on of a hi gh qual i ty adapted mesh starts wi th the BASE.MESH command. Here, the 1D
mesh i s defi ned, from whi ch the fi nal 2D mesh wi l l evol ve. The BASE.MESH command defi nes a 1D
structure as a stack of up to fi ve l ayers. (Fi ve l ayers are used so as to defi ne the fi ve l ayers of a Bi pol ar
devi ce). Each l ayer, up to fi ve i n total , i s descri bed as havi ng a thi ckness; SURF.LY, ACTIVE.LY
EPI.LY, SUB.LY and BACK.LY and an associ ated mesh spaci ng per l ayer, SURF.DY ACTIVE.DY,
EPI.DY, SUB.DY, and BACK.DY. The whol e str uctur e may al so be offset i n space wi th the poi nt of
ori gi n determi ni ng the top l eft hand corner of the structure. The parameters OFFSET.X and
OFFSET.Y are used for thi s purpose. An exampl e i n the use of the offset command, mi ght be defi ni ng
the starti ng surface of an i ni ti al structure an epi thi ckness bel ow the zero posi ti on. Thi s way, the
subsequent geometri cal cal cul ati ons are made easi er.
Fi gure 2-69 i ndi cates the rel ati onshi p of the BASE.MESH command to the i ni ti al 1D structure mesh.
Define
Initial ID
Mesh
Action on Structure Commands
Base. Mesh
INIT
Adapt.Par
Method
Adapt
Base.Par
ETCH Left.....
Adapt.Par
ID Adaptive
Meshing
Simulation
Define
Width +
Depth
Define Mesh Rules
for ID to 2D
Transition
Switch from ID
to 2D Simulation
Modify 2D
Adaptive Meshing
Criteria
Simulate to
Complete
ATHENA Users Manual
2-88 SILVACO International
Figure 2-71: Initial 1D Structure Relationship
The BASE.MESH parameters shoul d be consi dered, al ongsi de the BASE.PAR parameters. I n formi ng a
BASE mesh, three objecti ves shoul d be kept i n mi nd, regardi ng the qual i ty of mesh.
1. 1D dopant i nformati on i s nei ther l ost i n the 2D transi ti on, or overl y refi ned upon, resul ti ng i n
overl y dense BASE.MESH. (See Fi gures 2-70 and 2-71).
2. Li ttl e or few fl at tri angl es exi st i n regi ons and materi al s of i mportance. (See Fi gure 2-72).
3. The adjacent tri angl e rati o, i n both X, and Y di recti ons, i s not abrupt i n spaci al regi ons of
i mportance to the devi ce (See Fi gure 2-72).
Control l i ng the qual i ty of the base.mesh, formed at the 1D-2D transi ti on, i s achi eved wi th the
BASE.PAR command parameters. Speci fi c materi al s may be assi gned di fferent parameters. The
control of the Verti cal Adjacent Tri angl e Rati o qual i ty i s achi eved wi th the GRAD.SPACE Parameter,
whi l e the l ateral Adjacent tri angl e rati o i s control l ed wi th the RATIO.BOX parameter. These two
commands may be thought of as operati ng upon the 1D and 2D si mul ati on segments respecti vel y, that
i s to say, duri ng 1D si mul ati on, onl y the adjacent spaci ng rati o may be control l ed, i n the verti cal
Tutorial
SILVACO International 2-89
profi l e, wi th the GRAD.SPACE parameter. Subsequentl y, at the poi nt of 2D transi ti on, the RATIO.BOX
parameter i s used to trade off mesh qual i ty for mesh densi ty.
The INIT command i ncl udes parameters; WIDTH.STR and DEPTH.STR. These parameters defi ne the
si ze of the i ni ti al structure and wi l l truncate the previ ous BASE.MESH defi ned structure.
An exampl e of thi s base mesh and of the subsequent 2D di ffusi on i s shown i n Fi gure 2-74 and 2-75.
Figure 2-72: Mesh that is too coarse leads to Dopant Information Loss
Figure 2-73: Too Dense Mesh Causes Too Much CPU Time during Subsequent Simulation
ATHENA Users Manual
2-90 SILVACO International
Figure 2-74: Large and reasonable Adjacent Triangle Ratios
Figure 2-75: Base Mesh Formation
3. (i)
Large
Adjacent
Triangle
Ratios
3. (ii)
Reasonable
Adjacent
Triangle
Ratios
C
D
A
B
B/A = GRAD.SPACE
C/D = RATIO.BOX
Tutorial
SILVACO International 2-91
Figure 2-76: Automatic Base Mesh Generation
Figure 2-77: Ion Implementation Adaption on New Base Mesh
ATHENA Users Manual
2-92 SILVACO International
Modes of Operation
ATHENA i s normal l y used through the DECKBUILD run-ti me envi ronment, whi ch supports both
i nteracti ve and batch mode operati on. We recommend strongl y that you al ways use ATHENA through
DECKBBUI LD. I n thi s secti on we present the basi c i nformati on you need to run ATHENA i n the DECKBUI LD
envi ronment. The VWF INTERACTIVE TOOLS manual provi des a more detai l ed descri pti on of the features
and capabi l i ti es of DECKBUI LD.
Interactive Mode With DeckBbuild
To start ATHENA under DECKBUILD, type
deckbuild -an
at the UNIX system command prompt. The command l i ne opti on -an i nstructs DECKBUILD to start
ATHENA as the defaul t si mul ator.
I f you want to start from an exi sti ng i nput fi l e you shoul d start DECKBUILD by typi ng
deckbuild -an <input filename>
The run-ti me output shows the executi on of each ATHENA command and i ncl udes error messages,
warni ngs, extracted parameters and other i mportant output for eval uati ng each ATHENA run. When
ATHENA i s run i nteracti vel y, run ti me output i s sent to the output secti on of the DECKBUILD appl i cati on
wi ndow and can be saved as needed. You therefore do not need to save the run-ti me output expl i ci tl y.
However, the fol l owi ng command l i ne speci fi es the name of a fi l e that wi l l be used for stori ng the run-
ti me output:
deckbuild -an <input filename> -outfile <output filename>
I n thi s case the run-ti me output i s sent to the output fi l e and to the output secti on of the DECKBUILD
wi ndow.
Batch Mode With Deckbuild
To use DECKBUILD i n a non-i nteracti ve or batch mode, you add the -run parameter to the command that
i nvokes Deckbui l d. A pre-prepared command fi l e i s requi red for runni ng i n batch mode. I t i s advi sabl e
to save the run-ti me output to a fi l e, si nce error messages i n the run-ti me output woul d otherwi se be
l ost when the batch job compl etes.
deckbuild -run -an <input filename> -outfile <output filename>
Usi ng thi s command requi res a l ocal X-Wi ndows system to be runni ng. The job runs i nsi de a DECKBUILD
i con on the termi nal , and qui ts automati cal l y when the ATHENA si mul ati on i s compl ete.
You can al so run DECKBUILD usi ng a remote di spl ay:
deckbuild -run -an <input file> -outfile <output file> -display<hostname>:0.0
No Windows Batch Mode With Deckbuild
For compl etel y non-X Wi ndows operati on of DECKBUILD, the -asci i parameter i s requi red:
deckbuild -run -ascii -an <input filename> -outfile <output filename>
Thi s command di rects DECKBUILD to run the ATHENA si mul ati on wi thout any di spl ay of the DECKBUILD
wi ndow or i con.Thi s i s useful for remote executi on wi thout an X wi ndows emul ator or for repl aci ng
Uni x-based ATHENA runs wi thi n framework programs.
Tutorial
SILVACO International 2-93
When usi ng batch mode use the UNI X command suffi x & to detach the job from the current command
shel l . To run a remote ATHENA si mul ati on under DECKBUI LD wi thout di spl ay, and then l ogout from the
system, use the UNI X nohup command before the DeckBuild command l i ne:
nohup deckbuild -run -ascii -an <input filename> -outfile <output filename> &
Running ATHENA inside DeckBuild
Each ATHENA run i nsi de DECKBUILD shoul d start wi th the l i ne:
go athena
A si ngl e i nput fi l e may contai n several ATHENA runs each separated wi th a go athena l i ne. I nput fi l es
wi thi n DECKBUILD can al so contai n runs from other programs such as ATLAS or DEVEDIT al ong wi th the
ATHENA runs.
Running a given version number of ATHENA
The go statement can be modi fi ed to provi de parameters for the ATHENA run. To run versi on 4.3.0.R
the syntax i s:
go athena simflags=-V 4.3.0.R
Running ATHENA with a user-specified default parameter file
ATHENA supports the use of mul ti pl e defaul t parameter fi l es. These fi l es have the defaul t root
fi l ename athenamod. To start ATHENA wi th athenamod.97 the syntax i s:
go athena simflags=-modfile 97
Running ATHENA In Standalone Mode (Without DeckBuild)
I t i s possi bl e to run ATHENA outsi de the DECKBUILD envi ronment. However thi s i s not recommended by
Si l vaco. Users who do not want the overhead of the DECKBUI LD wi ndow can use the No Windows
Mode descri bed above. Many i mportant features such as vari abl e substi tuti on, automati c i nterfaci ng
to devi ce si mul ati on, and parameter extracti on, are not avai l abl e outsi de the DECKBUI LD envi ronment.
To run ATHENA di rectl y under UNI X use the command:
athena <input filename>
To save the run-ti me output to a fi l e, do not use the UNI X redi rect command (>), si mpl y speci fy the
name of the output fi l e:
athena <input filename> -logfile <output filename>
Note: The standard examples supplied with ATHENA will not run correctly outside of DECKBUILD.
ATHENA Users Manual
2-94 SILVACO International
Frequently Asked Questions and Answers
Overview
Thi s secti on i ncl udes topi cs coveri ng di fferent aspects of ATHENA si mul ati on. Some of these topi cs were
di scussed i n the Hi nts, Ti ps, and Sol uti ons col umn of Si l vacos newsl etter, The Si mul ati on Standard.
ATHENA TOPICS
Q. Simulating the whole process in ATHENA may take a long time. How can the process flow be
checked or tuned quickly?
A. ASeveral methods are avai l abl e i n ATHENA that enabl e you to do qui ck l ook-and-see si mul ati ons of a
compl ex process fl ow. Deci di ng whi ch method to use i n a gi ven si tuati on depends on the parti cul ar
i tems of i nterest. Three modes that can be useful are outl i ned bel ow:
1. 1D Mode - Thi s i s used to perform 1D anal ysi s at any x-l ocati on i n the 2D structure. Thi s mode
can be i nvoked from the ATHENA Mesh Initialize menu (Fi gure 2-10) by sel ecti ng the 1D box
under Dimensionality. The X Position i tem of the menu wi l l become acti ve, so you shoul d
choose the x l ocati on at whi ch the 1D anal ysi s wi l l be performed. These changes i n the menu wi l l
add two parameters to the INITIALIZE statement (ONE.D and X.LOCAT=<real>). ATHENA
automati cal l y takes i nto account al l maski ng and etchi ng steps at the speci fi ed l ocati on. Thi s
mode i s parti cul arl y useful for opti mi zati on and process tuni ng. For exampl e, i t can be used to
rapi dl y check MOS source/drai n juncti on depth or the i ntri nsi c base profi l e of a BJT
2. Geometrical Mode - I n thi s mode al l i mpuri ti es are turned off by checki ng the No I mpuri ti es
checkbox i n the ATHENA Mesh I ni ti al i ze menu. Thi s wi l l add the NO.IMPURITY parameter to the
INITIALIZE statement, di sabl i ng al l i mpl antati on and dopant di ffusi on steps. I mpuri ty
di ffusi on, whi ch usual l y l i mi ts the ti mesteps duri ng oxi dati on and uses addi ti onal equati ons, i s
not present i n the geometri cal mode. Therefore the DIFFUSION statements usual l y execute much
faster when onl y the oxi dati on i s bei ng si mul ated. Thi s mode can be used to check the geometry
generated by etchi ng and deposi ti on processes as wel l as the val i di ty of mask steps. Si nce
oxi dati on sti l l occurs, oxi de thi cknesses as wel l as bi rds beak shapes can be esti mated. However,
you shoul d be aware that dopant enhanced oxi dati on effects are not taken i nto account i n thi s
mode.
3. Coarse Grid Mode - I n thi s mode you may al ter the number of gri d poi nts wi thout changi ng the
LI NE statements. I t can be done by changi ng the Parameter Spaci ng factor i n the ATHENA Mesh
Initialize menu. Thi s wi l l change the parameter SPACE.MULT i n the INITIALIZE statement.
The val ue of SPACE.MULT i s the amount by whi ch the gri d spaci ng speci fi ed i n the ATHENA Mesh
Define menu i s mul ti pl i ed. A val ue for SPACE.MULT that i s greater than 1.0 wi l l reduce the total
number of gri d poi nts. (A SPACE.MULT val ue that i s l ess than 1.0 wi l l create a fi ner mesh
throughout the i ni ti al structure). Reduci ng the number of gri d nodes greatl y i ncreases speed. You
can sti l l observe dopant di ffusi on i n 2D, and get val uabl e i nformati on about the accuracy of the
i nput fi l e before commi tti ng to the ful l si mul ati on.
Each of these three fast modes of operati on has the advantage that they onl y requi re mi nor modi -
fi cati on duri ng mesh i ni ti al i zati on to convert a compl ete i nput fi l e to the appropri ate fast mode,
and back to normal operati on.
Q. I t is known that Silvacos device simulator ATLAS allows the simulation of device structures
with cylindrical symmetry. Does ATHENA support the grid with cylindrical symmetry?
A. Yes, you can speci fy the cyl i ndri cal coordi nate system i n the INITIALIZE statement (choose
Cyl i ndri cal i n the ATHENA Mesh Initialize menu). The axi s of symmetry i s al ways at x=0.
Tutorial
SILVACO International 2-95
ATHENA/SSUPREM4 Topics
Q. I n some cases the grid within oxide generated during the oxidation step is very coarse. Does
this affect accurate estimation of dopant segregation? Does the shape of the oxide region
depend on the quality of internal grid? I s it possible to control the grid during oxidation?
A. The thi ckness of gri d l ayers duri ng oxi dati on i s control l abl e. Two parameters of the METHOD
statement affect the oxi de gri d: GRID.OXIDE and GRIDINIT.OXIDE. GRID.OXIDE speci fi es the
maxi mum gri d l ayer thi ckness (i n mi crons). GRIDINIT.OXIDE speci fi es the maxi mum thi ckness of
the very fi rst gri d l ayer generated i n the growi ng oxi de. For both parameters, the defaul t i s 0.1
mi crons. These defaul ts are reasonabl e for si mul ati on of thi ck (0.6 - 1.0 ) fi el d oxi de growth.
However, for thi nner oxi des these parameters shoul d be decreased. For exampl e, i f an 0.025 gate
oxi de i s growi ng, i t i s a good i dea to set GRIDINIT.OXIDE to 0.005 and GRID.OXIDE to 0.01. Thi s
al l ows a better si mul ati on of i mpuri ty segregati on and a more accurate predi cti on of the i mportant
surface dopi ng concentrati on parameter under the gate. These parameters shoul d be chosen
extremel y careful l y. I f you set a smal l val ue of GRID.OXIDE for thi ck oxi de, i t wi l l resul t i n a
consi derabl e sl owi ng down because as thi s parameter i s decreased, ti me steps are shortened and more
gri d poi nts are generated.
Q. I n some cases oxidation of a complex structure fails right in the very first time step. How can
this situation be fixed?
A. ATHENA uses a speci al al gori thm for deposi ti ng a nati ve oxi de l ayer on the oxi di zi ng surface. Thi s
al gori thm someti mes fai l s when usi ng hi ghl y nonpl anar surfaces. Thi s can be fi xed by the sel ecti on of
a thi nner nati ve oxi de usi ng the INITIAL parameter i n the OXIDE statement. Defaul t i s 0.002
mi crons. Decreasi ng thi s val ue down to 0.001 mi crons, or even l ess, may hel p overcome the probl em.
Di rect deposi t of nati ve oxi de coul d al so be used.
Q. The relative oxidation rate of polysilicon compared to silicon varies depending on the
properties of the polysilicon and the oxidizing ambient. How is this modeled in ATHENA/
SSUPREM4?
A. The oxi dati on rate coeffi ci ents i n ATHENA/SSUPREM4 are speci fi ed separatel y for bul k si l i con and
pol ysi l i con. Thi s al l ows the user to tune the growth rates on the two materi al s i ndependentl y. For
exampl e, to change the hi gh temperature l i near steam oxi dati on rate for si l i con, the fol l owi ng syntax
may be used:
OXIDE SILICON WET LIN.H.0=<real> LIN.H.E=<real>
wher eas for pol ysi l i con the syntax i s:
OXIDE POLY WET LIN.H.0=<real> LIN.H.E=<real>
Q. When simulating a structure with a heavily doped polysilicon gate, unreasonably high
concentration of the impurity is sometimes observed at silicon/ oxide interface under the gate.
I s it possible to avoid this situation?
A. The i mpuri ty transport through oxi de i s control l ed by the i mpuri ty di ffusi on coeffi ci ents wi thi n oxi de
and the i mpuri ty transport coeffi ci ents at the pol y/oxi de and oxi de/si l i con boundari es. Not al l of these
coeffi ci ents are wel l characteri zed. I f you know that for your process the i mpuri ty di ffusi on through
oxi de i s negl i gi bl e, you may prevent the i mpuri ty transport from pol ysi l i con through oxi de i nto the
substrate by speci fyi ng zero transport coeffi ci ent as fol l ows:
<IMPURITY NAME> POLY /OXIDE TRN.0=0.0
I f the i mpuri ty concentrati on at the gate oxi de/si l i con i nterface i s measured, you can use the
measured val ue for tuni ng the TRN.0 parameter.
Q. I n which cases should the viscous oxidation model with stress dependence be used? Which
parameters should be tuned to match experimental shape of the grown oxide?
A. The vi scous stress-dependent model i s descri bed i n Chapter 3. There are al so two exampl es i n the
ATHENA/SSUPREM4 secti on of the DECKBUILD: Exampl es l oader whi ch demonstrate use of the model

ATHENA Users Manual


2-96 SILVACO International
for LOCOS and SWAMI i sol ati on processes. The fol l owi ng consi derati ons shoul d be kept i n mi nd when
usi ng thi s model .
1. The stress-dependent vi scous oxi dati on model i s an extremel y ti me consumi ng si mul ati on
method. Therefore i t shoul d be used onl y when i t i s absol utel y necessary and al ternati ve
approaches fai l .
2. Typi cal cases for use of the model are those where a ki nked oxi de surface i s observed and when
the si mul ated bi rds beak i s l onger than the measured one.
3. I n some cases, the al ternati ve compress method wi th i ncreased Youngs modul us for ni tri de coul d
gi ve a reasonabl e shape (see the User Defi ned Materi al s exampl e i n the ATHENA/SSUPREM4 sub-
secti on).
4. The gri d for the stress-dependent vi scous oxi dati on shoul d be as si mpl e as possi bl e, but i t cannot
be too coarse i n the di recti on of oxi dant di ffusi on (x-di recti on i n the case of si mpl e LOCOS).
5. The hi gher than defaul t rel ati ve error for oxi dati on rate shoul d be chosen to al l ow faster
convergence:
METHOD OXIDE.REL = 0.01
6. The mai n parameter for tuni ng the model i s ni tri de vi scosi ty, whi ch i s speci fi ed i n the NITRIDE
statement:
MATERIAL NITRIDE VISC.0=<real>
The hi gher the ni tri de vi scosi ty the str onger the str ess dependence. I t i s i mpor tant to know that
ni tri de vi scosi ty depends on the oxi dati on temperature as wel l . You may use the parameter
VI SC.E when the temperature dependence of the oxi de shape i s consi dered.
7. Model parameters VC, VR, and VD (see Eqs.3-75 - 3-77) can al so be used for tuni ng. Defaul t
parameter val ues are reasonabl e for temperatures of 1000 C and hi gher. For several test
structures the al ternati ve set of these parameter val ues (VC=300, VD=60, and VR=12.5) are more
appropri ate for l ower temperatures (~950
o
C).
Q. How can a self-aligned silicide process be modeled in SSUPREM4? Are there any special model
parameters required?
A. The formati on of metal si l i ci des can be si mul ated usi ng the opti onal si l i ci de modul e i n SSUPREM4. I n a
typi cal sel f-al i gned si l i ci de (sal i ci de) process, the goal i s to form a si l i ci de l ayer on the pol ysi l i con gate
and MOS source/drai n regi ons. The si l i ci de l ayer i n the source and drai n regi ons permi ts devi ce
desi gns wi th shal l ow juncti ons that sti l l have l ow n+ or p+ sheet resi stances. On the gate, the si l i ci de
l ayer forms a l ow resi stance i nterconnect. The process i s sel f-al i gned si nce the oxi de spacer on the
gate si dewal l i s used to prevent the si l i ci de shorti ng gate to drai n.
The usual sequence for sal i ci de i s to deposi t a refractory metal l ayer. Commonl y used metal s are
ti tani um, tungsten, and pl ati num. Then a short, fai rl y l ow temperature heat cycl e i s appl i ed to react
the metal wi th the si l i con and pol ysi l i con. The remai ni ng metal i s then etched away.
The SSUPREM4 syntax used to model si l i ci dati on seems very natural to an experi enced user. For
ti tani um si l i ci de, for exampl e, the syntax i s:
DEPOSIT TITANIUM THICK=0.1 DIV=8 DIFFUSE TIME=5 TEMP=650 \
ETCH TITANIUM ALL
SSUPREM4 al so supports the materi al parameters TUNGSTEN and PLATINUM wi th si mi l ar syntax. The
resul ts of a sal i ci de si mul ati on are that a ti tani um l ayer i s formed correctl y i n the source/drai n and
gate areas, wi th no r eacti on wi th the oxi de spacer.
No speci al model syntax needs to be used wi th the si l i ci de modul e i n order to achi eve the si l i ci dati on.
However, a good parameter to be aware of i s GRID.SIL on the METHOD statement. Thi s control s gri d
spaci ng wi thi n the si l i ci de l ayer as i t grows. Thi s i s si mi l ar to the way the GRID.OX parameter
control s the gri d wi thi n thermal l y grown oxi des.
Tutorial
SILVACO International 2-97
Q. What other effects does the silicide module cover?
A. The si l i ci de modul e offers more than just predi cti ons of the geometri c shape of the si l i ci de l ayer. The
other common appl i cati ons are:
Dopant redi stri buti on wi thi n the si l i ci de l ayer - Thi s i s very i mportant due to the very hi gh
di ffusi vi ti es of dopant speci es i n si l i ci des. For exampl e, boron di ffusi on i n both ti tani um and
tungsten si l i ci des shows that boron di ffuses qui ckl y i n WSi x, but more sl owl y i n Ti Si
x
due to
Ti B formati on.
Di storti on of the oxi de spacer and other l ayers caused by the si l i ci de growth - I n contrast to
oxi de growth, the metal /si l i con reacti on produces a vol umetri c contracti on.
Poi nt defect i njecti on i nto the substrate duri ng si l i ci dati on - Thi s enhances the di ffusi on of
speci es i n si l i con.
Checki ng for fai l ure mechani sms - A common case i s i f the si l i ci dati on i s performed at too hi gh
a temperature, the metal wi l l react wi th the oxi de spacer to short the source and drai n to the
gate.
ATHENA Users Manual
2-98 SILVACO International
This page intentionally left blank.
Chapter 3:
SSUPREM4 Models
SILVACO International 3-1
Diffusion Models
A di ffusi on model i n ATHENA descri bes how i mpl anted profi l es of dopants/defects
1
redi stri bute
themsel ves duri ng thermal treatment due to concentrati on gradi ents and i nternal el ectri c fi el ds.
Note: In the following sections, the terms impurity and dopant shall be used interchangeably,
although an impurity need not necessarily be a dopant. Likewise, defect shall mean the same as point
defect, unless otherwise indicated in the context.
1
Pair Diffusion
Di ffusi on of dopants and poi nt defects i n SSUPREM4 i s descri bed by a number of user-speci fi abl e
model s, the thr ee most basi c of these bei ng:
The fermi di ffusi on model .
The two di mensi onal di ffusi on model .
The ful l y coupl ed di ffusi on model .
Al l of the three model s above rel y on the concept of pair diffusion, whi ch says that a dopant atom
cannot di ffuse on i ts own i t needs the assi stance of a poi nt defect (a si l i con sel f i ntersti ti al or a l atti ce
vacancy) i n the near vi ci ni ty as a di ffusi on vehi cl e. I f there i s a non-vani shi ng bi ndi ng energy between
the two, they can move as one enti ty a pai r through a number of jumps and i nversi on cycl es before
eventual l y breaki ng up. When speaki ng of dopant di ffusi vi ty wi thi n the scope of these model s, one
actual l y means the di ffusi vi ty of the pai r as a whol e. A poi nt defect, on the other hand, can ei ther
di ffuse freely, or as a parti ci pant i n a dopant-defect pai r, and the di ffusi vi ty of a free poi nt defect can,
as a matter of fact, be very much di fferent from the di ffusi vi ty of a poi nt defect i n a pai r.
The model s are natural extensi ons of each other i n the sense that the fermi model i s i ncl uded i n the
two di mensi onal model , whi ch, i n turn, i s i ncl uded i n the ful l y coupl ed model . The most si gni fi cant
di fference between them i s the way poi nt defects are represented and treated throughout the
si mul ati on, and how the speci fi c dopant di ffusi vi ty i s formul ated.
fermi diffusion:
The poi nt defect popul ati ons are assumed to be i n thermodynami cal equi l i bri um and thus need no
di rect representati on. Al l effects of the poi nt defects on dopant di ffusi on are bui l t i nto the pai r di f-
fusi vi ti es. The advantage of usi ng the fermi di ffusi on model i s fast executi on. Si nce poi nt defects
are not di rectl y represented, onl y the di ffusi on of dopants needs to be si mul ated. I n addi ti on, the
sol vi ng i s faci l i tated due to the avoi dance of numeri cal stiffness. However, si nce poi nt defects are
not di rectl y si mul ated, the fermi model cannot deal wi th a si tuati on i n whi ch the defect popul a-
ti ons are not i n equi l i bri um throughout the si mul ati on, renderi ng i t usel ess for OED (oxi dati on
enhanced di ffusi on) and a scenari o wi th i ni ti al hi gh i mpl ant damage.
two dimensional diffusion:
I n thi s model the poi nt defect popul ati ons are expl i ci tl y represented and evol ved i n ti me. I f there i s
a super/supra saturati on of poi nt defects, i t wi l l affect the dopant di ffusi vi ty through a si mpl e scal e
factor, whi ch goes to uni ty as the actual defect concentrati on approaches the equi l i bri um defect
concentrati on. Therefore, wi th equi l i bri um defect profi l es, the two di mensi onal model merel y
reproduces the fermi model , al bei t i n a more computati onal l y i neffi ci ent manner, si nce sol vi ng for
poi nt defects i s stri ctl y not requi red. The pai r coupl i ng between defects and dopants i n thi s model
ATHENA Users Manual
3-2 SILVACO International
i s assumed to be one-way. The di ffusi on of dopants i s hi ghl y i nfl uenced by the di ffusi on of poi nt
defects, whi l e the di ffusi on of the poi nt defects, on the other hand, i s regarded as total l y i ndepen-
dent of dopant di ffusi on. Stated i n physi cal terms, thi s corresponds to a pai ri ng between defects
and dopants wi th zero bi ndi ng energy.
fully coupled diffusion:
The ful l y coupl ed di ffusi on model , as ori gi nal l y i mpl emented i n Stanford SUPREM-I V, i s total l y
i denti cal to the two di mensi onal model wi th the one i mportant excepti on that the di ffusi on of the
defects i s now i nfl uenced by the di ffusi on of the dopants by the addi ti on of the joi nt pai r fl uxes to
the fl ux terms i n the governi ng equati on of the defects. Thus, there i s now a true two-way i nterac-
ti on between the di ffusi on of dopants and the di ffusi on of poi nt defects, whi ch i s what has gi ven
thi s model i ts name. The ful l y coupl ed model i s sl i ghtl y more CPU-i ntensi ve than the two di men-
si onal model , but encompasses the capabi l i ty of reproduci ng certai n i mportant aspects of semi con-
ductor processi ng such as the emi tter push effect i n the case of phosphorus di ffusi on.
From a physi cal vi ewpoi nt, however, thi s ori gi nal ful l y coupl ed model suffers from the shortcomi ng
of not expl i ci tl y representi ng pai rs, and the consequenti al l ack of a subdi vi si on of defects and
dopants i nto pai red and non-pai red fracti ons. Therefore, thi s model cannot reproduce the satura-
ti on of the dopant di ffusi vi ty that i s bel i eved to occur at very hi gh damage concentrati on due to a
total pai ri ng of dopants. I n other words, the model rel i es on the dilute approximation, i .e., the
assumpti on that the concentrati on of pai rs i s much smal l er than both the dopant and the defect
concentrati ons.
Furthermore, al l three model s make use of the fol l owi ng assumpti ons:
Bol tzmann stati sti cs are val i d and there are no external sources of carri ers, whi ch justi fi es the use
of the fol l owi ng expressi on for the potenti al : [2]
3-1
I n cases where thi s assumpti on i s not true, one needs to sol ve Poi ssons equati on to cal cul ate the
potenti al :
3-2
where:
i s the potenti al ,
i s the permi tti vi ty, and
i s the total charge densi ty.
El ectroni c processes take pl ace on a ti mescal e whi ch i s much smal l er than the ti mescal e of al l
other processes (adi abati cal approxi mati on).
The pai ri ng reacti on between dopants and defects i s assumed to al ways be i n equi l i bri um. Thi s
mi ght very wel l not be the case especi al l y at a l ow temperature but woul d pose a much harder
and CPU-i ntensi ve numeri cal probl em to sol ve.
Mobi l e dopants are el ectri cal l y acti ve and vi ce versa.
Model s that expl i ci tl y take pai r popul ati ons i nto account have been i mpl emented by vari ous research
groups, but al l these model s suffer from the i mpai ri ng l ack of wel l establ i shed experi mental data for
bi ndi ng energi es, pai ri ng coeffi ci ents, etc., for whi ch reason the predi ctabi l i ty of these model s i s
questi onabl e.
q
kT
------ -
n
n
i
----
,
_
ln =
( ) =

SSUPREM4 Models
SILVACO International 3-3
The l ack of data, especi al l y for the ener gy l evel s of the di ffer ent char ge states of the poi nt defects i n
the bandgap at typi cal di ffusi on temperatures, poses a seri ous gap i n our knowl edge. Some of these
energy l evel s have been measured i n l ow temperature experi ments such as DLTS (deep l evel transi ent
spectroscopy), but no one knows how these l evel s adjust themsel ves rel ati ve to the band edges when
the bandgap narrows as a functi on of i ncreasi ng temperature. Van Vechten has theoreti cal l y argued
that the acceptor states (0/- and -/=) and the donor states (+/++ and +/0) of the mono vacancy fol l ow the
conducti on band edge wi th i ncreasi ng temperature. Mathi ot, on the other hand, chooses to scal e the
posi ti ons of the energy l evel s rel ati ve to the band edges wi th the si ze of the band gap.
I n addi ti on to the model s descri bed above, whi ch are al l speci fi c for dopants and poi nt defects i n
si l i con, there i s a smal l er number of hardcoded model s that are used for other materi al s such as oxi de,
pol y, etc.
Mathematical Description
The mathemati cal defi ni ti on of a di ffusi on model i ncl udes the speci fi cati on of:
a conti nui ty equati on (often cal l ed a di ffusi on equati on),
one or more fl ux terms, and
a set of boundary and i nterregi onal i nterface condi ti ons,
for every di ffusi ng speci es present. I n the case of i mpuri ty di ffusi on i n semi conductors, we thus need
such a set of equati ons for every dopant present and for each type of poi nt defect, i f poi nt defects are
expl i ci tl y represented i n the model . Si nce dopants can onl y di ffuse as parti ci pants i n dopant-defect
pai rs, the dopant conti nui ty equati on i s actual l y a conti nui ty equati on for defect-dopant pai rs.
I n the fol l owi ng secti ons the standard notati on found i n l i terature for vari ous parti cl es and other
physi cal enti ti es are used:
where x desi gnates the neutral charge state. Al so, when i ndi cati ng that a physi cal enti ty or
parameter i s temperature-dependent, and no formul a for thi s dependency i s gi ven, i t shal l be assumed
to be i n the form of an arrhenius expression:
Q(T) = Q.0
exp
3-3
unl ess otherwi se menti oned.
where
Q.0 i s the prefactor,
Q.E i s the activation energy,
Table 3-1. Notational standards in diffusion literature.
Physical Entity Generic Symbol Replacement Values
Dopant A B, P, As, Sb, ...
Point Defect X I, V
Charge State c x, -, =, +, ++
Q E

k
B
T
------------
,
_
ATHENA Users Manual
3-4 SILVACO International
k
B
i s the Boltzmann constant, and
T i s the absolute temperature.
Generic Equations:
The basi c continuity equation for the di ffusi on of some parti cl e speci es, P, i n a pi ece of
semi conductor materi al i s a si mpl e second order Fi cks equati on [6]:
, 3-4
where:
C
P
i s the total parti cl e concentrati on and
the term S on the ri ght hand si de accounts for al l source and si nk terms.
A conti nui ty equati on merel y expresses parti cl e conservati on: the ti me rate of change of the number of
parti cl es i n a uni t vol ume equal s the number of parti cl es that l eave the vol ume through di ffusi on pl us
the number of parti cl es that are ei ther created/anni hi l ated i n the vol ume due to vari ous source and
si nk terms. The flux term, J
P
, i s gi ven by
3-5
i n whi ch C
P
+ desi gnates the mobi l e, as wel l as the el ectri cal l y charged fracti on of C
P
, and i s the
mobi l i ty. The equati on above says that i n semi conductor di ffusi on probl ems, there are general l y two
contri buti ons to the parti cl e fl ux:
An entropy dri ven term whi ch i s proporti onal to the concentrati on gradi ent of mobi l e parti cl es. The
constant of proporti onal i ty, D
P
, i s cal l ed the diffusivity.
An el ectri c dri ft term whi ch i s proporti onal to the l ocal el ectri c fi el d. Noti ce that i f there are several
types of el ectri cal l y charged speci es present, thi s term establ i shes a coupl i ng between them, si nce
al l charged parti cl es both contri bute to and are i nfl uenced by the l ocal el ectri c fi el d.
I t shoul d al so be observed that Equati on 3-5 i s non-l i near, si nce both the di ffusi vi ty D
P
and the el ectri c
fi el d E i n general depends on the concentrati on of al l present speci es.
I n thermodynami cal equi l i bri um, the Ei nstei n rel ati on rel ates mobi l i ty and di ffusi vi ty through the
expressi on . Substi tuti ng for i n 1.5, wri ti ng the parti cl e charge as a si gned i nteger, Z
P
, ti mes
the el ementary charge, e, and usi ng E = gi ves us the fi nal fl ux expressi on:
3-6
Boundary conditions are of mi xed type, whi ch i s expressed mathemati cal l y as:
, 3-7
where (, ) are real numbers and
n
C

desi gnates the fl ux of C


P
across the boundary. The ri ght hand
term accounts for al l source terms on the boundary.
C
P
t
---------- J
P
( ) S + =
J
P
D
P
C ( )C
P
+
C
P
+
+ E =
D
kT
q
------- =
J
P
D
P
C ( ) C
P +
Z
P
+ C
P +
e
kT
------ -
,
_
=
C
P

n
C
P
+ R =
SSUPREM4 Models
SILVACO International 3-5
Boundary condi ti ons are used:
at the top of the si mul ati on regi on (the surface), and
at i nterregi onal i nterfaces for whi ch the speci es i n questi on onl y has a meani ngful exi stence i n one
of the regi on materi al s (e.g. an i ntersti ti al on a si l i con/oxi de i nterface.)
Interface conditions are used on i nterregi onal i nterfaces for speci es that can exi st i n both regi on
materi al s. For every such i nterface one must speci fy:
a concentrati on jump condi ti on, and
a fl ux jump condi ti on.
The concentrati on jump condi ti on accounts for di sconti nui ti es i n parti cl e concentrati ons across
i nterfaces and encompasses such phenomena as segregati on
2
and sol i d sol ubi l i ty rati os of speci es i n
di ffer ent mater i al s. When the opti on ILFEM=TRUE i s set i n the METHOD statement, the concentrati on
jump condi ti on taci tl y makes an i nstant equi l i bri um assumpti on wi th respect to how the jump i s
establ i shed, correspondi ng to an i nfi ni tel y hi gh transport vel oci ty of parti cl es across the i nterface.
Thus, i t i s not possi bl e to model transi ent segregati on phenomena wi th thi s opti on on.
The fl ux jump condi ti on, enabl es the formul ati on of i nterface source and si nk terms such as surface
recombi nati on, parti cl e i njecti on, and parti cl e pi l e-up at a movi ng i nterface.
For al l speci es, zero fl ux boundary condi ti ons are empl oyed on the si des and at the bottom of the
si mul ati on structure. Thi s i s hardwi red i nto the software and thus cannot be set by the user.
Note:
2
Segregation: particle transport across material interfaces due to differences in solid solubility.
The Fermi Model
The fermi model i s turned on by the command:
METHOD FERMI
I n the fermi model , each dopant obeys a conti nui ty equati on of the form:
3-8
where the factor i nsi de the l ogari thm accounts for the el ectri c fi el d effect. I n SSUPREM4 the
i ntri nsi c carri er concentrati on, n
i
, i s cal cul ated as:
3-9
wher e , , and are speci fi ed i n the MATERIAL statement by the parameters: NI.0, NI.E,
and NI.POW, respecti vel y. Z accounts for the di recti on of the el ectri cal force vector on the di ffusi ng
parti cl e and shoul d be +1 for donors and 1 for acceptors.
C
A
t
---------- D
AV
D
AI
+ ( )C
A +
C
A +
n
n
i
----

,
_
Z
ln =
n
n
i
----
n
i
n
i
o
n
i
E
kT
-------
,
_
T
n
ipow
exp =
n
i
o
n
i
E
T
n
i pow
ATHENA Users Manual
3-6 SILVACO International
D
AV
and D
AI
are the joi nt contri buti ons to the dopant di ffusi vi ty from dopant-vacancy and dopant-
i ntersti ti al pai rs i n di fferent charge states [5]. The terms depend on both the posi ti on of the fermi
l evel as wel l as temperature, and are expressed as:
3-10
3-11
where the temperature dependency i s embedded i n the i ntri nsi c pai r di ffusi vi ti es, whi ch are speci fi ed
by arrhenius expressi ons of the type:
3-12
The names of the SSUPREM4 parameters for the prefactors and acti vati on energi es of the vari ous
i ntri nsi c pai r di ffusi vi ty terms can be l ooked up i n the fol l owi ng tabl e.
Pai r charge states beyond two are very unl i kel y to occur, for whi ch reason they have been omi tted
al together. Al so, for most dopants, sel doml y more than three of the terms above are non-vani shi ng.
Table 3-2. Table of intrinsic pair diffusivities for different pair types.
Pair Charge State Prefactor Activation Energy
AV x DVX.0 DVS.E
AV - DVM.0 DVM.E
AV = DVMM.0 DVMM.E
AV + DVP.0 DVP.E
AV ++ DVPP.0 DVPP.E
AI x DIX.0 DIX.E
AI - DIM.0 DIM.E
AI = DIMM.0 DIMM.E
AI + DIP.0 DIP.E
AI ++ DIPP.0 DIPP.E
D
AV
T
n
n
i
---- ( , ) D
AV
x
D
AV
n
n
i
----
,
_
1
D
AV
= n
n
i
----
,
_
2
D
AV
+ n
n
i
----
,
_
1
D
AV
n
n
i
----
,
_
2
+ + + + =
++
D
AI
T
n
n
i
---- ( , ) D
AI
x
D
AI

n
n
i
----
,
_
1
D
AI
= n
n
i
----
,
_
2
D
AI
+ n
n
i
----
,
_
1
D
AI
n
ni
---- -
,
_
2
+ + + + =
++
D
AX
c
D
AXo
c
exp
D
AX
E
c
kT
-------------
,

_
=
SSUPREM4 Models
SILVACO International 3-7
Impurity Segregation Model
For dopants, boundary and i nterface condi ti ons consi st of two contri buti ons: one from segregati on and
one from dopant pi l e-up at movi ng i nterfaces. The l atter contri buti on cannot be mani pul ated by the
user, so onl y the former contri buti on i s descri bed i n thi s text.
I n mul ti l ayer structures, dopant segregati on across materi al i nterfaces must be consi dered. Such
i nterfaces can represent ei ther a sol i d/sol i d i nterface or a gas/sol i d i nterface (the surface). I nterface
segregati on i s model ed empi ri cal l y by a fi rst order ki neti c model for the i nterregi onal fl ux:
, 3-13
where C
1
and C
2
are the parti cl e concentrati ons i n the i mmedi ate vi ci ni ty of the i nterface i n the two
regi ons, respecti vel y. h
12
, the transport velocity, and M
12
, the segregation coefficient, are two
temperature-dependent parameters that account for the rate of parti cl e transport across i nterfaces
and the rati o between the sol ubi l i ty of the i mpuri ty i n each regi on materi al . I n accordance wi th the
earl i er di scussi on of i nstant equi l i brati on across i nterfaces, h
12
has no effect when the opti on METHOD
ILFEM = TRUE i s set. Otherwi se, i t control s the rate at whi ch parti cl es fl ow across the i nterface. The
segregati on coeffi ci ent, M
12
i s defi ned as:
3-14
From Equati on 3-14 we i mmedi atel y get the i denti ty,
, 3-15
and after a l i ttl e al gebrai c mani pul ati on of Equati ons 3-13 and 3-15, we get a si mi l ar rel ati on for the
transport vel oci ty,
, 3-16
Thus, for every (dopant, materi al 1, materi al 2) combi nati on, i t i s not necessary to store segregati on
parameters for both orderi ngs of the materi al s when one i s known the other can be generated, and
that i s exactl y what ATHENA does.
The enti ti es, h and M can be speci fi ed i n the IMPURITY statement by setti ng the fol l owi ng parameters
Two materi al names separated by a forward sl ash are used to i ndi cate the combi nati on and orderi ng of
materi al s for whi ch these parameters are speci fi ed, e.g.
Table 3-3. System Parameters.
Physical Entity Prefactor SEG.E
M SEG.0 SEG.E
h TRANS.0 TRANS.E
F
s
h
12
C
1
M
12
--------- C
2

,
_
=
M
12
s ol i d sol ubi l i ty of i mpur i ty i n mater i al
1
s ol i d sol ubi l i ty of i mpur i ty i n mater i al
2
------------------------------------------------------------------------------------------------------------ =
M
21
1
M
12
---------- =
h
21
M
12
h
12
=
ATHENA Users Manual
3-8 SILVACO International
IMPURITY I.PHOSPHORUS SILICON /OXIDE SEG.0=30 TRN.0=1.66E7
Si nce the poi nt defect popul ati ons by defi ni ti on are assumed to be i n equi l i bri um i n the fermi model ,
there are no separate conti nui ty or boundary condi ti on equati ons for these speci es. Addi ti onal l y,
nei ther the vacancy concentrati on, C
I
, nor the i ntersti ti al concentrati on, C
V
, appear expl i ci tl y i n
Equati ons 3-8, 3-10, and 3-11.
The Two Dimensional Model
The two di mensi onal model i s turned on by the command:
METHOD TWO.DIM.
The two di mensi onal model i s based on the fermi model but al l ows for the expl i ci t representati on and
evol uti on of non-equi l i bri um poi nt defect popul ati ons, so there are three di fferent sets of governi ng
equati ons: one for dopants and one for each type of poi nt defect.
Dopants
The conti nui ty equati on for dopants i n thi s model i s:
3-17
where C
X
i s the actual poi nt defect concentrati on, and desi gnates the correspondi ng equi l i bri um
poi nt defect concentrati on and i s wei ghted contri buti on of i ntersti ti al and
vacancy assi sted mechani sms of di ffusi on esti mated through the empi ri cal i ntersti ti al cy factor f
I
whi ch i s assumed to be temperature-dependent through Arrhenius expressi on wi th parameters
speci fi ed i n the IMPURITY statement. Noti ce that the total di ffusi vi ty has now become dependent on
the non-equi l i bri um poi nt defect popul ati ons by mul ti pl yi ng the pai r di ffusi vi ti es from Equati on 3-8 by
the normal i zed defect concentrati ons, , and , respecti vel y [155]. Al though thi s dependency i s of
a phenomenol ogi cal character, i t seems reasonabl e, and i s the one used by most di ffusi on si mul ators to
account for the di ffusi on enhancement of dopants duri ng oxi dati on enhanced di ffusi on (OED) or
transi ent enhanced di ffusi on (TED).
The new term wi thi n the gradi ent operator i s cal l ed the external defect ratio term and i s i mportant
over the part of the dopant profi l e where the normal i zed poi nt defect concentrati on changes abruptl y.
I t shoul d be kept i n mi nd that the poi nt defect equi l i bri um concentrati ons are temperature as wel l as
fermi l evel dependent, and may be cal cul ated from the fol l owi ng expressi ons.
3-18
3-19
C
A
t
----------
X I V , =

R
IV
D
AX
( )C
A +
Z
A
C
A +
C
X
C

---------
n
n
i
----
,
_
,
_
ln =
C
X

R
IV
f
I
C
I
C

I
-------- 1 f
I
( )
C
V
C

V
---------- + =
C
V
C
V

--------
C
I
C
I

--------
C
X
C
X

--------
C
V

C
V
i
n eu n eg +
n
n
i
----
,
_
1 +
dn eg
n
n
i
----
,
_
2 +
pos
n
n
i
----
,
_
1
dpos
n
n
i
----
,
_
2
+ + +
n eu n eg dn eg pos dpos + + + +
------------------------------------------------------------------------------------------------------------------------------------------------- =
C
I

C
I
i
n eu neg +
n
n
i
----
,
_
1 +
dn eg
n
n
i
----
,
_
2 +
pos
n
n
i
----
,
_
1
dpos
n
n
i
----
,
_
2
+ + +
n eu n eg dn eg pos dpos + + + +
----------------------------------------------------------------------------------------------------------------------------------------------- =
SSUPREM4 Models
SILVACO International 3-9
The enti ti es and are the equi l i bri um defect concentrati ons under i ntri nsi c condi ti ons, and
si mi l arl y the wei ght factors neu, neg, dneg, pos, and dpos account for the di stri buti on of defects of
di fferent charge states under i ntri nsi c condi ti ons. Al l of these are assumed to be temperature
dependent through arrhenius expressi ons of the type:
3-20
wher e the pr efactor s and acti vati on ener gi es may be speci fi ed by the user i n the VACANCY and
INTERSTITIAL statements by assi gni ng val ues to the fol l owi ng ATHENA parameters
For dopants, the boundary and i nterface condi ti ons are i denti cal to the ones stated i n the fermi model .
Interstitials
The i ntersti ti al profi l e i s evol ved accordi ng to the fol l owi ng conti nui ty equati on:
3-21
where R i s the bul k recombi nati on rate. Bul k recombi nati on i s a si mpl e reacti on between vacanci es
and i ntersti ti al s and i s expressed as:
3-22
K
r
i s the bulk combination coeffi ci ent that can be set usi ng the parameters KR.0 and KR.E i n the
INTERSTITIAL statement. The fl ux expressi on for i ntersti ti al s [5] i s:
3-23
Table 3-4. Parameters for charge statistics and intrinsic point defect
concentrations.
Entity Prefactor Activation Energy
neu NEU.0 NEU.E
neg NEG.0 NEG.E
dneg DNEG.0 DNEG.E
pos POS.0 POS.E
dpos DPOS.0 DPOS.E
CSTAR.0 CSTAR.E
C
V
i
C
I
i
n eu neu
0
exp
n eu
E
kT
------------
,
_
=
C
X
i
C
I
t
--------- J
I
( ) R
C
ET
t
------------- R
311 > <
+ + =
R K
r
C
I
C
V
C
I

C
V

( ) =
J
I
D
I
C
I

C
I
C
I

--------
,

_
=
ATHENA Users Manual
3-10 SILVACO International
whi ch correctl y accounts for the effect of an el ectri c fi el d on the charged porti on of the i ntersti ti al s by
taki ng the gradi ent of the normal i zed i ntersti ti al concentrati on, . D
I
i s the di ffusi vi ty of free
i ntersti ti al s, not to be confused wi th the pair di ffusi vi ty D
AI
, whi ch was menti oned i n the secti on
descri bi ng the fermi model . D
I
can be set usi ng the statement,
INTERSTITIAL SILICON D.0=<n> D.E=<n>.
The term, i n Equati on 3-21 accounts for the capture and emi ssi on of i ntersti ti al s by traps. The
trap i nteracti on model was fi rst i ntroduced by Gri ffi n [10] i n order to expl ai n some of the wi de vari ety
of di ffusi on coeffi ci ents extracted from di fferent experi mental condi ti ons. The trap equati on, whi ch
descri bes the evol uti on of the empty trap popul ati on i n ti me, i s:
3-24
where:
C
T
i s the total trap concentrati on set by the TOTAL parameter i n the TRAP statement,
K
T
i s the trap capture rate,
C
ET
i s the empty trap concentrati on,
C
I
i s the i ntersti ti al concentrati on,
i s the equi l i bri um i ntersti ti al concentrati on, and
e* i s the equi l i bri um empty trap to total trap rati o, .
The trap equati on i s deri ved from the si mpl e reacti on:
3-25
or posed as a r ate equati on:
Table 3-5. Parameters for interstitial traps.
Entity Prefactor Activation Energy
K
T
KT.0 KT.E
e
*
FRAC.0 FRAC.E
C
T
TOTAL
C
I
C
I

--------
C
ET
t
-------------
C
ET
t
------------- K
T
C
ET
C
I
e

1 e

---------------- C
I

C
T
C
ET
( ) =
C
I

C
ET

C
T

I T I T +
SSUPREM4 Models
SILVACO International 3-11
3-26
where K
r
i s the trap emi ssi on rate. I n equi l i bri um the l eft hand si de of Equati on 3-26 must vani sh,
whi ch yi el ds:
3-27
Substi tuti ng thi s val ue for K
r
i nto Equati on 3-26 then l eads to the expressi on i n Equati on 3-24. When
i nspecti ng the empty trap pr ofi l e i n e.g., TONYPLOT, one shoul d be aware of the fact that i t i s normal i zed
to the total trap concentrati on.
The term R
<311>
i n Equati on 3-21 accounts for the rel ease rate of < 311 >interstitial clusters, whi ch
are smal l , rod-l i ke defects, that have been observed i n TEM studi es after medi um to hi gh dose
i mpl antati on of i mpuri ti es i nto si l i con. Si nce a l arge fracti on, i f not al l , of the excess i ntersti ti al s after
i mpl antati on are bel i eved to exi st, [3] i n thi s form, the ti me scal e for di ssol uti on of < 311 >s pl ays a key
rol e for the durati on of TED.
These vol ume defects may be thought of as smal l pockets of i ntersti ti al s, di stri buted throughout
certai n parts of the doped regi ons, whi ch are rel eased duri ng anneal i ng, thus acti ng as bul k sources of
poi nt defects.
Note:
3
Actually, < 311 > defects are believed to form from excess free interstitials during the earliest
part of the annealing cycle through a process called Ostwald ripening, but, in the present context they
may be considered as existing immediately after the implantation.
The cl uster rel ease rate obeys a si mpl e exponenti al decay i n ti me, speci fi ed by:
3-28
where f(x) i s the as-i mpl anted profi l e of < 311 > cl usters and i s an arrhenius type temperature
dependent ti me constant that may be speci fi ed i n the CLUSTER statement (see bel ow). Whether thi s
term wi l l be acti ve or not i n Equati on 3-21 depends on previ ous i mpl ant condi ti ons. I n order to
i ntroduce a profi l e of < 311 >s i nto the structure, one must speci fy at l east the fi rst of the fol l owi ng
commands before the i mpl ant statement:
METHOD CLUSTER.DAM
CLUSTER BORON MIN.CLUSTER=1.0E17 MAX.CLUSTER=1.0E19 CLUST.FAC=1.4\
TAU.311.0=8.33e-16 TAU.311.E=-3.6 SILICON
.
.
.
IMPLANT ...
wher e the fi r st statement swi tches the model on and the second (opti onal ) statement deter mi nes the
l ocati on/scal i ng of the < 311 > cl uster profi l e, rel ati ve to a speci fi ed dopant profi l e and the setti ng of
the ti me constant. I n thi s exampl e, cl usters wi l l be present i n the regi ons of the substrate where the
chemi cal boron concentrati on i s between 1.0e17 cm
3
and 1.0e19 cm
3
, scal ed by a factor of 1.4 rel ati ve
C
ET
t
------------- K
T
C
I
C
ET
K
r
C
T
C
ET
( ) + =
K
T
C
I

C
ET

K
r
C
T
C
ET

( ) K
r
K
T
=
C
I

C
ET

C
T
( )
1 C
ET

C
T
( )
---------------------------------- K
T
C
I

1 e

-------------- = =
R
311 > <
C
311 > <
t
---------------------------- f x ( )
1

---
,
_
t

--
,
_
exp =
ATHENA Users Manual
3-12 SILVACO International
to the boron concentrati on. Noti ce that the acti vati on energy for must be speci fi ed as negati ve, si nce
the ti me constant decreases wi th ri si ng temperature.
I ntersti ti al s obey a fl ux bal ance boundary condi ti on, as descri bed by Hu [7]:
3-29
where:
i s the projecti on of the i ntersti ti al fl ux vector on an i nward poi nti ng uni t vector normal to the
boundary,
K
s
i s the effecti ve surface recombi nati on rate for i ntersti ti al s, and
g i s a generati on term, whi ch accounts for the i njecti on of i ntersti ti al s duri ng anneal i ng i n an
oxi di zi ng ambi ent.
I n other words, Equati on 3-29 says that the number of i ntersti ti al s generated on the surface, mi nus
the number of i ntersti ti al s that recombi ne here, must equal the number of i ntersti ti al s that di ffuse
from the surface/i nterface i nto the substrate.
The effecti ve surface recombi nati on rate, K
s
, depends on the moti on of the i nterface duri ng oxi dati on:
3-30
where:
K
surf
i s the surface recombi nati on coeffi ci ent for fi xed i nterfaces,
v
i
i s the i nterface vel oci ty, and
v
imax
i s a maxi mum i nterface vel oci ty,
K
r at
and K
pow
are empi ri cal parameters used for cal i brati ng the vel oci ty dependency of the surface
recombi nati on.
Surface recombi nati on pl ays an i mportant rol e i n the rel axati on of perturbed poi nt defect profi l es back
to thei r equi l i bri um val ues, whi ch, as poi nted out by Hu, cannot happen by bul k recombi nati on al one.
The movi ng i nterface can i nject poi nt defects i nto si l i con and pol ysi l i con. Two model s, TIME.INJ and
GROWTH.INJ area avai l abl e for cal cul ati ng the i njecti on rate.
1. The TIME.INJ parameter i n the VACANCY or INTERSTITIAL statement, acti vates the ti me
dependent i njecti on model :
3-31
where:
t i s the total di ffusi on ti me i n seconds and
A, t
0
and T
pow
are free parameters used for cal i brati on purposes.
Thi s can be used to represent the i njecti on of vacanci es from a ni tri de l ayer. Presentl y, the ti me
dependent i njecti on model can onl y be used wi th the opti on ILFEM=TRUE set i n the METHOD
statement.

n
C
I
K
surf
C
I
C
I

( ) g = +

n
C
I
K
s
K
surf
K
rat
v
i
v
i max
---------------
,
_
K
pow
1 + =
g A t t
0
+ ( )
T
pow
=
SSUPREM4 Models
SILVACO International 3-13
2. The GROWTH.INJ parameter i n the VACANCY or INTERSTITIAL statement, acti vates the growth
dependent i njecti on model :
3-32
where:
i s the fracti on of si l i con atoms consumed duri ng growth that are i njected i nto the bul k as sel f
i ntersti al s,
v
mole
i s the l atti ce densi ty of the consumed materi al , and
G
pow
i s a power parameter.
As a rul e of thumb, the rati o shoul d be mai ntai ned reasonabl y constant duri ng
cal i brati on. The enti ti es, v
i
and v
imax
have the same meani ng as menti oned earl i er.
The maxi mum i nterface vel oci ty, v
imax
, cannot be mani pul ated di rectl y by the used but wi l l change
onl y when oxi dati on characteri sti cs change. Thi s di scussi on of boundary and i nterface condi ti ons ends
wi th the l i sti ng of al l user-speci fi abl e model parameters.
Vacancies
The di ffusi on and fl ux equati ons for vacanci es are l argel y si mi l ar to the i ntersti ti al equati ons
descri bed above:
, 3-33
Table 3-6. Parameters for specifying point defect boundary and injection conditions.
Entity Prefactor Activation Energy
K
surf
KSURF.0 KSURF.E
K
rat
KRAT.0 KRAT.E
K
POW
KPOW.0 KPOW.E
A A.0 A.E
t
0
t0.0 t0.E
T
pow
TPOW.0 TPOW.E
THETA.0 THETA.E

mole
VMOLE
G
pow
GPOW.0 GPOW.E
K
surf
KSURF.0 KSURF.E
g
mole
v
i
v
i
v
i max
---------------
,
_
G
pow
=
K
rat
K
surf
( )
C
V
t
---------- J
V
( ) R =
ATHENA Users Manual
3-14 SILVACO International
where R i s the bul k recombi nati on rate. Bul k recombi nati on i s a si mpl e reacti on between vacanci es
and i ntersti ti al s and i s expressed as:
3-34
K
r
i s the bul k combi nati on coeffi ci ent that can be set usi ng the parameters KR.0 and KR.E i n the
INTERSTITIAL statement. The fl ux expressi on for vacanci es i s:
, 3-35
whi ch correctl y accounts for the effect of an el ectri c fi el d on the charged porti on of the vacanci es by
taki ng the gradi ent of the normal i zed concentrati on . D
V
i s the di ffusi vi ty of free vacanci es, not to
be confused wi th the pair di ffusi vi ty D
AV
, whi ch was menti oned i n the secti on descri bi ng the fermi
model . D
V
can be set usi ng the statement:
VACANCY SILICON D.0= <n> D.E=<n>.
See the secti on about i ntersti ti al s for a di scussi on of vacancy boundary/i nterface condi ti ons, si nce the
treatment i s l argel y si mi l ar, except that VACANCY statements shoul d be used i nstead of al l
INTERSTITIAL statements.
Important Note about Defect Diffusion:
Poi nt defects have l arger di ffusi vi ti es than dopants and may therefore, di ffuse down to the bottom of
the structure duri ng a si mul ati on. I f the si mul ati on structure i s too shal l ow, one may get an
unphysi cal l y hi gh defect concentrati on i n the regi ons where dopant profi l es are present and
consequentl y too much dopant di ffusi on. Therefore, one mi ght need to extend the depth of the
si mul ati on space to provi de an adequate si nk for the poi nt defects. I n order to determi ne how deep the
structure needs to be, one can esti mate the characteri sti c defect di ffusi on l engths usi ng the formul a:
3-36
where D
X
i s the defect di ffusi vi ty and t i s the total di ffusi on ti me. Si mul ati ons show that a depth of
20 to 50 mi crons i s requi red i n most cases. Thi s restri cti on on the mi ni mum structure depth poses a
threat to computati onal effi ci ency, whenever di ffusi on model s that i ncl ude poi nt defects are empl oyed.
However, si nce the fi ne structure of the defect profi l es near the bottom of the structure i s not a feature
of parti cul ar i nterest for processi ng purposes, one can reduce the computati onal cost by maki ng the
gri d very coarse i n thi s regi on.
The Fully Coupled Model
The ful l y coupl ed model i s turned on by the command:
METHOD FULL.CPL
The ful l y coupl ed model , as i mpl emented i n the ori gi nal Stanford SUPREM-IV, establ i shes a two-way
coupl i ng between the di ffusi on of dopants and poi nt defects, respecti vel y, by addi ng the joi nt dopant-
defect pai r fl uxes to the fl ux terms of the defect equati ons, whi ch thus becomes:
R K
r
C
I
C
V
C
I

C
V

( ) =
J V D
V
C
V

C
V
C
V

--------
,

_
=
C
V
C
V

--------
l D
X
t =
SSUPREM4 Models
SILVACO International 3-15
, 3-37
3-38
where summati ons run over al l dopants and pai r charge states. The rest of the ful l y coupl ed model
equati ons are i denti cal to those i n the two di mensi onal model descri bed i n the previ ous secti on. The
effect of the correcti on terms onl y di spl ays i tsel f at very hi gh dopant and i mpl ant damage
concentrati ons, where the fermi l evel enhancement and poi nt defect supersaturati on wi l l i ncrease the
dopant di ffusi vi ti es si gni fi cantl y, as seen from Equati ons 3-11, 3-10, and 3-17.
Al though thi s model has been used wi th some success for a number of purposes i n process si mul ati on,
of whi ch the most i mportant undoubtedl y i s the model i ng of the emi tter push effect, i t i s onl y
approxi mate i n the sense that i t doesnt take i nto account the di chotomy of dopants and defects i nto
pai red and unpai red fracti ons, respecti vel y. I n other words, i t rel i es on the dilute approximation,
whi ch assumes that the total number of defect-dopant pai rs i s much smal l er than both the number of
unpai red dopants as wel l the number of unpai red defects, thus not causi ng any si gni fi cant depl eti on of
any of these two speci es. At hi gh i mpl ant, damage pai ri ng effects may i ndeed be very si gni fi cant - as a
matter of fact, all dopants coul d be pai red, i n whi ch case the si mpl e ful l y coupl ed model descri bed
above cannot account for the saturati on of the dopant di ffusi vi ty that occurs as a functi on of .
Under such ci rcumstances, a natural general i zati on of the defect conti nui ty Equati ons 3-37 and 3-38
woul d be [5]:
, 3-39
, 3-40
whi ch si mpl y states that the ti me rate of change i n the total concentrati on of poi nt defect X has
contri buti ons from defects di ffusi ng freel y, defects di ffusi ng i n pai rs, and vari ous source/si nk terms.
Sources and si nks shoul d al so be subdi vi ded i nto pai red/unpai red contri buti ons.
On the dopant si de, Park and Law [133], have argued that the dopant conti nui ty equati on Equati on 3-
17 shoul d be modi fi ed by substi tuti ng the unpaired acti ve dopant concentrati on for the total acti ve
dopant concentrati on, gi vi ng
3-41
I t i s not wi thi n the scope i f thi s text to go i nto further detai l s wi th pai ri ng effects.
Other Diffusion Models and Extensions
The fol l owi ng model s and extensi ons are onl y val i d wi th the setti ng:
METHOD ILFEM=FALSE
C
V
t
---------- J
V
J
AV
c
A c ,

,

_
R =
C
I
t
--------- J
I
J
AV
c
A c ,

,

_
R
C
ET
t
------------- +
C
311 > <
t
----------------------- =
C
I
C
I

t
---- C
V
free
C
AV
c
A c ,

+
,

_
J
V
free
J
AV
c
A c ,

,

_
R =

t
---- C
I
free
C
AI
c
A c ,

+
,

_
J
I
free
J
AI
c
A c ,

,

_
R
C
ET
t
-------------
C
311 > <
t
----------------------- + =
C
A
t
----------
X I V , =

C
X
C
X

--------D
AX
,

_
C
A
unpaired
+
C
A
unpaired
+
C
X
CX

--------
n
n
i
----
,
_
Z
,

_
ln =
ATHENA Users Manual
3-16 SILVACO International
unl ess otherwi se menti oned.
Power Diffusion
The power model i s the si mpl est of al l the di ffusi on model s and shoul d be used when executi on ti me i s
at premi um. I t may be swi tched on wi th the command:
METHOD POWER
For l arge power devi ce structures, thi s model i s i mportant i n terms of effi ci ency. Executi on ti mes wi l l
i mprove by two or three ti mes compared wi th the basi c fermi model . The power di ffusi on model
di sabl es al l segregati on effects and assumes a si mpl e model for dopant di ffusi vi ty. I t may be tuned
wi th the same di ffusi vi ty parameters as the fermi model .
The Steady State Diffusion Model
The steady state di ffusi on model i s a vari ant of the two di mensi onal di ffusi on model , whi ch assumes
that the poi nt defect profi l es are i n a steady state. I t i s turned on wi th the command:
METHOD STEADY
High Concentration Fully-Coupled Model
The hi gh concentrati on ful l y coupl ed model i s an extensi on of the ful l y coupl ed model whi ch i ncl udes
hi gher order defect-dopant/defect pai ri ng to account for extra poi nt defect recombi nati on mechani sms.
Thi s model was devel oped at Stanford Uni versi ty [134] to i ncl ude hi gher order dopant-defect
i nteracti ons i n the cases where the number of dopant-defect pai rs are si gni fi cant. Thi s i s the case for
hi gh dopant concentrati on i n si l i con. The model i s avai l abl e for both setti ngs of the ILFEM opti on and
may be swi tched on wi th the command:
METHOD HIGH.CONC FULL.CPL
I t i s an extensi on ot the basi c ful l y coupl ed model and may onl y be used i n conjuncti on wi th the
METHOD FULL.CPL command. Thi s model i ncl udes two extra bul k recombi nati on reacti ons and two
extra Si /Si O2 i nterface recombi nati on reacti ons.
I n the bul k, extra terms for poi nt defect recombi nati on appl y at hi gh concentrati ons, where
stati sti cal l y, a hi gh l evel of dopant-defect pai ri ng i s preval ent:
3-42
3-43
The extra model parameters cal i brate the rati o of effecti ve capture cross secti ons of dopant-defect/
defect to defect/defect recombi nati on mechani sms. These parameters may be set on the command l i ne
as:
INTERSTITIAL SILICON IVFACTOR=<n> IIFACTOR=<n>
Si mi l arl y, at the surface the i ntersti ti al surface recombi nati on vel oci ti es governed by pai red and
unpai red mechani sms may al so be control l ed as a rati o:
K
r
PI V
iifactor
D
PI
D
V
+
D
I
D
V
+
-----------------------
,
_
K
r
=
K
r
I PV
ivfactor
D
I
D
PV
+
D
I
D
V
+
-----------------------
,
_
K
r
=
SSUPREM4 Models
SILVACO International 3-17
3-44
Thi s rati o rel ates surface recombi nati on deri ved through pai rs to that deri ved through di rect surface
recombi nati on. Thi s rati o i s control l ed vi a the command:
INTERSTITIAL SILICON/OXIDE ISURFACT=<n>
Dislocation Loop Based Enhanced Bulk Recombination
As a fi rst approxi mati on, di sl ocati on l oops act as a si nk for poi nt defects. Thi s model i ntroduces an
addi ti onal si nk of i ntersti ti al s based upon a di stri buti on of di sl ocati on l oops throughout some spati al
regi on. The model i s control l ed as fol l ows:
METHOD I.LOOP.SINK
INTERSTITIAL DAMALPHA=<n>
Thi s command wi l l enhance the recombi nati on of i ntersti ti al s i n the regi ons of l oops, as pl aced duri ng
the IMPLANT and scal ed by the DISLOC.LOOP commands. Accel erated recombi nati on occurs l ocal l y as:
3-45
RTA Diffusion Modeling
SSUPREM4 has the capabi l i ty to model rapi d thermal anneal i ng (RTA) processes wi thi n the framework
of exi sti ng di ffusi on model s, i .e., the two di mensi onal model and the ful l y coupl ed model . Si nce RTA i s
basi cal l y a short ti me thermal cycl e i nvol vi ng steep temperature rampi ng to hi gh temperatures,
transi ent enhanced di ffusi on (TED) wi l l domi nate whenever a si gni fi cant amount of l atti ce damage i s
preval ent. Because the amount of dopant di ffusi on i s i nti matel y coupl ed to the evol uti on of the poi nt
defect popul ati ons, i t i s possi bl e to cal i brate these model s to RTA condi ti ons by tuni ng the poi nt defect
rel ated parameters. The rati o of i ntersti ti al damage, i n the form of < 311 > cl usters to that i n the form
of free i ntersti ti al s, and the characteri sti c ti me for di ssol uti on of i ntersti ti al cl usters, are i mportant
parameters to i ncl ude when setti ng up SSPUREM4 for an RTA scenari o.
The fol l owi ng tabl e shows an approxi mate ti me for compl eti on of about 95% of the TED at vari ous
temperatures.
Table 3-7. The approximate duration of TED at various annealing temperatures.
Annealing Temperature (C) Time for completion of 95% of TED
600 390 hours
700 3.3 hours
750 30 minutes
800 3.7 minutes
850 43 seconds
900 8.3 seconds
K
surf
PI
isurfact
D
PI
D
I
--------
,
_
K
surf
I
=
R
loop
damalpha C
I
C
I

( ) =
ATHENA Users Manual
3-18 SILVACO International
950 1.9 seconds
1000 0.48 seconds
1050 0.13 seconds
Table 3-7. The approximate duration of TED at various annealing temperatures.
Annealing Temperature (C) Time for completion of 95% of TED
SSUPREM4 Models
SILVACO International 3-19
Electrical Deactivation and Clustering Models
When dopants are present at hi gh concentrati ons, the el ectri cal l y acti ve concentrati on, C
A+
, may be
l ess than the correspondi ng chemi cal concentrati on, C
A
.
I n order for an i mpuri ty to become el ectri cal l y acti ve i n a pi ece of semi conductor materi al , i t must be
i ncorporated i nto a substi tuti onal l atti ce si te, i n whi ch case i t wi l l contri bute wi th a carri er to ei ther
the val ence band (an acceptor i mpuri ty) or the conducti on band (a donor i mpuri ty). However, above
certai n dopant concentrati ons, i t i s not possi bl e to i ncorporate more dopants i nto substi tuti onal l atti ce
si tes the excess dopants are sai d to be non-acti ve. The threshol d at whi ch the deacti vati on occurs i s
often cal l ed the sol i d sol ubi l i ty l i mi t, whi ch i s a somewhat i mpreci se termi nol ogy, si nce i mpuri ti es
can exi st i n di fferent phases i n the crystal . Therefore, i t i s not wel l defi ned whi ch phase transi ti on the
sol i d sol ubi l i ty l i mi t mi ght refer to. E.g., excess dopants coul d be parti ci pati ng i n smal l cl usters or
l arger preci pi tates. Deacti vati on threshol d woul d be a more proper desi gnati on for thi s l i mi t and
shal l be used throughout the rest of thi s secti on. Consi stentl y, the notati on, shal l be used for the
deacti vati on threshol d. Whatever the nature of the non-acti ve part mi ght be, there i s a general
consensus i n the sci enti fi c communi ty that i t i s i mmobi l e. Therefore, for al l the model s descri bed i n
thi s secti on, the fol l owi ng i s assumed for each dopant type.
Dopants i n excess of the deacti vati on threshol d are consi dered el ectri cal l y i nacti ve, i .e., they do not
contri bute to the carri er popul ati ons.
Addi ti onal l y, dopants i n excess of the deacti vati on threshol d are consi dered to be i mmobi l e, i .e.,
they cannot di ffuse.
The objecti ve of an el ectri cal acti vati on model i s not surpri si ngl y to cal cul ate at whi ch dopant
concentrati on the deacti vati on occurs. For thi s purpose, two di fferent el ectri cal acti vati on model s are
used for al l di ffusi on model s:
a. An AsV cl usteri ng model for arseni c.
b. A semi empi ri cal tabl e-based acti vati on model for al l other dopants.
The AsV clustering model used i n SSUPREM4 i s based on the si mpl e reacti on:
3-46
Assumi ng that EQ 1.46 i s al ways i n equi l i bri um, the fol l owi ng equati on descri bes the rel ati on between
the chemi cal and the acti ve arseni c concentrati on:
3-47
Si nce there i s a cycl i c dependency between the acti ve arseni c concentrati on, C
A+
, and the carri er
concentrati on, n, an i ni ti al guess for the val ue of n has to be made at the start of the si mul ati on. I n
addi ti on, i s (by defi ni ti on) set to uni ty when runni ng the fermi di ffusi on model . The
cl usteri ng coeffi ci ent, Ctn, i s set by speci fyi ng CTN.0 and CTN.E i n the IMPURITY statement.
The semiempirical table-based activation model, whi ch i s used for al l other dopants than arseni c,
uses a two-step scheme i n order to cal cul ate the acti ve dopant concentrati on:
C
A +
th
As
+
V
=
A V

s +
C
A
C
A +
C
clust
C
A +
Ctn C
A +
n
n
i
----
,
_
2
C
V
C
V
------- + = + =
C
A +
1 Ctn +
n
n
i
----
,
_
2 C
V
C
V
-------

' ;

=
C
V
C
V

ATHENA Users Manual


3-20 SILVACO International
1. Fi rst, the program i nterpol ates i nto a tabl e of experi mental (temperature, deacti vati on threshol d)
data pai rs and fi nds a concentrati on i ndependent deacti vati on threshol d, , that corresponds to
the current si mul ati on temperature. These pai rs can be set i n the IMPURITY statement by
assi gni ng val ues to the parameters, SS.TEMP and SS.CONC. The temper atur e shoul d be speci fi ed
i n Cel si us.
2. Then, a l ogari thmi c concentrati on dependency i s i ncorporated by setti ng the fi nal deacti vati on
threshol d to the val ue:
3-48
where the parameter b must be i n the range of [0.8, 1.0]. The effect of Equati on 3-48 i s to produce a
roundi ng i n the top of the acti ve profi l e that sl i ghtl y fol l ows the form of the chemi cal profi l e.
Time Step Control
When usi ng di ffusi on model s that i ncl ude the expl i ci t representati on and evol uti on of poi nt defects,
one shoul d be aware of ti me steppi ng i ssues. Al though step si ze control between i terati ons i s ful l y
automated, the user can sti l l speci fy the si ze of the i ni ti al ti me step. Thi s i s done i n two sl i ghtl y
di ffer ent ways, dependi ng of the setti ng of the ILFEM opti on i n the METHOD statement:
1. ILFEM=FALSE
I n thi s case, di fferent i ni ti al ti me step si zes may be speci fi ed for dopants and poi nt defects,
respecti vel y, by means of the parameters INIT.TIME and PDINIT.TIME. For exampl e, the command,
METHOD INIT.TIME=0.001 PDINIT.TIME=0.001
woul d set the i ni ti al ti me step to 1 mi l l i second for both dopants and poi nt defects. Defaul t val ues are
INIT.TIME=0.1 seconds and PDINIT.TIME=1.0E-5 seconds.
2. ILFEM=TRUE
For thi s setti ng, the i ni ti al step si ze i s stored i n the parameter, ILF.INITT and the val ue of the
parameter, ILF.STPTOL i ndi cates how restri cti ve the program shoul d be i n the sel ecti on of the next
ti me step. The val i d range for thi s parameter i s [0.0;1.0], wi th 1.0 bei ng the most conservati ve val ue.
Defaul t val ues are ILF.INITT=1.0E-5 seconds and ILF.STPTOL=1.0. Observe that i t i s not
possi bl e to speci fy di fferent i ni ti al ti me step si zes for dopants and poi nt defects, respecti vel y, for thi s
setti ng of ILFEM.
C
A+
t h
C
A +
th C
A +
th
1 1.0 b ( )
C
A
C
A +
th
--------------
,

_
b
1.0 b
----------------------------- ln + C
A
C
A +
th
> ;
C
A
; C
A
C
A +
th

'

=
SSUPREM4 Models
SILVACO International 3-21
Note: There is no guarantee that the program will actually use these values. For this initial time step,
the only purpose of these parameters is to make it feasible for the user to give the program a hint about
an appropriate initial time step size.
Materials and Impurities Handled by ILFEM Numerics
Currentl y, onl y the fol l owi ng i mpuri ti es and materi al s can be handl ed when the opti on ILFEM=TRUE
i s set i n the METHOD statement.
I mpuri ti es: boron, phosphorus, arseni c, anti mony, and i ndi um.
Materi al s: si l i con, pol ysi l i con, oxi de, ni tri de, al umi num, and gas.
I f other i mpuri ty-materi al combi nati ons are present i n the structure when a di ffusi on si mul ati on
starts wi th thi s opti on set, the program wi l l automati cal l y defaul t to the ILFEM=FALSE mode and
conti nue the si mul ati on i n thi s state.
ATHENA Users Manual
3-22 SILVACO International
CNET High Concentration Diffusion Model
Introduction
The ul ti mate goal of si mul ati on i s to compute the el ectri cal characteri sti cs of a gi ven devi ce, by usi ng
onl y process-rel ated data as i nput parameters. Si nce the el ectri cal characteri sti cs of the devi ce are
heavi l y dependent on the di stri buti on of the el ectri cal l y acti ve i mpuri ty (dopants), resul ti ng from the
enti re thermal processi ng sequence, i t i s of pri me i mportance that the di ffusi on model s used i n the
process si mul ati on are as accurate as possi bl e.
Thi s i s parti cul arl y i mportant for deep sub-mi cron processes. I ndeed, for these emergi ng technol ogi es,
2D or even 3D phenomena are expected to be of growi ng i mportance, whereas there i s presentl y no
accurate techni que to measure mul ti -di mensi onal dopant profi l es. As a consequence, the acti ve dopant
2D di stri buti ons can onl y be obtai ned by si mul ati on that i s based on model s that are as rel i abl e as
possi bl e. I t has become cl ear that the vari ous abnormal behavi ors of dopant di ffusi on i n Si l i con are
caused by non-equi l i bri um poi nt defects. These are i nduced by the di ffusi on process i tsel f (emi tter
push effect caused by hi gh concentrati on P di ffusi on), or i njected i nto the substrate by external
treatments, such as oxi dati on or ni tri dati on, or they resul t from the i on i mpl antati on used to i ntroduce
the dopants i nto the Si l i con substrate. Wi th the necessary decrease of the thermal budget l i nked to the
shri nkage of the devi ce di mensi on, these transi ent phenomena become key poi nts for accurate dopant
di ffusi on si mul ati on.
The CNET dopant di ffusi on model i mpl emented i n ATHENA was devel oped by CNET-Grenobl e (France
Tel ecom). I ncl uded here i s a descri pti on of the model and how i t di ffers from the standard ful l .cpl
model i n ATHENA.
Model Description
The basi c formal i sm to descri be the coupl ed dopant/poi nt defects system has been l ai d out at CNET [5].
Thi s formal i sm i s al so the basi s of the ori gi nal ful l .cpl model i n ATHENA, and thus the CNET model
(METHOD FULL.CPL CNET) i s an extensi on of the FULL.CPL model to al l ow a better descri pti on of
the di ffusi on phenomena, parti cul arl y at very hi gh dopant concentrati on.
The mai n physi cal poi nts taken i nto account i n the model are:
Dopant di ffusi on of al l the dopants i s assi sted by both the vacanci es (V) and the sel f-i ntersti ti al s
(I ). These poi nt defects exi st i n vari ous charge states, the rel ati ve concentrati ons of whi ch depend
on the l ocal Fermi l evel posi ti on, i .e. on the l ocal dopant concentrati on.
Both I and V have strong bi ndi ng energi es wi th the dopant atoms, and as a consequence the
di ffusi ng speci es are dopant/defect pai rs (the i sol ated substi tuti onal dopants are i mmobi l e).
These i mpuri ty/ defect pai rs, i n thei r vari ous charge states, are assumed to be i n l ocal
equi l i bri um wi th the free substi tuti onal dopant atoms and the free defects. I n the CNET model ,
at hi gh dopant concentrati ons, the concentrati ons of these pai rs are not consi dered as negl i gi bl e
wi th respect to the substi tuti onal (acti ve) dopant concentrati on. As a resul t, thei r concentrati ons
are expl i ci tl y taken i nto account to compute the total dopant concentrati on and the Fermi l evel
posi ti on (i .e. carri er concentrati on). A di rect consequence i s a parti al sel f-compensati on at hi gh
dopi ng concentrati on, contri buti ng to the di fferences between total and acti ve concentrati ons,
and affecti ng the vari ati ons of the extri nsi c di ffusi vi ti es as a functi on of the total dopi ng.
I n the case of As and B at concentrati ons approachi ng the sol i d sol ubi l i ty l i mi t, neutral and
i mmobi l e compl exes (AS
2
V or B
2
I ) are formed, whi ch decrease the effecti ve di ffusi vi ty and
contri butes to the i nacti ve dopant concentrati on. At the present ti me, these compl exes are
assumed to be i n l ocal equi l i bri um wi th the other speci es. An extensi on of the model accounti ng
for a dynami c cl usteri ng [120] i s foreseen.
When the dopant concentrati on exceeds a few 10
20
cm
-3
, the dopant/Vacancy pai rs can no l onger
be consi dered as i sol ated enti ti es, because the vacanci es can i nteract wi th more then one dopant
SSUPREM4 Models
SILVACO International 3-23
atom. I n the CNET model thi s i s descri bed by the exi stence of a percol ati on cl uster of dopant
atoms i n the fi fth nei ghbor posi ti on from each other [119]. I n thi s cl uster both the effecti ve
di ffusi vi ty and concentrati on of the vacanci es are strongl y i ncreased, causi ng a strong
enhancement of the vacancy-assi sted di ffusi on component. Thi s mechani sm permi ts an accurate
descri pti on of the famous ki nk and tai l shape of the hi gh concentrati on pre-deposi ti on profi l es
for phosphorus. Percol ati on i s i nvoked wi th the METHOD PERCO command and i s control l ed wi th
the IMPURITY command.
The fl ux of each di ffusi ng speci es (dopant/defect pai rs and free defects) i ncl ude dri ft terms caused
by the bui l t-i n el ectri c fi el d due to the dopant gr adi ents.
(I ) and (V) are not consi dered to be i n l ocal equi l i bri um, but they can anni hi l ate by bi mol ecul ar
recombi nati on. A speci fi c feature of the CNET model i s that these anni hi l ati ons take pl ace not
onl y between the free defects, but al so i nvol ve the i mpuri ty defects pai rs, whi ch pl ay the rol e of
recombi nati on centers. As a consequence the I -V recombi nati on rate i s strongl y enhanced at hi gh
dopant concentrati on.
Examples
To i l l ustrate the i mprovements that resul t from the CNET model , we show si mul ati ons of phosphorus
predeposi ti on profi l es at hi gh and i ntermedi ate surface concentrati ons. Fi gures 3-1 and 3-2 compare
the curves cal cul ated wi th the CNET model to the SI MS data of Yoshi da and Matsumoto and Ni i mi
[121, 122], whi ch covers the 900 - 1100C temperature range. Al though not correspondi ng to modern
deep sub-mi cron technol ogi es, thi s data set i s representati ve of the hi gh dopant concentrati on features
whi ch reveal the compl ex coupl i ngs between dopants and poi nt defects; therefore, i t i s consi dered as a
meani ngful test for advanced di ffusi on model s.
As shown i n Fi gures 3-1, the CNET model accuratel y reproduces the features of the experi mental
profi l es. I n parti cul ar, due to the percol ati on effect, the model i s abl e to account for the change i n the
shape of the profi l es whi ch occurs at hi gh concentrati on. For i ntermedi ate concentrati ons, the
si mul ated profi l es exhi bi t the enhanced tai l , wi th a more or l ess pronounced i nfl ecti on i n the surface
regi on. Thi s i nfl ecti on i s the resul t of the strong coupl i ng between the defect gradi ents and the dopant,
and i ts magni tude depends on the temperature: the l ower the temperature, the stronger i s the
coupl i ng, wi th a more pronounced i nfl ecti on as depi cted by both the cal cul ated and experi mental
curves. When the concentrati on exceeds about 2.5x10
20
cm
-3
, the model i s abl e to si mul ate both the
formati on of the pl ateau i n the surface regi on and the strong tai l enhancement resul ti ng from the hi gh
poi nt defect supersaturati on generated by phosphorus di ffusi on. Fi gure 3-2 al so shows the si mul ated
acti ve concentrati on profi l es. As observed experi mental l y, for the profi l e wi th the hi ghest surface
concentrati on, the cal cul ated acti ve concentrati ons are si gni fi cantl y l ower than the total (chemi cal )
phosphorus concentrati ons. I n the CNET model thi s i s due to the fact that the (dopant, defects) pai rs
have concentrati ons whi ch are not negl i gi bl e at hi gh dopant concentrati on.
ATHENA Users Manual
3-24 SILVACO International

Figure 3-1: Comparison between experimental and simulated profiles at 900 C. The experimental results are
from References [121,122] and the simulations are performed with the CNET model.

Figure 3-2: As in Figure 3-1 but at 1100 C. The results from the standard full.cpl are also reported.
SSUPREM4 Models
SILVACO International 3-25
CNET Model Components
Introduction
The CNET Model i s compri sed of fi ve model components.
Each fragment i ntroduces a separate pi ece of physi cs. The compl ete CNET Model i s equi val ent to
i nvoki ng al l fi ve model components si mul taneousl y.
Non Negligible Pair Concentrations
Thi s model accounts for hi gh dopi ng concentrati on effects where the defect/dopant pai r concentrati ons
are no l onger negl i gi bl e. Thi s model fragment of the compl ete CNET model i s i nvoked usi ng:
METHOD PAIR.DEFEC
For phosphorus:
Each of the fi ve fragments are descri bed i n turn, as fol l ows:
3-49
=[P+]{1+Kp+v=[V=]+Kp+v-[V-]+ p+1i [I i ]}+[P]Preci pi tate
The l ast term accounts for the P atoms whi ch are preci pi tated when [P]
chem
i s greater than the
sol ubi l i ty l i mi t.
Clustering
I n addi ti on, for As and B, i mmobi l e neutral compl exes are formed. I n CNET.MOD these cl usters must
be As
2
V B
2
I (i n FULL.CPl , they are onl y i mmobi l e as cl usters, whi ch turn out to be the AsV
-
pai rs.)
I f a si mpl e stati c (equi l i bri um) model i s consi dered, one has:
3-50
3-51
The model fragment i s i nvoked wi th the command, METHOD CLUSTER.DEF. Thi s i ncl udes coupl i ng
terms i n both i mpuri ty and defect (ei ther V or I ) conti nui ty equati ons.
Neutrality Equation
Thi s model fragment accounts for the concentrati on of charged pai rs, i .e sol ve the fol l owi ng:
n-p=(Donor)

+(DonorV)

+(DonorI )

+(AcceptV)

+(AcceptI )

(Accept)

3-52
Thi s Model fragment i s i nvoked wi th the command:
METHOD CHARGE.DEFE
I n the above expressi on, , i s 1, 0 or -1, dependi ng on the charge state of the pai r.
P
chem
PV

PV PI
+
+ PI PI

+ ( ) P
+
+ + =
KA
s
+
AsV

As
+
[ ] AsV

[ ]
KB

BI
+
B

[ ] BI
+
[ ]
ATHENA Users Manual
3-26 SILVACO International
Thi s i s for the cases:
= 1 for PV
-
+ (P
+
,V
=
) 3-53
= o for PV = (P
+
,V
-
)
= +1 for BV
+
= (B
-
,V
++
)
The sums for al l the donors (Ph, As, Sb) and al l the acceptors (B, I n). By doi ng thi s, acti ve
concentrati on at the end of a di ffusi on step i s si mpl y gi ven by the correspondi ng substi tuti onal fracti on
of the net dopi ng concentrati ons. Thi s has bei ng obtai ned by the si gned sum of the correspondi ng
val ues.
Pair Charge States
Thi s model fragment i s i nvoked wi th the command:
METHOD CHARGE.DEFE
For B, i t i s wel l establ i shed that onl y BV, BV
+
, and BI
-
, BI
+
exi st. Thei r concentrati ons are gi ven by:
[BV] = KB
-
v
+
[B
-
][V
+
] 3-54
[BV
+
] = KB
-
v
++
[B
-
]]V
++
]
[BI
-
] = KB
-
1[B
-
][I ]
[BI
+
] = KB
-
I
++
[B
-
][I
++
]
The correspondi ng val ues of K are the parameters NEU.0,...., of the statements VACANCY and
INTERSTITIAL wi th BORON speci fi ed.
For Phosphorus (and the other donors) PV and PV
-
are known to exi st, whereas no data exi sts
suppor ti ng the exi stence of a PI pai r.
Here:
[PV] = K
P
+
V
-
[P
+
][V
-
] 3-55
[PV
-
] = K
P
+
V
=
[P
+
][V
=
]
[PI
+
] = K
P
+
I
[P
+
][I ]
[PI ] = K
P
+
I
-
[P
+
][I
-
]
[PI
-
] = K
P
+
I
=
[P
+
][I
=
]
Wi th the K parameters defi ned wi th NEU.0, i n the i n the VACANCY and INTERSTITIAL statements
when PHOSPHORUS speci fi ed.
Effective Diffusivity
Thi s model fragment i s i nvoked wi th the command:
METHOD DIFFSVTY.DE
Accurate computati on C the effecti ve di ffusi vi ti es, shari ng from the general equati on (used i n
FULL.CPL).
3-56

C
T
t
---------- D
X
V
CA
CvC
C

V
----------- C
A
CvC
C

V
n
i
---------------
,

_
log D
X
I CI
C

In
i
------------- CA
CI C
C

I
n
i
-------------
,
_
log + =
SSUPREM4 Models
SILVACO International 3-27
Wi th C=n or p, i t i s easy to show that the pai r di ffusi on model , whi ch i s the basi s of ful l .cpl or CNET
model , i mpl i es that the and are cal cul ated from the basi c parameters of pai rs.
Fol l owi ng:
3-57
Above, the and descri bed the rel ati ve fracti ons of charged vacanci es and i ntersti ti al r especti vel y.
These NEU, NEG,... parameters are defi ned i n the VACANCY or INTERSTITIAL statements.
Thi s l eads to expressi ons, anal ogous to the standard ful l y coupl ed model for Phosphorous, but to
di fferent expressi ons for Boron, Arseni c and Anti mony. I n fact, the standard ful l y coupl ed model ,
the rati o i s i ndependent of dopi ng concentrati on whereas wi th i n the case of usi ng the CNET
model , thi s rati o depends upon n, as observed experi mental l y (OED experi ments at hi gh dopant
concentrati ons show l ess enhancement than for i ntri nsi c concentrati ons for P, As....)
I t shoul d be emphasi zed that several rel ati onshi ps exi st between vari ous parameters, thus decreasi ng
the number of free fi tti ng parameters. Fi rst, from the physi cal arguments, and can safel y assume that
the rati os Dxv-/Dxv and Dxv/Dxv+ must be equal :
DPV
- /D
PV
= D
PV
/D
PV+
= 3-58
DPI
- /D
PI
= D
PI
/D
PI +
=
DBI
+ /D
BI
= D
BI
/D
BI -
=
DBV
+ /D
BV
= D
BV
/D
BV-
=
These di ffusi vi ti es are free parameters, when the vari ous coupl i ng parameters (K) are known. I ndeed,
they can be cal cul ated through the experi mental l y known i ntri nsi c di ffusi vi ty (Di ) and the
i ntersti ti al cy component i n i ntri nsi c condi ti ons, fi .
The products representi ng the fracti on of the i mpuri ty concentrati on i nvol ved i n the correspondi ng
pai r, have a ni ce physi cal meani ng, and have a chance to be experi mental l y determi ned (the i sol ated
K
XV
i
parameters cannot, si nce the and are unknown).
Thus, i n the case of the CNET model these composi te par ameter s K
X,V
i
are accessi bl e to the user thus
changi ng the physi cal si gni fi cati on of NEU, NEG....parameters, when the i mpuri ty i s speci fi ed i n the
VACANCY or INTERSTITIAL statements.
Thi s approach has a further advantage to i nsure a ful l consi stency i nsi de the code, the pai r
concentrati ons bei ng al ways cal cul ated wi th respect to the substi tuti on concentrati on, even i f a user
changes the val ue or , ensuri ng a good acti ve concentrati on.
The influence of the Impurities upon the Bimolecular recombination Rate
The model fragment i s i nvoked wi th the command:
METHOD PERCO.DEFEC
D
x
v
D
x
I
D
X
V
i

KX V
i
,
i C
ni
-----
,
_
i
DXV
i 1
=
D
X
I
i

KX I
i
,
i C
ni
-----
,
_
i
DXI
i 1
=

i
D
x
v
D
x
I

D
P
V
D
P
I
D
B
I
D
B
V
C
v

C
I

C
v

C
I

ATHENA Users Manual


3-28 SILVACO International
I n the case of the full.cpl model , the poi nt defect bul k recombi nati on i s sol ved as:
G R = K
R
3-59
Where C
I
, C
V
represent the total defect concentrati on, and the rate bei ng governed by the parameter
K
R
.
However, due to the generi c reacti ons:
XV + I X 3-60
XI + V X
Where X represents dopant,
I t i s easy to show that G-R term must be wri tten as:
G R = K
BM
3-61
Wi th K
BM
strongl y dependent upon the dopant concentrati on (see bel ow), and i nvol vi ng the neutral
defect concentrati ons.
Thus, for consi stency, the K
R
can be deri ved from the K
BM
by:
3-62
vari ati on of the term KBM
+
- One can wri te:
3-63
Where i s the rate absent of pai rs and represents the contri buti on of a gi ven dopant.
Even i s we negl ect the i nfl uence of the pai rs depends on the dopi ng l evel (u) because of the
vari ous states of defects:
I + V 4R(DI + DV) 3-64
I
+
V 4R (DI + DV) + 3-65
I
-
V 4R (DI + DV) 3-66
And the same thi ng for I , V
++
, V
-
, V
=
.
And therefore:
CICV
C

I
C

V
( )
CICV
C

I
C

V
( )
KR
KBM
i
i

C
n
i
----
,
_
i
i
i

C
n
i
----
,
_
i
+
----------------------------------------------------------- =
KBM K
BM
0
X

K
BM
X
+ =
K
BM
o
K
BM
x
K
BM
o
I V

+ n
i
n
----
,

_
I V

n
n
i
----
,

_
I V

SSUPREM4 Models
SILVACO International 3-29
3-67
The pai r-assi sted component can be spl i t i nto two components, correspondi ng to the recombi nati on of
the vacanci es wi th the dopant-i ntersti ti al pai rs, and of the i ntersti ti al s wi th the dopant-vacancy pai rs:
3-68
I n the previ ous cal cul ati on the reacti ons between speci es havi ng the same, non zero, charge si gn i s
negl ected (because of the coul ombi c repul si on), as are those between speci es havi ng opposi te charges
(due to fermi l evel effects, they cannot exi st i n si gni fi cant concentrati ons at the same ti me).
The si gni fi cant reacti ons al ways i nvol ved, at l east one neutral speci es, and thus one can safel y assume
that they are onl y di ffusi on l i mi ted. Thi s expl ai ns the expressi on gi ven above, wi th the same capture
radi us R for al l the equati ons. At fi rst order, R 2.35 (i nter atomi c di stance i n Si ), but for the
conveni ence i t i s a parameter i n the MATERIAL statement, not i n the VACANCY/INTERSTITIAL
statement, as i s currentl y done for K
R
si nce the user i s not al l owed to change thi s parameter
i ndependentl y for I and V conti nui ty equati ons.
Percolation
Thi s model fragment i s i nvoked wi th the command:
METHOD PERCO.DEFEC
When the dopant concentrati on exceeds a certai n val ue C
PERC
(~ 2.5x10
20
cm
-3
), the basi c di ffusi on
mechani sm i s changed (for the vacancy part), because of i nteracti ng dopant i n the 5th nei ghbor
posi ti on from each other. I n thi s case the di ffusi on can be descri bed as i f the effecti ve vacancy
concentrati on was i ncreased by a factor F
PERC
, and the correspondi ng fl uxes are gi ven by:
3-69
wi th the usual Vacancy fl ux for C
dopant
< C
PERC
and
3-70
By, anal ogy, the expressi on used for the pai r di ffusi on model :
3-71
Thus, the total fl ux whi ch must be consi dered i s a combi nati on of fl uxes wi th and wi thout percol ati on,
accordi ng to:
3-72
K
R
o
4R D
I
D
V
+ ( )

i
i

n
n
i
----
,
_
i

i
i

n
n
i
----
,
_
i
+

i
i

n
n
i
----
,
_
i

i
i

n
n
i
----
,
_
i
+
,

_
----------------------------------------------------------------------------------------------- =
K
BM
X
K
BM
XI V ,
K
BM
XV I ,
+ =
J
V
perc
FPERC J
V
low
=
J
v
l ow
J
V
perc
F
PERC
V
DV
C
v
tot
NS
---------
X
+
x
----------------- X
+ 1
n
---
n
x
------ +
,
_
=
J
X
perc
F
PERC
x
DX
Cx
Ns
------- X
+
[ ] X
+
[ ]
n
n
i
----
,
_
log =
J
x
p J
x
PERC
1 p ( )J
x
V
J
x
I
+ + =
ATHENA Users Manual
3-30 SILVACO International
3-73
Where P i s the probabi l i ty of the percol ati on phenomena, gi ven by:
3-74
3-75
Thus, a dopant present i n l ow concentrati on can have enhanced di ffusi on i nduced by the presence of
other dopants at concentrati on >C
PERC
.
NS=5 x 10
22
cm
-3
, i s the densi ty of Si l atti ce si tes.
Pairing Coefficients under the CNET model
When r unni ng the CNET model , the parameters: NEU.0, DNEG.0, DPOS.0, POS.0, NEG.0 and
NEU.E, DNEG.E, DPOS.E, POS.E, NEG.E on the INTERSTITIAL l i ne have a changed meani ng to
that of the basi c ful l .cpl model ONLY when dopant i s speci fi ed on the same INTERSTITIAL command
l i ne. (When no dopant i s speci fi ed, the parameters defi ne the rel ati ve charge states of free poi nt
defects and the meani ng i s unchanged from the previ ous FULL.CPL model . I n the CNET model , these
parameters represent the product of the coupl i ng term (the previ ous meani ng of these parameter) wi th
the equi l i bri um poi nt defect concentrati on. The meani ng has changed because when l ooki ng at the
vari ous equati ons, most of the ti me onl y thi s product i s meani ngful . Moreover, enteri ng thi s product as
the free parameter (and cal cul ati ng the coupl i ng coeffi ci ent, k, i nternal l y i n the code once the defect
concentrati on i s known) ensures that the proporti on of (defect-dopant) pai rs versus free dopant
concentrati on (whi ch depend onl y on the product k ti mes Ci *) i s determi ned i ndependentl y of the
(rather badl y known) val ue of Ci *. I n thi s way the non-l i near behavi ors l i nked to the non negl i gi bl e
pai rs concentrati ons remai n wel l fi tted, even i f a user changes the val ue of Ci *. Otherwi se, thi s user
woul d have to change al so the val ue of the coupl i ng parameter to keep the product constant i n order to
mai ntai n the fi t.
The previ ous meani ng of thi s parameter, when the cnet model i s not acti vated, onl y i n order to
mai ntai n compati bi l i ty wi th the previ ous versi ons.
Note: In the standard full.cpl these parameters are usually set to 0 (negligible pair concentrations)
The hi gh dose effect on bul k bi mol ecul ar recombi nati on (i .e., the vari ati on of the recombi nati on rate
wi th the dopant concentrati on) i s i mpl i ci tl y i ncl uded i n the CNET model . The i nfl uence of the bul k
bi mol ecul ar recombi nati on can be tuned through the capture radi us parameter and the rel ati ve pai r
concentrati ons. Thus, there i s here an overl ap wi th the HIGH.CONC Stanford model i n the bul k si l i con.
I ndi vi dual features of the CNET model may be swi tched on wi th the use of the method statement. Al l
opti ons for the CNET model are acti vated by METHOD CNET.
J
v
p J
v
PERC
1 p ( )J
v
low
+ =
pF
PERC
1 p ( ) +
J
v
low
=
p 0 = for X
s
[ ] C
PERC
<

p min
1 0.85 ;

X
s
[ ]
C
PERC
---------------------
,

_
0.4
{ }
=
SSUPREM4 Models
SILVACO International 3-31
Grain-based Polysilicon Diffusion Model
Two Stream Polysilicon Diffusion
The mechani sm for i mpuri ty di ffusi on i n pol ysi l i con i s di fferent than that of crystal l i ne si l i con.
Pol ysi l i con has a mi cro-structure of smal l (compared to the i nteresti ng devi ce regi ons) crystal l i ne
regi ons cal l ed grai ns. These grai ns are separated by grai n boundari es whi ch occupy a certai n spati al
vol ume and are connected to form a compl ex network. The texture and morphol ogy of the grai n
structure depends on the deposi ti on condi ti ons and on subsequent thermal treatment (duri ng whi ch
recrystal l i zati on can occur). I mpuri ti es i nsi de the grai n wi l l di ffuse di fferentl y than those i n the grai n
boundari es. Dopant wi l l al so transport through grai n and grai n boundary i nterfaces. The di rect
si mul ati on of the di ffusi on wi thi n al l pol ysi l i con regi ons wi th such geometri cal l y compl ex mi cro-
structures i s too expensi ve computati onal l y and therefore requi res a speci al mathemati cal treatment.
A two di mensi onal numeri cal model [100, 111] for i mpuri ty di ffusi on i n pol ysi l i con i s i ncorporated i n
SSUPREM4. I n thi s model , the pol ysi l i con mi cro-structure i s descri bed mathemati cal l y usi ng l ocal
homogeni zati on approxi mati on. I n thi s approach, a spati al l y separated grai n i nteri or and a grai n
boundary are represented by the spati al l y overl apped homogeneous grai n i nteri or bul k regi on and
homogeneous grai n boundary network regi on. Each l ocal pol ysi l i con materi al el ement i ncl udes two
components: a grai n i nteri or and a grai n boundary component. The grai n boundary network i s
characteri zed wi th a scal ar densi ty functi on descri bi ng the grai n si ze and a vector functi on descri bi ng
the grai n boundary di recti on. Correspondi ngl y, each i mpuri ty i s spl i t i nto two di ffusi on components:
i nsi de the grai n i nteri or and i n the grai n boundary regi on. These two components are coupl ed wi th
grai n boundary segregati on. Duri ng a thermal cycl e, pol ysi l i con recrystal l i zati on i s al so model ed i n
order to i ncl ude grai n si ze growth. The pol ysi l i con di ffusi on i s i nvoked by setti ng the fl ag POLY.DIFF
on the METHOD statement and control of the model i s enabl ed wi th the MATERIAL statement. The
di ffusi on wi l l proceed accordi ng to the ti me and temperature gi ven i n the DIFFUSE statement. The
resul ti ng i mpuri ty profi l e can be output as a grai n i nteri or component, a grai n boundary component
and combi ned total concentrati on. The rel ati onshi p between the di ffusi on components of grai n i nteri or
and grai n boundary are [111].
3-76
3-77
3-78
3-79
3-80
C
g
t
--------- D
g
C
g
( ) G =
D
g
D
g0
D
gE
kT
---------
,
_
exp =
C
gb
t
------------
i
F
ij
D
gb

j
C
gb
( ) G + =
G t
1
( )
C
g
P
seg
---------- C
gb

,
_
=
D
gb
D
gb0
D
gbE
kT
------------
,
_
exp
1
t
--- =
ATHENA Users Manual
3-32 SILVACO International
3-81
where
C
g
is the impurity concentration component in the grain interior
C
gb
is the impurity concentration component in the grain boundary
D
g
and Dgb is the diffusivity of grain interior and grain boundary respectively
G is the grain boundary segregation flux term
is a constant that represents the rate of segregation,
P
seg
is the segregation coefficient
F
ij
is a tensor to account for the effect of grain boundary directionality
L
g
is grain size and is assumed constant in x,y,z for the current implementation
The grain boundary segregation is modeled [112] with:
3-82
where
Q
s
is the density of segregation at the grain boundary
N
si
is the density of silicon atoms in the Si crystal
A is the entropy factor
o is the segregation activation energy
Grain Growth
The L
g
i s a ti me dependent spati al functi on due to the recrystal l i zati on duri ng the thermal cycl e, i t i s
model ed [100] wi th
3-83
where
g 0 is the initial polysilicon grain size
b is the lattice constant
D
gb
/Si is the grain boundary silicon self-diffusivity
is the grain boundary energy
is the elapsed time during the diffusion
The current i mpl ementati on al l ows onl y a si ngl e scal ar val ue of as deposi ted pol ysi l i con grai n si ze.
Two sets of physi cal parameters need to be set up to enabl e proper di ffusi on:
1. parameters rel ated to the pol ysi l i con materi al , are set up i n MATERIAL statement
2. parameters rel ated to the speci fi c i mpuri ty, are set up wi th the IMPURITY statement
F
ij
1
L
g x y z , , ( )
---------------------
1 0 0
0 2 0
0 0 1
=
p
seg
1
L
g
------
Q
s
N
si
-------A

o
kT
-------
,
_
exp =
L
g
x y z , , ( )
2
g
0
----- 2.
6b
2
KT
--------.D
gb Si
+
1 2
=
SSUPREM4 Models
SILVACO International 3-33
The vector functi on descri bi ng grai n boundary di recti onal i ty i s cal cul ated duri ng the pol ysi l i con
deposi ti on process. Currentl y, a col umnar di recti on vector functi on i s i mpl emented, i n whi ch the grai n
boundary i s al i gned al ong the di recti on normal to the surface of each deposi ted l ayer. I n order to create
the vector functi on, the METHOD statement wi th POLY.DIFF speci fi ed shoul d precede the deposi ti on of
the pol ysi l i con.
ATHENA Users Manual
3-34 SILVACO International
Oxidation Models
Introduction
The fabri cati on of i ntegrated ci rcui t mi croel ectroni c structures and devi ces vi tal l y depends on the
thermal oxi dati on process for the formati on of gate di el ectri cs, devi ce i sol ati on regi ons, spacer regi ons,
and i on i mpl antati on mask regi ons. Of parti cul ar i mportance i s the preci se control of si l i con di oxi de
thi ckness as devi ce geometri es conti nue to scal e to sub-mi cron di mensi ons.
I n SSUPREM4 si l i con thermal oxi dati on i s model ed when a DIFFUSION statement contai ns a DRYO2,
WETO2, F.O2, or F.H2O parameter. Oxi dati on takes pl ace when there i s an i nterface between si l i con
(or pol ysi l i con) and si l i con di oxi de or a si l i con (pol ysi l i con) surface i s exposed to an oxi di zi ng ambi ent.
SSUPREM4 si mul ates pol ysi l i con oxi dati on i n a very si mi l ar manner as si l i con (al most al l oxi dati on
parameters for pol ysi l i con are the same as for si l i con). SSUPREM4 al so al l ows oxi dati on compl etel y
through a si l i con (pol ysi l i con) l ayer. Thi s i s very i mportant i n processes (e.g. pol y buffered LOCOS) i n
whi ch pol ysi l i con regi ons are compl etel y consumed duri ng oxi dati on.
Because exposed si l i con surfaces usual l y have a thi n nati ve oxi de l ayer, SSUPREM4 automati cal l y
deposi ts a thi n nati ve oxi de l ayer on al l exposed si l i con (pol ysi l i con) surfaces at the begi nni ng of
oxi dati on steps; the INITIAL parameter i n the OXIDE statement deter mi nes the l ayer s thi ckness,
whi ch has a defaul t val ue of 20 .
The two-di mensi onal oxi dati on model s i n SSUPREM4 are based on the wel l -known l i near-parabol i c
theory of Deal and Grove [13], i n whi ch si l i con oxi dati on i s model ed by consi deri ng three processes:
(1) Oxi dant (e.g., H
2
O or O
2
) i s transported from the ambi ent gas i nto the Si O
2
l ayer at the gas/Si O
2
i nterface.
(2) Oxi dant i s transported across the Si O
2
l ayer unti l reachi ng the Si /Si O
2
i nterface.
(3) Oxi dant, arri vi ng at the Si /Si O
2
i nterface, reacts wi th si l i con to form a new l ayer of Si O
2
.
The transpor t of oxi dant acr oss the gas/Si O
2
i nterface i s gi ven by
3-84
where:
h is the gas-phase mass-transport coefficient.
C* is the equilibrium oxidant concentration in SiO
2
.
C
0
is the oxidant concentration in SiO
2
at the gas/SiO
2
interface.
n
0
is a unit vector normal to the gas/SiO
2
interface pointing toward the silicon layer.
The equi l i bri um oxi dant concentrati on i n Si O
2
i s l i nearl y rel ated to the parti al pressure of the oxi dant,
P, i n the gas by Henrys l aw
3-85
where K i s a constant.
Di ffusi on of oxi dant mol ecul es i n the Si O
2
i s dri ven by a concentrati on gradi ent and i s gi ven by Fi cks
l aw as
3-86
F1 h C
*
C
0
( )n

0
=
C
*
K P =
F2 D
eff
C =
SSUPREM4 Models
SILVACO International 3-35
where:
D
eff
is the effective oxidant (H
2
O or O
2
) diffusivity in the growing SiO
2
layer.
C is the oxidant concentration in the oxide.
The r eacti on at the Si (Pol ysi l i con)/Si O
2
i nter face between si l i con and the oxi dant i s expr essed as
3-87
where:
k is the apparent surface reaction rate constant.
C
i
is the oxidant concentration at the Si(Polysilicon)/SiO
2
interface.
n
i
is a unit vector normal to the Si/SiO
2
pointing toward the silicon layer.
Under steady state condi ti ons, the three fl uxes are equal :
3-88
By di vi di ng the fl ux by N
1
, the number of oxi dant mol ecul es i ncorporated i n a uni t vol ume of Si O
2
, and
consi deri ng one di mensi onal growth, the growth rate of the oxi de l ayer i s gi ven by:
3-89
where x
0
i s the oxi de thi ckness. From equati ons 3-84 and equati ons 3-86 - 3-88, equati on 3-89 can be
expressed as:
3-90
where:
3-91
3-92
F3 kC
i
n

i
=
F F1 F2 F3 = = =
dx
0
dt
--------
F
N
1
------ =
dx
0
dt
--------
B
A 2x
0
+
------------------- =
A 2D
eff
1
k
---
1
h
--- +
,
_
=
B 2D
eff
C
*
N
1
------ =
ATHENA Users Manual
3-36 SILVACO International
Equati on 3-90 i s modi fi ed for thi n oxi des (l ess than 500 ) as fol l ows:
3-93
where R i s cal cul ated accordi ng to [ ]:
R=THI NOX.0 exp exp 3-94
where P i s parti al pressure, THINOX.0, THINOX.E, THINOX.L and THINOX.P are speci fi ed i n the
OXIDE statement.
Numerical Model Description
I n the previ ous secti on, an i ntroducti on to one-di mensi onal oxi dati on model i ng was presented. I n thi s
secti on the two-di mensi onal numeri cal oxi dati on model s i mpl emented i n SSUPREM4 are descri bed.
The numeri cal oxi dati on model s bui l d on the Deal -Grove oxi dati on theory and provi de the capabi l i ty to
si mul ate arbi trary two-di mensi onal structures.
The numeri cal oxi dati on model s requi re sol vi ng the oxi dant di ffusi on equati on at i ncremental ti me
steps at di screte gri d poi nts i n the growi ng Si O
2
l ayer. The oxi dant di ffusi on equati on i s gi ven by
3-95
where:
C i s the oxi dant concentrati on i n Si O
2
.
t i s the oxi dati on ti me.
F i s the oxi dant fl ux.
Equati on 3-95 i s sol ved by substi tuti ng equati on 3-86 for F, and defi ni ng appropri ate boundary
condi ti ons at materi al i nterfaces wi th Si O
2
. At the gas/Si O
2
i nterface, equati on 3-84 descri bes the
i nterface transport fl ux of oxi dant mol ecul es, accounti ng for the boundary condi ti on at that i nterface.
The boundary condi ti on at the Si (Pol ysi l i con)/Si O
2
i nterface i s descri bed by equati on 3-87. The fl ux at
boundari es between Si O
2
and other materi al s i n the si mul ati on structure i s set to zero. By sol vi ng
equati on 3-95 the oxi dant concentrati on i s determi ned at each gri d poi nt i n the Si O
2
l ayer. The Si O
2
growth rate or Si /Si O
2
i nterface vel oci ty, V
s
, i s determi ned at each poi nt al ong the i nterface by
combi ni ng equati ons 3-87 and 3-89 resul ti ng i n
3-96
At each ti me step equati on 3-96 i s sol ved, the i ncremental oxi de thi ckness grown i s cal cul ated by
mul ti pl yi ng equati on 3-96 by the ti me step. Duri ng the oxi dati on reacti on si l i con atoms bond wi th the
oxi dant to form the Si O
2
compound. Thus, si l i con materi al i s removed duri ng the oxi dati on process.
The rati o of the si l i con thi ckness consumed to form a gi ven thi ckness of Si O
2
i s speci fi ed usi ng the
ALPHA parameter on the OXIDE statement.
dx
0
dt
--------
B
A 2x
0
+
------------------- R + =
THINOX E
T
--------------------------------
,
_
x
0
THINOX L
--------------------------------
,
_
P
THINOX P

C
t
------- F =
V
s
kC
i
n

i
N
1
------------- =
SSUPREM4 Models
SILVACO International 3-37
Equati on 3-95 i s suffi ci ent to descri be the moti on of the Si /Si O
2
i nterface i f the oxi de fl ow i s i n the
same di recti on as the growth (for pl anar oxi dati on structures). I n most structures of i nterest the oxi de
fl ow i s two di mensi onal ; therefore, addi ti onal equati ons have to be sol ved. Both the COMPRESS and
VISCOUS model s cal cul ate the two-di mensi onal fl ow of oxi de el ements by sol vi ng a si mpl i fi ed
hydrodynami c creepi ng fl ow equati on.
Compress Model
I n addi ti on to sol vi ng equati ons 3-95 and 3-96, a si mpl i fi cati on of the hydrodynami c fl ow equati on i s
sol ved to obtai n the fl ow of oxi de el ements [31]. The COMPRESS model i s acti vated by speci fyi ng
COMPRESS on the METHOD statement pri or to a DIFFUSE statement. The COMPRESS method i s the
defaul t oxi dati on model i n SSUPREM4. Negl ecti ng the accel erati on and gravi tati onal terms i n the
hydr odynami c fl ow equati on, the cr eepi ng-fl ow equati on i s gi ven by:
3-97
where:
P is the hydrostatic pressure.
V is velocity of oxide elements.
is the oxide viscosity.
The oxide viscosity is calculated from
3-98
where:
YOUNG.M is Youngs modulus, specified in the MATERIAL statement and
POISS.R is Poissons ratio and is specified in the MATERIAL statement.
The oxi de fl ow i s treated as an i ncompressi bl e fl ui d. By doi ng thi s, i t i s i mpl i ed that the densi ty of the
oxi de i s constant wi th respect to ti me. Appl yi ng thi s fact to the mass conti nui ty equati on, the
i ncompressi bi l i ty condi ti on i s gi ven as
3-99
The i ncompressi bi l i ty condi ti on i n equati on 3-99 i s i mpl emented by al l owi ng a sl i ght compressi bi l i ty of
the fl owi ng oxi de. Thus, equati on 3-99 i s modi fi ed to gi ve
3-100
The sol uti on of equati on 3-100 at each ti me step gi ves the vel oci ty fi el d of the fl owi ng oxi de el ements.
The COMPRESS model i s recommended for si mul ati ons of pl anar and nonpl anar structures where
stress effects pl ay a mi nor rol e i n determi ni ng the oxi de shape. When stress effects are i mportant, one
can use the VISCOUS oxi dati on model . Fi gure 3-3 shows a two-di mensi onal cross secti on of the
structure resul ti ng from a LOCOS oxi dati on usi ng the COMPRESS model .

2
V P =

YOUNG.M
2 2 POISS.R + ( )
--------------------------------------------- =
V 0 =
V
1 2 POISS.R ( )

-------------------------------------P =
ATHENA Users Manual
3-38 SILVACO International
Figure 3-3: Resulting Structure from a LOCOS Oxidation step using the COMPRESS Model
Viscous Model
The VISCOUS model sol ves the same fl ow equati ons as descri bed i n the previ ous secti on. Thi s model i s
acti vated by speci fyi ng the VISCOUS parameter on the METHOD statement pri or to the DIFFUSE
statement. The VISCOUS model cal cul ates stresses i n the growi ng oxi de and creates al most the same
shape for the si l i con/oxi de i nterface as does the COMPRESS method.
The stresses i n the oxi de are cal cul ated as fol l ows [17]:
3-101
3-102
3-103

xx

yy
+
2 VISC.0
VISC.E
k
b
T
-----------------------
,
_
exp
1 2 POISS.R
-----------------------------------------------------------------------
V
x
x
----------
V
y
y
---------- +
,
_
=

xx

yy
2 VISC.0
VISC.E
k
b
T
-----------------------
,
_
exp
V
x
x
----------
V
y
y
---------- +
,
_
=

xy
VISC.0
VISC.E
k
b
T
-----------------------
,
_
exp
V
x
x
----------
V
y
y
---------- +
,
_
=
SSUPREM4 Models
SILVACO International 3-39
where:
V
x
and V
y
are the x and y components of flow velocity V, respectively.
VISC.0 and VISC.E are the pre-exponential and activation energy, respectively for viscosity, specified on the
MATERIAL statement.
The stress-dependent nonl i near model based on Eyri ngs work [18] al l ows a descri pti on of the real
shape of LOCOS profi l es wi th ki nks on the i nter face. The model i s turned on by the STRESS.DEP
parameter usi ng the OXIDE statement. Usi ng Equati ons 3-97, and 3-100 3-103, the nonl i near sol ver
fi rst fi nds a l i near sol uti on for fl ow vel oci ti es and stresses and then uses the stresses obtai ned to
cal cul ate the reducti on factors for oxi dant di ffusi vi ty D
eff
, oxi de vi scosi ty , and i nterface reacti on rate
constant k as fol l ows:
3-104
3-105
3-106
where:
i is the iteration.
V
d
, V
c
, V
r
, and V
t
are the activation volumes (in
3
), specified in the OXIDE statement.
is the total shear stress:
3-107

r
is the normal component of the total stress:
3-108

t
is the tangential component of the total stress:
3-109
D
i ( )
eff
D
i 1 ( )
eff
V
d

xx

yy
+ ( )
k
b
T
-----------------------------------
,
_
exp =

i ( )

i 1 ( )
V
c
2k
b
T
------------
,
_
h
V
c
2k
b
T
------------
,
_
sin
----------------------------- =
k
i ( )
k
i 1 ( )

r
V
r

t
V
t
+
k
b
T
-----------------------------
,
_
exp =

1
2
---
xx

yy
( )
2
4
xy
2
+ =

r

xx
n
2
x

yy
n
y
2
2
xy
n
x
n
y
+ + =

t

xx
n
y
2

yy
n
x
2
2
xy
n
x
n
y
+ =
ATHENA Users Manual
3-40 SILVACO International
where:
n
x
and n
y
are the x and y components of the unit vector normal, respectively.
The reduced parameters feed back to the next i terati on. Thi s process conti nues unti l the accuracy
cri teri on i s met. Fast convergence of thi s process i s not guaranteed. Oxi dati on cal cul ati ons by the
stress-dependent model usual l y take much more CPU ti me than the COMPRESS model .
Fi gure 3-4 shows the resul ti ng structure from a LOCOS oxi dati on step usi ng the stress dependent
VISCOUS model .
Figure 3-4: Resulting Structure from a LOCOS Oxidation step using the Stress-Dependent VISCOUS Model
Linear Rate Constant
For short oxi dati on ti mes and l ow oxi dati on temperatures the oxi de growth i s l i nearl y rel ated to the
oxi dati on ti me. The i nterface processes (oxi dant transport across the gas/Si O
2
i nterface and oxi dant
r eacti on at the Si /Si O
2
i nterface) are the determi ni ng factor i n descri bi ng the growth ki neti cs. I n thi s
regi me the oxi de thi ckness can be approxi mated as:
3-110
where (B/A) i s cal l ed the l i near rate constant, and i s obtai ned by di vi di ng equati on 3-92 by equati on 3-
91 resul ti ng i n:
3-111
x
0
B
A
----
,
_
t
B
A
----
,
_
C
*
N
1
------
1
h
---
1
k
--- +
,
_
=
SSUPREM4 Models
SILVACO International 3-41
The equi l i bri um oxi dant concentrati on i n the oxi de, C
*
, i s defi ned by equati on 3-85 and K i n equati on
3-85 i s speci fi ed by the HENRY.COEF parameter on the OXIDE statement. The gas-phase mass-
transport coeffi ci ent, h, i s gi ven by the fol l owi ng Arrheni us rel ati on
3-112
where TRN.0 and TRN.E are speci fi ed on the OXIDE statement. The i nterface reacti on rate constant, k,
i s determi ned from equati on 3-111 and experi mental l y determi ned val ues of (B/A).
The l i near rate constant i s composed of several dependenci es i ncl udi ng ori entati on, pressure, chl ori ne
addi ti ons, and dopi ng effects.
3-113
(B/A)
i
i s gi ven by
3-114
whi ch i s the l i near rate constant determi ned for oxi dati ons on l i ghtl y-doped substrates anneal ed at
atmospheri c pressure wi th no chl ori ne content i n the ambi ent. The parameters appeari ng i n equati on
3-114 are speci fi ed on the OXI DE statement. The remai ni ng factors i n equati on 3-113 are descri bed i n
the fol l owi ng secti ons.
Orientation Dependence
The si l i con substrate ori entati on i s known to affect the oxi dati on ki neti cs [31, 33]. The i nfl uence of
ori entati on on the l i near rate constant i s model ed as (B/A)
or i
i n Equati on 3-113. The ori entati on
dependenci es for <100> and <110> ori entati ons are model ed by appropri ate reducti on factors, and (B/
A)
or i
for <111> substrates i s uni ty. Fi gure 3-5 shows the si l i con di oxi de thi ckness dependence as a
functi on of the substrate ori entati on for several oxi dati on temperatures.
h TRN.0
TRN.E
k
b
T
------------------
,
_
exp =
B
A
----
,
_
B
A
----
,
_
i
B
A
----
,
_
ori
B
A
----
,
_
P
B
A
----
,
_
Cl
B
A
----
,
_
doping
=
B
A
----
,
_
i
LI N.L.0
LI N.L.E
k
b
T
---------------------
,
_
T L.BREAK < exp
LI N.H.0
LI N.H.E
k
b
T
----------------------
,
_
T L.BREAK ( ) exp

'

=
ATHENA Users Manual
3-42 SILVACO International
Figure 3-5: Silicon Dioxide Thickness versus Time for Different Substrate Orientations and Temperatures
Pressure Dependence
Hi gh pressure si l i con oxi dati on al l ows one to grow rel ati vel y thi ck Si O
2
fi l ms whi l e keepi ng the
temperature l ow such that dopant redi stri buti on i s reduced [32]. The pressure dependence i n the
l i near rate constant i s gi ven by
3-115
where:
L.PDEP is specified on the OXIDE statement for each oxidant.
P is the partial pressure of the oxidizing gas.
Figure 3-6 shows the silicon dioxide thickness versus time with PRESSURE as a parameter.
B
A
----
,
_
P
P
L.PDEP
=
SSUPREM4 Models
SILVACO International 3-43
Figure 3-6: Silicon Dioxide Thickness versus Time with Pressure as a Parameter
Chlorine Dependence
The addi ti on of chl ori ne to the oxi dati on system resul ts i n better passi vati on and hi gher oxi de
di el ectri c strength [13, 33]. For a dry oxygen ambi ent, chl ori ne i ntroducti on gi ves ri se to a hi gher
oxi dati on rate, and i t has been suggested [33] that chl ori ne reacts wi th O
2
to produce H
2
O and Cl
2
as
products. The oxi dati on rate i s hi gher i n H
2
O ambi ents than i n O
2
ambi ents because of H
2
Os
i ncreased equi l i bri um concentrati on i n the oxi de compared to O
2
. A l ook-up tabl e approach i s
i mpl emented to model the i ncrease i n the l i near rate constant i n Equati on 3-141 though the (B/A)
Cl
term. The tabl e gi ves an enhancement factor to the l i near rate constant as a functi on of chl ori ne
percentage and temperature. The defaul t val ues for chl ori ne dependence are i ncl uded i n Appendi x B.
The effects of addi ng chl ori ne to the oxi di zi ng ambi ent i s shown i n Fi gure 3-6, where the si l i con
di oxi de thi ckness i ncreases as more chl ori ne i s added to the ambi ent.
ATHENA Users Manual
3-44 SILVACO International
Figure 3-7: Silicon Dioxide Thickness Versus Oxidation Time with HCl Percentage and Temperature as
Parameters
Doping Dependence
I t i s wel l known that Si O
2
formati on on hi ghl y-doped n-type and p-type substrates can be enhanced
compared to Si O
2
formati on on l i ghtl y-doped substrates [15, 162]. The dependence of si l i con di oxi de
growth ki neti cs on dopi ng concentrati on i s mani fested as part of the l i near rate constant, where the
physi cal si gni fi cance of the hi gh dopi ng l evel s has been expl ai ned pri mari l y as an el ectri cal effect [15,
159]. Thi s factor i n the l i near rate constant i s gi ven by
3-116
where:
V* is the equilibrium vacancy concentration in silicon at the Si/SiO
2
interface.
V
i
*
is the equilibrium vacancy concentration in intrinsic silicon.
BAF.K0 and BAF.KE are specified on the OXIDE statement.
The equilibrium vacancy concentration, composed of vacancy defects in different charged states, depends on the
Fermi level location [163, 164] and is given by
B
A
----
,
_
doping
1 BAF.K0
BAF.KE
k
b
T
--------------------------
,
_
V

V
i

-------- 1
,
_
exp + =
SSUPREM4 Models
SILVACO International 3-45
3-117
where:
n is the electron concentration
n
i
is the intrinsic carrier concentration
3-118
3-119
3-120
3-121

+
,
++
,

, and

are fractions of the vacancy concentration which are positively, double positively, negatively, and
double negatively charged, respectively.
Figure 3-8 shows a plot of V
*
/V
i
*
at 950 degrees Celsius for common silicon dopants. Note that for n-type dopants
V
*
/V
i
*
increases as the doping concentration increases, but V
*
/V
i
*
remains essentially constant for the p-type dopant.
The increase in V
*
/V
i
*
for n-type dopants increases the linear rate constant. This ultimately leads to thicker oxides
when oxidizing highly-doped n-type substrates due to a higher availability of unoccupied silicon lattice sites (vacan-
cies) for oxidant molecules to be incorporated.
The oxi de thi ckness tr end can be r eadi l y obser ved i n Fi gur e 3-9 wher e the Si O
2
thi ckness i s pl otted
versus dopi ng concentrati on for common si l i con dopants.
V

V
i

1
n
i
n
----
,
_

+
n
i
n
----
,
_
2

++
n
n
i
----
,
_

n
n
i
----
,
_
2

=
+ + + +
1
+

++

=
+ + + +
------------------------------------------------------------------------------------------------------



' ;



=

+
BAF.EBK
BAF.PE
k
b
T
---------------------
,
_
exp =

++
BAF.EBK
BAF.PPE
k
b
T
-------------------------
,
_
exp =

BAF.EBK
BAF.NE
k
b
T
----------------------
,
_
exp =

=
BAF.EBK
BAF.NNE
k
b
T
---------------------------
,
_
exp =
ATHENA Users Manual
3-46 SILVACO International
Figure 3-8: Ratio versus Doping Concentration
Figure 3-9: Simulated Silicon Dioxide Thickness vs. Doping Concentration for Common Silicon Dopants
V

V
i

SSUPREM4 Models
SILVACO International 3-47
Parabolic Rate Constant
For l ong oxi dati on ti mes and hi gh temperatures the oxi de growth i s parabol i cal l y rel ated to the
oxi dati on ti me. The di ffusi on of oxi dant i n the oxi de i s the determi ni ng factor i n descri bi ng the growth
ki neti cs. For these ti mes and temperatures the oxi de thi ckness can be approxi mated as:
3-122
where B i s cal l ed the parabol i c rate constant and i s gi ven by Equati on 3-92. Usi ng Equati on 3-92, the
oxi dant di ffusi vi ty, D
eff
, i s determi ned from speci fi ed val ues for C
*
, N
1
, and experi mental l y-determi ned
val ues of B. The parabol i c rate constant has been determi ned to have dependenci es on the ambi ent
pressure and the chl ori ne content duri ng oxi dati on, and i s gi ven by:
3-123
where:
3-124
B
i
i s determi ned as a functi on of temperature and ti me for l i ghtl y-doped substrates anneal ed at
atmospheri c pressure wi th no chl ori ne content i n the ambi ent. The parameters i n equati on 3-122 are
speci fi ed for the appropri ate oxi dant speci es usi ng the OXIDE statement. The pressur e dependence and
chl ori ne dependence are descri bed i n the fol l owi ng secti ons.
Pressure Dependence
The effects of pressure on the ki neti cs of the si l i con oxi dati on process have been studi ed by Razouk et
al . [32] for pyrogeni c steam and Li e et al . [165] for dry oxygen. The parabol i c rate vari es wi th pressure
because of i ts dependence on the oxi dant equi l i bri um concentrati on i n the oxi de, C
*
, whi ch i s di rectl y
proporti onal to the parti al pressure of the oxi di zi ng gas. The fol l owi ng rel ati on i s used to model thi s
dependency
3-125
where:
P is the partial pressure of the oxidizing gas in atmospheres.
P.PDEP is specified on the OXIDE statement.
Refer to Figure 3-6 for a plot of SiO
2
thickness as a function of time and pressure.
x
0
2
Bt
B B
i
B
P
B
HCl
=
B
i
PAR.L.0
PAR.L.E
k
b
T
------------------------
,
_
T P.BREAK < exp
PAR.H.0
PAR.H.E
k
b
T
------------------------
,
_
T P.BREAK exp

'

=
B
P
P
P.PDEP
=
ATHENA Users Manual
3-48 SILVACO International
Chlorine Dependence
I t has been observed that addi ti ons of chl ori ne duri ng thermal oxi dati on al so affect the parabol i c rate
constant. One possi bl e expl anati on i s that as chl ori ne enters the oxi de fi l m, i t tends to cause the Si O
2
l atti ce to become strai ned, whi ch i n turn i ncreases the oxi dant di ffusi vi ty [33]. Chl ori ne concentrati on
dependence on the parabol i c oxi dati on rate i s model ed i n a si mi l ar manner to that of the l i near rate
constant. Gi ven an HCl percentage, a l ook-up tabl e i s used to determi ne an enhancement factor for
the parabol i c rate constant. Fi gure 3-7 shows the Si O
2
thi ckness dependency on HCl percentage.
Mixed Ambient Oxidation
I n practi ce, an oxi di zi ng ambi ent may be a gas mi xture consi sti ng of more than one oxi dant and other
i mpuri ti es. The total oxi dati on rate wi l l be the combi ned effect of al l these speci es. To si mul ate
oxi dati on under a mul ti -gas ambi ent, SSUPREM4 si mul taneousl y cal cul ates the di ffusi on and
oxi dati on of several ambi ent gases.
The capabi l i ty i s i nvoked by speci fyi ng the gas fl ow parameters F.O2, F.H2, F.H2O, F.N2, and
F.HCL on the DIFFUSE statement. From the gas fl ow, the parti al pressure of each gas i s cal cul ated as:
3-126
where:
P
j
and F
j
are partial pressure and gas flow rate for the j
th
gas, respectively.
P
total
is the total pressure of the gas mixture (specified by the pressure parameter on the DIFFUSE statement).
I f onl y one oxi dant gas i s speci fi ed i n the gas fl ow (i .e., onl y O
2
or H
2
O wi th other gases), oxi dati on i s
model ed as descri bed previ ousl y. The pressure of the oxi dant gas i s determi ned by equati on 3-124. I f
both F.H2 and F.O2 are speci fi ed, the reacti on of H
2
and O
2
to form H
2
O i s assumed to occur. The
parti al pressure of H
2
O i s then cal cul ated before sol vi ng the oxi dati on equati ons.
For ambi ents contai ni ng more than one oxi dant (e.g., O
2
and H
2
O), the parti al pressure of each
oxi dant i s used to cal cul ate C
*
for each speci es. From C
*
, k and D
eff
for each oxi dant speci es are
cal cul ated i n a si mi l ar manner as that descri bed i n the pai rs secti ons, respecti vel y. Equati on 3-93 i s
sol ved for each oxi dant to obtai n each oxi dants concentrati on di stri buti on i n the growi ng Si O
2
. The
contri buti ons of each oxi di zi ng speci es to the Si /Si O
2
i nterface vel oci ty i s cal cul ated wi th:
3-127
where Equati on 3-96 has been used and j corresponds to the j
th
oxi dant gas.
The fl ow equati ons are al so cal cul ated for a mi xed ambi ent where both O
2
and H
2
O exi st and
COMPRESS or VISCOUS has been speci fi ed on the METHOD statement. The str ess dependence of D
eff
and
k i s a functi on of the composi ti on of dry or wet oxi de whi ch depends on oxi dati on hi story. Mi xed
ambi ent oxi dati on si mul ati ons take l onger to sol ve than si mpl e ambi ent equati ons.
P
j
P
total
F
j
F
j
----------- =
V
s
k
j
C
ij
n

ij
N
1j
------------------
j

=
SSUPREM4 Models
SILVACO International 3-49
Analytical Oxidation Models
The anal yti cal oxi dati on model s can be used to si mul ate onl y a l i mi ted set of si mpl e structures;
possi bl e structures i ncl ude a si l i con substrate wi th an oxi de l ayer deposi ted (or grown) on i t. Si nce the
mask can onl y be speci fi ed at the l eft part of a si mul ated structure, oxi dati on can take pl ace onl y to the
ri ght of the mask edge. Anal yti cal methods do not account for any real materi al l ayer l ocated to the
ri ght of the speci fi ed mask edge. As the oxi de l ayer thi ckens, the materi al i s el evated, but growth rate
and oxi de shape are not affected. I n al l anal yti cal model s, the i ni ti al si l i con surface must be pl anar.
The ERFG model si mul ates the bi rds beak oxi de shape under ni tri de masks of di ffer ent thi cknesses
[16]. The ERFG model consi sts of two model s ERF1 and ERF2. The ERF1 model descri bes the oxi de
growth under a thi n ni tri de l ayer, where the stress from the ni tri de mask l ayer i s negl i gi bl e. ERF2
model descri bes the oxi de growth when ni tri de l ayer thi cknesses are l arge enough to cause stress i n
the oxi de, whi ch can resul t i n the oxi de l ayer bei ng pi nched. When ERFG i s speci fi ed, ei ther the
ERF1 or ERF2 model wi l l be automati cal l y sel ected based on the structure under consi derati on. Both
model s are based on the error-functi on shape of the oxi de/si l i con and oxi de/ambi ent or oxi de/ni tri de
i nterfaces:
3-128
Parameters A, B, C, and D are compl ex functi ons of several geometri c parameters: i ni ti al thi ckness of
oxi de l
ox
and ni tri de l
n
, current thi ckness E
ox
of oxi de gi ven by the Deal -Grove model (Equati on 3-89),
the l ength of l ateral oxi dati on under the ni tri de l ayer L
bb
, and the l i fti ng of the mask dur i ng oxi dati on
H. These functi ons ar e speci fi ed i n the OXIDE statement. Al l defaul ts are taken from [16].
Recommendations for Successful Oxidation Simulations
Achi evi ng successful oxi dati on si mul ati ons can be a frustrati ng task for a novi ce user of process
si mul ati on software. Thi s secti on hi ghl i ghts some of the most common barri ers encountered usi ng
process si mul ati on to model oxi dati on steps, and descri bes how to overcome those barri ers wi th the
proper methods for si mul ati ng these oxi dati on steps.
One of the most common errors made i n si mul ati ng oxi dati on steps i s not properl y gri ddi ng the oxi de
structure. I mproper gri ddi ng can resul t i n jagged oxi de shapes and errors i n resol vi ng i mpuri ty
di stri buti ons. As the oxi de l ayer i s growi ng, gri d poi nts are added at predefi ned spaci ngs. As si l i con i s
bei ng consumed, dopants are transported across the Si /Si O
2
i nterface. I t i s i mportant to obtai n a wel l
gri dded oxi de to properl y account for dopant redi stri buti on duri ng the oxi dati on step.
Growing Thin Oxides
A typi cal appl i cati on where thi n oxi de growth i s i mportant i s duri ng a gate oxi dati on step of a
MOSFET whi ch has a hi ghl y-doped pol ysi l i con gate. By defaul t SSUPREM4 uses a gri d spaci ng of 0.1
mi crons i n the growi ng oxi de l ayer. Thus, one gri d l ayer wi l l be added i n the growi ng oxi de every 0.1
mi crons (or 1000 angstroms). Thi s gri d spaci ng i s appropri ate for fi el d oxi dati ons, and hence the
reason i t i s the defaul t gri d spaci ng i n the growi ng oxi de l ayer. Usi ng the defaul t gri d spaci ng i n the
oxi de for typi cal gate oxi dati ons i n todays MOS technol ogy resul ts i n no gri d bei ng added i n the
i nteri or of the Si O
2
l ayer. Wi th no gri d present i n the oxi de to resol ve the dopant di ffusi on i n the oxi de
duri ng subsequent processi ng, the pol ysi l i con dopant can penetrate i nto the underl yi ng si l i con
substrate. Thi s si mul ati on arti fact can cause threshol d vol tages to be very di fferent than expected.
To recti fy thi s si mul ati on arti fact, the user can control the number of gri d l ayers added duri ng the
oxi dati on wi th the GRID.OXIDE and GRIDINIT.OXIDE parameters on the METHOD statement. Thi s
statement shoul d be pl aced befor e the gate oxi dati on di ffusi on step. Setti ng these par ameter s to a
val ue whi ch resul ts i n three or four gri d l ayers i n the gate oxi de (e.g., 15 angstroms for a 60 angstrom
gate oxi de thi ckness) can al l evi ate thi s probl em. I t i s suggested that these parameters be set back to
the defaul t val ues after the gate oxi dati on step.
Z A erfc By C + ( ) D + =
ATHENA Users Manual
3-50 SILVACO International
Fi gure 3-10 shows a cross secti on of an NMOSFET wi th a hi ghl y-doped phosphorus pol ysi l i con gate.
The defaul t gri d spaci ng i n the oxi de i s used i n Fi gure 3-10(b), whi l e the gri d spaci ng i s adjusted
properl y for Fi gure 3-10(a). By compari ng these two fi gures, i t i s obvi ous that phosphorus has
penetrated through the gate oxi de for Fi gure 3-10(b), but does not penetrate through the gate oxi de i n
Fi gure 3-10(a).
Figure 3-10: (a) MOSFET Structure with Proper Gridding in Gate Oxide, (b) MOSFET Structure with Default
Grid Spacing in Gate Oxide
Implantation Through Thermally-Grown Oxides and Dopant Loss During Subsequent
Annealing
Frequentl y, dopants are i mpl anted through thermal l y grown oxi de l ayers. I t i s i mportant to have a
proper gri d spaci ng i n the oxi de through whi ch the dopant i s i mpl anted for two reasons. Fi rst, thi s wi l l
ai d i n determi ni ng the proper dopant profi l e i n the oxi de l ayer and the underl yi ng si l i con. Secondl y,
proper gri ddi ng i s requi red to resol ved the dopant di ffusi on i n the oxi de duri ng subsequent processi ng
steps.
Duri ng anneal i ng the dopant wi l l di ffuse i n Si O
2
and si l i con, and eventual l y evaporate i nto the
ambi ent at the gas/Si O
2
i nterface. I f proper gri ddi ng i s not suppl i ed i n the growi ng oxi de l ayer, the
amount of dopant evaporati ng can be underesti mated, yi el di ng a l arger dose retai ned i n the si l i con
substrate. The mechani sm i s si mi l ar to what was descri bed i n the earl i er secti ons; there may not be
any gri d poi nts i n the i nteri or of the growi ng Si O
2
l ayer. The probl em i s agai n remedi ed by speci fyi ng
more gri d l ayers to be added as the Si O
2
l ayer grows.
Fi gure 3-11 shows a compari son of the resul ti ng arseni c profi l es i n si l i con usi ng the defaul t gri d
spaci ng and a corrected gri d spaci ng i n the growi ng Si O
2
l ayer. For thi s experi ment, a si l i con di oxi de
SSUPREM4 Models
SILVACO International 3-51
l ayer was thermal l y grown. Arseni c was i on i mpl anted through the Si O
2
/Si l i con structure. A
subsequent anneal i ng step fol l owed whi ch resul ts i n the profi l es shown i n Fi gure 3-11.
Figure 3-11: Comparison of Arsenic Profiles in Silicon with Default Grid Spacing and Improved Grid Spacing
in the Growing SiO
2
layer.
Oxidation Enhanced Diffusion (OED) / Oxidation Retarded Diffusion (ORD)
Duri ng si l i con thermal oxi dati on some of the dopant i n si l i con gets i ncorporated i nto the growi ng Si O
2
l ayer, and some remai ns i n si l i con where i t di ffuses. As oxi dati on proceeds, si l i con l atti ce atoms
become i ntersti ti al (i ntersti ti al s are i njected i nto si l i con at the Si /Si O
2
i nterface) as oxygen mol ecul es
are i ncorporated i nto the l atti ce to form Si O
2
. Due to the i njecti on of i ntersti ti al defects duri ng
oxi dati on, i t i s possi bl e that dopant di ffusi vi ti es can be enhanced. To properl y si mul ate thi s effect, the
creati on and movement of poi nt defects, vacanci es and i ntersti ti al s, have to be i ncl uded i n the
si mul ati on. By speci fyi ng TWO.DIM on the METHOD statement before the oxi dati on step, non-
equi l i bri um poi nt defect concentrati ons (i ncl udi ng i njecti on and recombi nati on at the Si /Si O
2
i nterface) are i ncl uded i n the si mul ati on. For more i nformati on on poi nt defect di ffusi on ki neti cs see
the di ffusi on model secti on of thi s chapter.
Note: Figure 3-12(a) compares the boron concentration profiles after an oxidation step when point
defects remain at their equilibrium values (FERMI) and when point defects are allowed to obtain non-
equilibrium values (TWO.DIM). It is evident from Figure 3-112a) that boron diffusion is enhanced for the
TWO.DIM case. The corresponding interstitial concentrations are shown in Figure 3-12(b). The
interstitial concentration is above the equilibrium interstitial concentration for the TWO.DIM case (thus
allowing oxidation enhanced diffusion to be observed), but remains at equilibrium for the FERMI case.
ATHENA Users Manual
3-52 SILVACO International
I t i s al so possi bl e to have a di ffusi on retardati on effect duri ng thermal oxi dati on. For dopants di ffusi ng
pri mari l y vi a a vacancy mechani sm, thei r di ffusi vi ti es can be reduced duri ng oxi dati on because of the
recombi nati on of vacanci es wi th i njected i ntersti ti al s at the Si O
2
/Si l i con i nterface. An exampl e of thi s
phenomenon i s shown i n Fi gure 3-13.
Fi gure 3-13(a) shows the resul ti ng anti mony concentrati on profi l es after an oxi dati on step where the
FERMI and TWO.DIM model s have been used. I n contrast to boron (Fi gure 3-12(a)), the resul ti ng
anti mony concentrati on profi l e i s shal l ower for the TWO.DIM case when compared to the FERMI case.
The reduced vacancy concentrati on can be seen i n Fi gure 3-13(b), where the resul ts from the TWO.DIM
and FERMI model s are shown.
Figure 3-12: (a) Boron Concentration Versus Depth (b) Corresponding Interstitial Concentration Versus Depth
SSUPREM4 Models
SILVACO International 3-53
Figure 3-13: (a) Antimony Concentration Versus Depth (b) Corresponding Vacancy Concentration Versus Depth
ATHENA Users Manual
3-54 SILVACO International
Silicidation Model
Si l i ci de model i ng capabi l i ty i s i mpl emented i n SSUPREM4. Si l i ci des are formed when a metal reacts
wi th si l i con or pol ysi l i con to create an i ntermedi ate phase. The conducti vi ty of si l i ci des i s typi cal l y
orders of magni tude greater than that of hi ghl y doped n
+
and p
+
regi ons. Aggressi ve ULSI
technol ogi es use si l i ci des to reduce contact and i nterconnect resi stances. Al so, the use of sal i ci des (sel f-
al i gned si l i ci des) i s a practi cal way to reduce pol y gate resi stance and source and drai n sheet
resi stance.
The si l i ci de growths are descri bed as the mul ti l ayer movi ng boundari es al ong the metal si l i ci de and
si l i con/pol ysi l i ci de i nterfaces where si l i con and metal atoms react to form si l i ci de. The movi ng
boundary vel oci ti es are cal cul ated usi ng the i nterface reacti on rate coeffi ci ents and concentrati ons of
si l i con and metal i n the si l i ci de. The di ffusi on of si l i con and metal atoms i nsi de the si l i ci de l ayers are
sol ved usi ng the poi nt defect di ffusi on equati ons. I mpuri ty segregati on and di ffusi on i n si l i ci de are
i ncl uded, as wel l as poi nt defect i njecti on from the movi ng i nterfaces. Usi ng user defi ned materi al
capabi l i ty, mul ti pl e materi al combi nati ons can be i mpl emented. Pl ati num Di si l i ci de (PtSi
2
), Ti tani um
Si l i ci de (Ti Si
2
), and Tungsten Si l i ci de (WSi
2
) are i mpl emented.
Si l i ci dati on i s i nvoked by deposi ti ng refractory metal l ayers on the exposed si l i con/pol y surface and
then speci fyi ng a thermal cycl e i n the DIFFUSE statement. The SILICIDE statement i s used to speci fy
the parameters for adjusti ng reacti on rate coeffi ci ents, vol ume expansi on/contracti on, etc. The si l i con
and metal atom di ffusi on parameters are speci fi ed usi ng the INTERSTITIAL and VACANCY
statements. The segregati on and di ffusi on parameters for other exi sti ng i mpuri ti es can be speci fi ed i n
thei r respecti ve statements. Stress rel ated mechani cal parameters for metal and si l i ci de materi al s are
speci fi ed i n the MATERIAL statement usi ng the MATERIAL and /MATERIAL parameters.
The model ed si l i ci dati on process i s si mi l ar to that of oxi dati on. Duri ng each ti me step, growth
vel oci ti es based on temperature, surface reacti on rate coeffi ci ents, and si l i con/metal concentrati ons
are cal cul ated for each i nterface poi nt. The vel oci ti es are then used as boundary condi ti ons for sol vi ng
di ffusi on equati ons of si l i con, metal , and other i mpuri ti es. The growth vel oci ty at the i
th
i nterface
poi nt i s cal cul ated as fol l ows:
3-129
where:
is the interface reaction rate coefficient, N1i is the number of silicon or metal molecules
per unit silicide material, specified as KS.0, KS.E, NSILICON, and NMETAL respectively in the SILICIDE
statement.
C
i
is the silicon or metal concentration.
n
in
is the interface normal vector which points towards the silicon-poly or metal side.
The di ffusi on of si l i con and metal mol ecul es i nsi de the si l i ci de l ayers i s model ed as a poi nt defect
di ffusi on process, where the si l i con and metal can react to form the si l i ci de, si mi l ar to the
recombi nati on of i ntersti ti al s and vacanci es.
3-130
where:
C is the concentration of either silicon or metal molecules.
dX
i
dt
--------- k
i
C
i
n
in
N
1i
-------- =
k
i
ks.0
ks.E
kT
----------- exp =
C
t
------- D C ( ) R =
SSUPREM4 Models
SILVACO International 3-55
R is the bulk recombination of silicon and metal molecules.
D is the diffusivity silicon and metal in silicide.
I n addi ti on to bul k recombi nati on, segregati on and transport coeffi ci ents at the growth i nterface are
used to model the chemi cal reacti ons and transport of di ffused mol ecul es across the i nterface. These
parameters (SEG.0, SEG.E, TRN.0, TRN.E) are defi ned i n the same way as those i n the dopi ng
i mpuri ty statements. Al l the di ffusi on rel ated parameters are speci fi ed i n the INTERSTITIAL and
VACANCY statements usi ng the MATERIAL and /MATERIAL parameters to i ndi cate si l i ci de and other
i nterface materi al s (metal , si l i con, and pol ysi l i con).
Si l i ci de formati on usual l y l eads to a l arge vol ume decrease, whi ch may be responsi bl e for the stress
observed i n si l i ci des. The vol ume change associ ated wi th an i nteracti on i s model ed usi ng the atomi c
vol umes of the reacti ng speci es and the mol ecul ar vol ume of the product [101]. For a reacti on of the
type:
3-131
the change, , i s gi ven by
3-132
where:
V, V
M
, V
Si
, and respectively, are the molecular volume change, the molecular volume for metal, silicon,
and silicide.
x and y are the number of metal and silicon atoms in the silicide M
x
Si
y
.
These parameters are speci fi ed as VMETAL, VSILICON, VSILICIDE, XMETAL, YSILICON i n the
SILICIDE statement.
The 2D movement of growth i nterface and vol ume change i nduced stress wi l l cause the vi scous fl ow of
the si l i ci de l ayer. Thi s si l i ci de fl ow i s model ed anal ogousl y to the compress model of oxi dati on, where
the equati ons sol ved are [102]:
3-133
3-134
3-135
where:
V is the velocity.
P is the pressure.
is the viscosity.
v is Poissons ratio.
E is Youngs modulus.
The parameters v and E are speci fi ed usi ng the POISS.R and YOUNG.M parameters i n the MATERIAL
statement.
x M ySi M
x
Si
y
+

V
x V
M
y V
Si
+ ( ) V
M
x
Si
y

x V
M
y V
Si
+
--------------------------------------------------------- 100 =
V
M
x
Siy

2
V P =

1 2v

---------------
,
_
P =

E
2 1 v + ( )
-------------------- =

ATHENA Users Manual


3-56 SILVACO International
Ion Implantation Models
ATHENA uses anal yti cal and stati sti cal techni ques to model i on i mpl antati on. By defaul t the anal yti c
model s are used. Anal yti cal model s are based on the reconstructi on of i mpl ant profi l es from the
cal cul ated or measured di stri buti on moments. The stati sti cal techni que uses the physi cal l y based
Monte Carl o cal cul ati on of i on trajectori es i n order to cal cul ate the fi nal di stri buti on of stopped
parti cl es.
Analytic Implant Models
ATHENA uses spati al moments i n order to cal cul ate i on i mpl antati on di stri buti ons. Thi s cal cul ati on
method i s based on range concepts of Li ndhard [19] et. al ., i n whi ch an i on-i mpl antati on profi l e i s
constructed from a previ ousl y prepared (cal cul ated or measured) set of moments. A 2D-di stri buti on
coul d be essenti al l y consi dered a convol uti on of a longitudinal (al ong the i mpl ant di recti on) 1D-
di stri buti on and a transverse (perpendi cul ar to i mpl ant di recti on) 1D-di stri buti on. I n the rest of thi s
secti on we wi l l fi rst descri be three 1D i mpl ant model s as wel l as the method of cal cul ati ng 1D profi l es
i n mul ti -l ayered structures. Then, two model s of transverse (l ateral ) di stri buti on as wel l as a method
of constructi on of 2D i mpl ant profi l es wi l l be outl i ned. Fi nal l y, three methods of i mpl ant parameter
speci fi cati on wi l l be descri bed.
Gaussian Implant Model
There are several ways to construct 1D pr ofi l es. The si mpl est one i s usi ng the Gaussi an di str i buti on,
whi ch i s speci fi ed by the GAUSS parameter i n the IMPLANT statement:
3-136
where:
i s the i on dose per square centi meter speci fi ed by the DOSE parameter.
Rp i s the projected range,
Rp i s the projected range straggl i ng or standard devi ati on, and
Pearson Implant Model
The Gaussi an di stri buti on i s i nadequate i n general because real profi l es are asymmetri cal i n most
cases. The si mpl est and most wi del y approved method for cal cul ati on of asymmetri cal i on-
i mpl antati on profi l es i s the Pearson di stri buti on, i n parti cul ar the Pearson I V functi on. ATHENA uses
thi s functi on to obtai n longitudinal i mpl antati on profi l es.
The Pearson functi on refers to a fami l y of di stri buti on curves that resul t as a consequence of sol vi ng
the di fferenti al equati on:
3-137
i n whi ch f(x) i s the frequency functi on. The constants a, b
0
, b
1
and b
2
are rel ated to the moments of f(x)
by:
3-138
C x ( )

2R

---------------------
x R
p
( )
2

2R
2
p
-------------------------- exp =

df x ( )
dx
-------------
x a ( )f x ( )
b
0
b
1
x b
2
x
2
+ +
-------------------------------------- =
a
R
p
3 + ( )
A
------------------------------- =
SSUPREM4 Models
SILVACO International 3-57
. 3-139
. 3-140
. 3-141
wher e A = 10 - 12 - 18 and and are the skewness and kur tosi s r especti vel y.
These Pearson di stri buti on parameters are di rectl y rel ated to the four moments ( ) of
the di str i buti on f(x):

3-142
i s gi ven by:
. 3-143
. 3-144
The forms of the sol uti on of the Pearson di fferenti al equati on depend upon the nature of the roots of
the equati on b
0
+b
1
x+b
2
x
2
=0. There are vari ous shapes of the Pearson curves. Compl ete cl assi fi cati on
of vari ous Pearson curves can be found i n [141]. Obvi ousl y, onl y bel l -shaped curves are appl i cabl e to
i on i mpl antati on profi l es. I t i s readi l y shown i n reference [142] that f(x) has a maxi mum when
b
0
+b
1
x+b
2
x
2
0. Thi s can reformul ated as the fol l owi ng rel ati on between and ,
3-145
wi th the addi ti onal constrai nt that <50.
Onl y Pearson type I V has a si ngl e maxi mum at x =a+R
p
and monotoni c decay to zero on both si des of
the di stri buti on. Therefore, Pearson type I V i s usual l y used for i on i mpl antati on profi l es. Pearson type
I V i s the sol uti on of Equati on 3-136 when the fol l owi ng condi ti ons are sati sfi ed:
. 3-146
b
0
R
2
p
4 3
2
( )
A
--------------------------------------- =
b
1
a =
b
2
2
2
6
A
-------------------------- =

2

1

2

3

4
, , ,
R
p

1
= R
p

2
=

3
R
3
p
-------- =

4
R
4
p
-------- =

1
xf x ( ) x d

i
x R
p
( )
i
f x ( ) x d

= i 2 3 4. , , =

9 6
2
5 + ( ) 9
6
( ) 16 8
4
25
2
1 + ( ) + + [ ]
1 2
+ { }
50
2

------------------------------------------------------------------------------------------------------------------------- >

39
2
48 6
2
4 + ( )
3 2
+ +
32
2

------------------------------------------------------------ = and 0
2
32 < <
ATHENA Users Manual
3-58 SILVACO International
Thi s gi ves the fol l owi ng formul a for Pearson I V di stri buti on:
3-147
where K i s defi ned by the constrai nt:
3-148
I n the narrow area of pl ane where Pearson I V type cri teri on (Equati on 3-146) i s not sati sfi ed
whi l e bel l -shaped profi l e cri teri on (Equati on 3-145) hol ds, ATHENA by defaul t uses other than type I V
Pearson functi ons. These functi ons are bel l -shaped but they are not speci fi ed over the whol e
i nterval . Usual l y thi s does not affect the qual i ty of cal cul ated profi l es because the l i mi ts of these
functi ons are si tuated very far from thei r maxi mums. I f the user wants to use onl y Pearson-I V
di stri buti on, the parameter, ANY.PEARSON, can be set to FALSE. In all cases when and do not
sati sfi ed one of above menti oned cri teri a ATHENA wi l l automati cal l y i ncrease up to the val ue whi ch
sati sfi es the cri teri on used. I n the standard Pearson model the longitudinal dopant concentrati on i s
proporti onal to the i on dose :
3-149
Thi s si ngl e Pearson approach has been proved to gi ve an adequate sol uti on for many i on/substrate/
energy/dose combi nati ons. However, there are many practi cal l y i mportant cases when the so-cal l ed
channel i ng effects make si ngl e Pearson method i nadequate.
Dual Pearson Model
I n order to extend appl i cabi l i ty of the anal yti cal approach toward profi l es heavi l y affected by
channel i ng, Al Tasch, et. al ., [23], suggested so-cal l ed dual (or doubl e) Pearson method. I n thi s method
the i mpl ant concentrati on i s cal cul ated as a l i near combi nati on of two Pearson functi ons.
3-150
where the dose i s represented by each Pearson functi on f
1
,
2
(x). f
1
(x) and f
2
(x) are both normal i zed,
each wi th i ts own set of moments. The fi rst Pearson functi on represents the random scatteri ng part
(around the peak of the profi l e) and the second one represents the channel i ng tai l regi on. Equati on 3-
150 may be restated as:
3-151
where i s the total i mpl antati on dose and
I n order to use dual Pearson di stri buti on, ni ne parameters shoul d be suppl i ed four moments for
each Pearson functi on pl us the dose rati o . The dual Pearson model wi l l be used onl y when al l ni ne
parameters are present (see Specification of Implant Parameters bel ow) and the AMORPHOUS
parameter i s not speci fi ed i n the IMPLANT statement (the defaul t i s CRYSTAL). Otherwi se the si ngl e
Pearson formul a wi l l be used.
f x ( ) K b
0
b
1
x R
p
( ) b
2
x R
p
( )
2
+ + [ ]
1 2b
2
( ) b
1
b
2
2a +
4b
1
b
2
b
2
1

--------------------------------
2b
2
x R
p
( ) b
2
+
4b
1
b
2
b
2
1

-----------------------------------------
,

_
atan exp =
f x ( ) x d

1 =

2

, [ ]

C x ( ) f x ( ) =
C x ( )
1
f
1
x ( )
2
f
2
x ( ) + =
C x ( ) f
1
x ( ) 1 ( )f
2
x ( ) + [ ] =

1

2
+ =
1
=

SSUPREM4 Models
SILVACO International 3-59
SIMS-Verified Dual Pearson (SVDP) Model
By defaul t, ATHENA uses SI MS-Veri fi ed Dual Pearson (SVDP) i mpl ant model s. These are based on
tabl es from the Uni versi ty of Texas, Austi n. These tabl es contai n dual Pearson moments for B, BF
2
, P
and As extracted from hi gh qual i ty i mpl antati on experi ments conducted by the Uni versi ty of Texas at
Austi n. These i mpl antati on tabl es contai n dose, energy, tilt, rotation angle and screen oxide thickness
dependence as speci fi ed by the fol l owi ng tabl e:
Key to tabl e entri es:
a
Experi mental l y veri fi ed for 5-80keV. For energy range 1-5keV, an i nterpol ati on between 5keV and
0.5keV cal cul ated wi th UT-MARLOWE, i s used; an extrapol ati on i s used for energy range 80
100keV.
b
Onl y for 15-80keV.
c
Exper i mental l y ver i fi ed for 5-65keV. For ener gy r anges 1-5keV and 65-80keV, the same pr ocedur es
used for boron.
d
Experi mental l y veri fi ed for 15-80keV. Numeri cal extrapol ati on i s outsi de thi s energy range.
e
Experi mental l y veri fi ed for 5-180keV. I nterpol ati on between 5keV and UT-MARLOWE cal cul ated
profi l e at 0.5keV.
I f a si mul ati on i s chosen outsi de the parameter ranges, descri bed i n the tabl e above, ATHENA wi l l not
use the dual Pearson i mpl ant SVDP model s, but wi l l i nstead use the standard tabl es provi ded. When
usi ng the dual Pearson model the fol l owi ng notes shoul d be kept i n mi nd:
For i mpl ant energi es bel ow 15keV, for boron, BF
2
and arseni c, the si mul ati on predi cts the
dopant profi l es for i mpl ants i nto a bare si l i con surface (si l i con wafer subjected to an HF etch l ess
than 2 hours pri or to i mpl antati on). Low energy i mpl ant profi l es at such l ow i mpl ant energi es
are found to be extr emel y sensi ti ve to the pr esence of a thi n nati ve oxi de l ayer or
di sordered si l i con l ayer on the wafer surface [144]. Thi s fact shoul d be kept i n mi nd both when
usi ng the model for the si mul ati on of l ow energy i on i mpl antati on, and when performi ng i mpl an-
tati ons.
For i mpl ant energi es between 10keV and 15keV, the si mul ati ons are performed for boron, BF
2
and arseni c by usi ng an i nterpol ati on between the dual Pearson model parameters at 15keV and
the dual Pearson model parameters at 10keV. The parameters at 15keV correspond to i mpl anta-
ti on through a nati ve oxi de l ayer whi l e the parameters at 10keV correspond to
i mpl antati on i nto a bare si l i con surface (si l i con wafer subjected to an HF etch l ess than two
hours pri or to i mpl antati on).
For i mpl ant energi es bel ow 5keV, the model s for boron, BF
2
and arseni c have not been ver i fi ed
experi mental l y. The si mul ati ons i n thi s range of i mpl ant energy are performed usi ng an i nterpo-
l ati on between experi mental l y veri fi ed dual Pearson parameters at 5keV and parameters based
Table 3-8. Range of Validity of the SVDP Model in ATHENA
Ions Energy (keV) Dose (cm-2)
Tilt Angle
(o)
Rotation Angle
(o)
Screen Oxide
(A)
B
1-100
a
10
13
-8x10
15
0-10 0-360
nativeoxide-500
b
BF
2 1-80
c
10
13
-8x10
15
0-10 0-360 native oxide
P
12-200
d
10
13
-8x10
15
0-10 0-360 native oxide
As
a
1-200
e
10
13
-8x10
15
0-10 0-360 native oxide
0.5 1.5 nm
0.5 1.5 nm
ATHENA Users Manual
3-60 SILVACO International
on UT-MARLOWE esti mates at 0.5keV.
The SI MS measurements upon whi ch these profi l es are based have a concentrati on sensi ti vi ty
l i mi t on the order of to , i ncreasi ng wi th i ncreasi ng dose of the i mpl ant.
The profi l es have been extended bel ow these l i mi ts, fol l owi ng the trends that occur wi thi n the
sensi ti vi ty l i mi ts of the SIMS.
The scr een oxi de thi ckness r ange has been ver i fi ed from 1.5 to 40nm (onl y for boron and
energy range). But the oxi de range has been extended to 50nm.
Screen Oxide Thickness Parameter S.OXIDE
To speci fy screen oxi de, the S.OXIDE (i n ) parameter i s used i n the IMPLANT statement. Thi s
thi ckness i s speci fi ed independently of any actual surface oxide in the structure. However i t i s possi bl e
to automate the extracti on of the surface oxi de thi ckness for use wi th the IMPLANT statement. An
exampl e i s suppl i ed demonstrati ng thi s.
S.OXIDE i s another parameter for dual Pearson moments sel ecti on from the i mpl ant tabl es. I t i s up to
the user to sel ect i ts val ue accordi ngl y. The effect of i t i s, that i t represents i on i mpl antati on through a
thi n ( ) surface oxi de l ayer. The present al gori thm i n ATHENA when encounteri ng a mul ti -
l ayered structure (see Multi-Layer Implants bel ow), say oxi de/si l i con, swi tches to mul ti -materi al
scal i ng techni que for eval uati ng the depth profi l e. Thi s techni que wi l l combi ne two profi l es si ngl e
Pearson for the oxi de and dual Pearson for si l i con wi th S.OXIDE preferabl y set to the thi ckness of the
oxi de. Thi s separati on between the surface oxi de present i n the structure pri or the IMPLANT statement
and the S.OXIDE parameter has been done for two reasons:
1) fl exi bi l i ty of usi ng thi s parameter for di fferent thi n surface l ayers other than oxi de (wi th
appropri ate scal i ng of thei r thi ckness for stoppi ng);
2) the restri cted (for now) avai l abi l i ty of moments wi th screen oxi de i n the tabl es ( ,
and for boron onl y). Any user needi ng more preci se dependence of the i mpl antati on profi l es on the
surface screen oxi de, shoul d use a si ngl e l ayer of si l i con wi th S.OXIDE set to an appropri ate val ue.
Multi-Layer Implants
I n order to appl y any of above descri bed anal yti cal di stri buti on functi ons for structures compri sed
from several di fferent materi al l ayers, a speci al scal i ng techni que shoul d be used. Thi s i s because
stoppi ng powers and consequentl y range parameters are di fferent i n di fferent materi al s. Several
i mpl ant scal i ng methods are avai l abl e i n ATHENA.
DOSE.MATCH
The so-cal l ed dose-matchi ng method [24] was hi stori cal l y fi rst and i s most wi del y used. The dose
matchi ng method i s sel ected by the DOSE.MATCH parameter (defaul t) i n the IMPLANT statement. I n
thi s method, the segment of the profi l e wi thi n i
th
l ayer i s cal cul ated by:
. 3-152
where f(x) i s the di stri buti on functi on speci fi ed for thi s i mpl ant (Gauss, Pearson, or dual Pearson) wi th
moments correspondi ng to the i
th
l ayer; x
t
i s the di stance from the surface to the top of the i
th
l ayer:
, x
t
i s the thi ckness of the k
th
l ayer; 3-153
x
eff
i s the effecti ve thi ckness eval uated from:
5 10
15
2 10
16
cm
2
15 80 keV
m
0 50 nm
0 50 nm 15 80 keV
C
i
x ( ) f x x
t
x
eff
+ ( ) =
x
t
t
k
1 k i 1

=
SSUPREM4 Models
SILVACO International 3-61
. 3-154
where i s the porti on of the total i mpl ant dose whi ch i s consumed i n the k
th
l ayer. Obvi ousl y, for the
fi rst l ayer x
eff
= 0 and x
t
= 0.
RP.SCALE and MAX.SCALE
The other two methods for anal yti cal cal cul ati on of i mpl antati on profi l es i n the l ayered structures are
projected range depth scal i ng (set by RP.EFF or RP.SCAL i n the IMPLANT statement) and maxi mal
depth scal i ng (set by the parameter, MAX.SCALE). These two methods di ffer from the dose-matchi ng
method i n the way the effecti ve depth x
eff
i s cal cul ated as wel l as i n the normal i zati on of the parti al
profi l es i n the l ayers. Li ke i n the dose-matchi ng method, the di stri buti on i n the fi rst l ayer i s cal cul ated
di rectl y from the moments correspondi ng to the fi rst l ayer wi thout any correcti ons. For subsequent
l ayers, the i mpl ant di stri buti on i s cal cul ated by the formul ae:
3-155
and
3-156
where N i s the normal i zati on factor, i s the total i mpl antati on dose, and x
eff
i s the effecti ve depth
cal cul ated as fol l ows. I n the case of projected range scal i ng, x
eff
for the i
th
l ayer i s:
3-157
where i s the projected range of the speci fi ed i on i n the materi al of the k
th
l ayer. For the case of the
maxi mal range scal i ng, x
eff
i s cal cul ated as:
3-158
where i s the projected range straggl i ng i n the k
th
l ayer. I n thi s approxi mati on, the esti mated
maxi mum i on range i s taken as the measure of the i on penetrati on i nto the correspondi ng
materi al .
MOM.SCALE
I n al l three model s descri bed above, the range parameters i n each l ayer are consi dered i ndependent of
the presence of other l ayers. However, i t i s obvi ous that the di stri buti on of i ons stopped i n the deeper
l ayers may depend on the thi ckness and stoppi ng characteri sti cs of the upper l ayers because each i on
trajectory passes through these upper l ayers. The moment correcti on method (set by the MOM.SCALE
parameter of the IMPLANT statement parti al l y accounts for thi s effect. I n the SCALE.MOM method the
projected range and range straggl i ng i n the l ayer are normal i zed accordi ng to the probabi l i ty for the
i on to penetrati ng i nto the l ayer. The onl y avai l abl e measure of the probabi l i ty i s the porti on of the
C
i
x ( ) x d
0
x
eff


k
1 k i 1

k
C
i
x ( ) Nf x x
t
x
eff
+ ( ) =
N
k
1 k i 1

,

_
=
i

x
eff
t
k
R
k
p
--------R
p
k 1 +
1 k i 1

=
R
p
k
x
eff
t
k
R
k
p
3R
k
p
+
------------------------------- R
p
k 1 +
3R
k 1 +
p
+ ( )
1 k i 1

=
R
p
k
R
p
3R
p
+
ATHENA Users Manual
3-62 SILVACO International
dose accumul ated i n the speci fi c l ayer. Therefore, the corrected projected range R
pc
and range
straggl i ng i n the i
th
l ayer are cal cul ated as fol l ows:
3-159
3-160
where
3-161
The SCALE.MOM can be used together wi th any of three depth matchi ng methods.
Creating Two-Dimensional Implant Profiles
Convolution Method
ATHENA cal cul ates 2D i mpl ant profi l es usi ng a convol uti on method descri bed bel ow. Fi rst, the
i mpl antati on di recti on wi thi n the si mul ati on pl ane i s found usi ng TILT and ROTATION angl e
parameters speci fi ed i n the IMPLANT statement. i s the angl e between the i on beam di recti on and y-
axi s, i s the angl e between i on beam di recti on and the si mul ati on pl ane. For exampl e, = 0
o
and
> 0
o
correspond to an i on beam paral l el to the si mul ati on pl ane and di rected toward the l ower-ri ght
corner of the si mul ati on area. The case of = 90
o
and > 0
o
corresponds to an i on beam i n the pl ane
perpendi cul ar to the si mul ati on pl ane and di rected from behi nd the si mul ati on pl ane. The effecti ve
i mpl antati on angl e i n the si mul ati on pl ane coul d be found from:
. 3-162
When parameter FULLROTAT i s speci fi ed i n the IMPLANT statement ATHENA cal cul ates superposi ti on
of 24 i mpl ants wi th rotati on angl es equal to (15n)
o
and doses equal to /24.
The i mpl antati on front (perpendi cul ar to the di recti on) i s di vi ded i nto a number of sl i ces N
s
(usual l y
> 100) of wi dth a. The i mpl ant concentrati on i n each gri d poi nt i wi th coordi nates (x
i
, y
i
) i s cal cul ated
by summati on of contri buti ons from each sl i ce k:
. 3-163
The contri buti on from each sl i ce C
k
i s cal cul ated by i ntegrati on of the poi nt source 2D frequency
functi on F
2D
(x,y) (wi th the starti ng poi nt at the i ntersecti on of the normal to the central of the sl i ce
wi th the structure surface) over sl i ce wi dth:
R
pc
R
pc

i
R
i
p

k
R
k
p
1 k i 1

+ =
R
pc

i
R
i
p

k
R
k
p
1 k i 1

+ =

i

k
1 k i 1



( ) ( ) ( ) cos tan = tan

C x
i
y
i
, ( ) C
k
x
i
y
i
, ( )
1 k N
s

=
n
SSUPREM4 Models
SILVACO International 3-63
. 3-164
where d
i
i s the depth al ong i mpl ant di recti on (i .e., di stance between the starti ng poi nt and the
projecti on of the poi nt i on the vector ) and t
i
i s the transversal di stance (i .e., di stance between the
poi nt i and the vector ).
Depth-Independent Lateral Distribution
The si mpl est type of the 2D frequency functi on i s a product of longitudinal functi on f
l
(x) (whi ch can be
a Gauss (Equati on 3-136), Pearson (Equati on 3-149), or dual -Pearson (Equati on 3-151) and depth-
i ndependent transversal functi on ft(y):
3-165
Thi s approxi mati on i s used i n ATHENA by defaul t. Obvi ousl y, the functi on f
t
(y) must be symmetri cal
and have a bel l shape.
Gaussian Lateral Distribution Function
The tradi ti onal sel ecti on for thi s functi on i s a Gaussi an. ATHENA uses the Gaussi an approxi mati on
unl ess the transversal kurtosi s (KURTT i n the MOMENTS statement) i s speci fi ed to be di fferent from
i ts defaul t val ue of 3.0. I n thi s case Equati on 3-174 can be easi l y i ntegrated:
3-166
where i s the transversal (l ateral ) standard devi ati on defi ned from
3-167
Specification of Lateral Standard Deviation
Lateral standard devi ati on LSTD.DEV or LDRP coul d be speci fi ed together wi th other moments i n the
MOMENTS statement (see Specification of Implant Parameters). I t can be al so control l ed by the
LAT.RATIO1 parameter i n the IMPLANT statement. LAT.RATIO1 i s the rati o between and
and i s equal to 1.0 by defaul t.Thi s means that i f the l ateral standard devi ati on and LAT.RATIO1 are
not speci fi ed i t wi l l be equal to projected range straggl i ng . I n the case of dual Pearson model for
l ongi tudi nal profi l e, correspondi ng parameters, SLSTD.DEV or SLDRP and LAT.RATIO2 are used. The
onl y di fference i s that the defaul t for LAT.RATIO2 i s 0.2. Thi s i s because the channel l ed porti on of a 2D
profi l e i s obvi ousl y very narrow.
Parabolic Approximation of Depth-Dependent Lateral Distribution
I t has been shown [25, 144, 145 and 146] that i n general , the transversal functi on f
t
(y) i s not
i ndependent of depth because there i s consi derabl e correl ati on between transversal and l ongi tudi nal
C
k
x
i
y
i
, ( ) f
2D
d
i
t
i
, ( ) t d
a 2
a 2

=
n
n
f
2D
x y , ( ) f
l
x ( )f
t
y ( ) =

y
C x
i
y
i
, ( )
1
2
---f
l
d
i
( ) erfc
t
i
a 2
2Y
------------------ erfc
t
i
a 2 +
2Y
------------------- =
Y
Y f
2D
x y , ( )y
2
x d y d

=
Y R
p
R
p
ATHENA Users Manual
3-64 SILVACO International
moti on of the i mpl anted i ons. Thi s correl ati on coul d be taken i nto account by usi ng a transversal
functi on wi th the depth-dependent l ateral standard devi ati on . As i t was shown i n [144] and
[146], i f the spati al moments up to fourth order are used, the best approxi mati on for i s the
parabol i c functi on:
3-168
I n order to fi nd the coeffi ci ents of the functi on two addi ti onal spati al moments shoul d be used. These
are so-cal l ed mi xed skewness:
3-169
and mi xed kurtosi s:
3-170
Parameters c
0
, c
1
, and c
2
can be found by substi tuti ng Equati on 3-165 i nto Equati on 3-167, 3-169 and
3-170 and taki ng i nto account Equati ons 3-1483-154 whi l e i ntegrati ng over x. Thi s resul ts i n the
system of equati ons from whi ch the fol l owi ng rel ati ons coul d be found:
. 3-171
3-172
. 3-173
where
3-174
Thi s parabol i c approxi mati on for depth-dependent f
t
wi l l be used i f the parameter, FULL.LAT i s used
i n the IMPLANT statement and when mi xed spati al moments (parameter SKEWXY) and
(parameter KURTXY are non-zeros. I n the case of the dual Pearson l ongi tudi nal functi on, the mi xed
spati al moments for the second Pearson SSKEWXY and SKURTXY coul d be al so speci fi ed. The val ues of
spati al moments are not yet i ncl uded i n the defaul t moments tabl es and shoul d be speci fi ed i n the
MOMENTS statement (see Specification of Implant Parameters).
Non-Gaussian Lateral Distribution Functions
Detai l ed Monte Carl o si mul ati ons [147] and [148] al so shows that i n most cases, transversal
di stri buti on functi on, f
t,
i s not Gaussi an. I n other words, the transversal kurtosi s i s cal cul ated
as:

y
x ( )

y
x ( )

2
y
x ( ) c
0
c
1
x R
p
( ) c
2
x R
p
( )
2
+ + =

xy
f
2D
x y , ( ) x R
p
( )y
2
x d y d

xy
f
2D
x y , ( ) x R
p
( )
2
y
2
x d y d

=
c
0
Y
2
1 B ( ) =
c
1
Y
2
R
p
----------
xy
B ( ) =
c
2
Y
2
R
2
p
------------B =
B

xy
1
xy

1
2

--------------------------------- =

xy

xy

y
SSUPREM4 Models
SILVACO International 3-65
. 3-175
i s not al ways equal to 3.0 and al so depends on depth. Several non-Gaussi an transversal di stri buti on
functi ons wer e exami ned i n [165]. Thei r concl usi ons wer e as fol l ows. The symmetri cal Pearson
functi ons (type I I for and type VI I when > 3.0)are acceptabl e, provi de very good
agreement wi th amorphous Monte Carl o si mul ati ons and have computati onal advantage because they
can be i ntegrated over x i n a cl osed form through i ncompl ete beta functi ons [151].
Another good al ternati ve for transversal di stri buti on functi on i s the modi fi ed Gaussi an functi on
(MGF) suggested i n [147]. I t i s shown i n [151] that i t al so can be i ntegrated i n the cl ose form though
the i ncompl ete gamma functi on. Sel ecti on of transversal di stri buti on functi on i s somewhat subjecti ve
because i t i s based on compari son wi th the l ateral cross-secti on of the 2D Monte Carl o di stri buti ons
whi ch accuracy di mi ni shes further away from i ts maxi mum. Anal ysi s of [148] based on the BCA
si mul ati on, (see the Monte Carlo Implant secti on) showed that when , whi ch usual l y
happens for random part of the 2D di stri buti on or for amorphous i mpl ants, the Pearson type I I
functi on sl i ghtl y underesti mates concentrati ons obtai ned i n the BCA cal cul ati ons whi l e the MGF
sl i ghtl y overesti mates these concentrati ons. Therefore, i t was deci ded to use i n ATHENA an average
between the Pearson type I I and the MGF for al l . When both functi ons reduce
to the standard Gaussi an. Fi nal l y, i n the case of hi gher val ues of l ateral kurtosi s i t was found [148]
that the MGF appears to be a better approxi mati on, so i t i s used i n ATHENA.
I t i s very di ffi cul t to fi nd as was done for above, because the spati al moments of fi fth and
si xth order woul d be needed to bui l d anal yti cal functi ons for . Therefore, ATHENA uses constant
(parameters KURTT and SKURTT for the fi rst and second Pearson functi ons, correspondi ngl y) when
the FULL.LAT model i s speci fi ed i n the IMPLANT statement. The generi c approxi mati ons [148] for
(i nstead of Equati on 3-168) and for wi l l be i mpl emented i n future when more compl ete
tabl es of l ateral parameters wi l l be generated usi ng Monte Carl o si mul ati ons.
Specification of Implant Parameters in the Moments Statement
As menti oned previ ousl y, the anal yti cal i on i mpl antati on si mul ati ons strongl y depend on the i nput
parameters (moments). ATHENA provi des several ways of i mpl ant parameter speci fi cati on: l ook-up
tabl es, user-defi ned l ook-up tabl es, and the MOMENTS statement. Two types of l ook-up tabl es are
current provi ded wi th ATHENA. The fi l es contai ni ng the tabl es are i n ASCI I format and maybe found
i n the <install>/lib/athena/<>version>/common/implant-tables di rectory. The fi rst i s standard tabl es (the fi l e i s std-
tables) whi ch contai ns parameters for most i on-materi al combi nati ons used i n ATHENA. These are
l ongi tudi nal parameters for the si ngl e Pearson di stri buti on i n the energy i nterval 10 to 1000keV. The
energy i nterval i s extended to 18000keV for B, P, and As i n si l i con, si l i con oxi de, pol ysi l i con, and
si l i con ni tri de. These tabl es al so i ncl ude a very l i mi ted set of parameters for the dual -Pearson functi on
(onl y for B and BF2 i n the energy i nterval 10100keV, ti l t angl e 7
o
, rotati on angl e 30
o
, and nati ve oxi de
as wel l as wi th si mpl e i nterpol ati on of the dose rati o parameter between di fferent doses).
Parameters for the FULL.LAT model are provi ded onl y for B i mpl ants i n si l i con. They are based on the
spati al moment cal cul ati ons i n amorphous si l i con as i n [21]. The auxi l i ary fi l e userimp i n the <install>/
lib/athena/<version>/common di rectory provi des a templ ate for speci fyi ng i mpl ant parameters i n the
for m(at) of standar d tabl es. The second type of l ook-up tabl es i s SVDP tabl es descr i bed i n the Dual
Pearson Implant Model secti on. The format of these tabl es i s much more fl exi bl e than the format of
the standard tabl es. I t al so al l ows parameters for l ateral di stri buti on to be added easi l y.

y
f
2D
x y , ( )y
4
x d y d

y
3.0
y

y
2.5 2.8

y
3.0 <
y
3.0 =

y
x ( )
y
x ( )

y
x ( )

y
x ( )
y
x ( )

ATHENA Users Manual


3-66 SILVACO International
The SVDP tabl es are used by defaul t. I f moments are not found ATHENA searches through standard
tabl es, and i f i t cannot fi nd parameters for a speci fi ed energy/i on/materi al combi nati on, a warni ng
message i s i ssued whi ch tel l s the user that a very smal l projected range and straggl i ng wi l l be used i n
si mul ati on for thi s combi nati on and al so suggests that the Monte Carl o method be used i n order to
fi nd the ri ght moments. Thi s i s the sequence of ATHENA acti ons i n the case when ther e i s no MOMENTS
statement precedi ng the current IMPLANT statement. The MOMENTS statement serves to control the
moment parameters/tabl es to be used i n subsequent IMPLANT statements. I f parameter STD_TABLES
i s speci fi ed, ATHENA wi l l ski p searchi ng through SVDP tabl es and proceed di rectl y to the standard
tabl es. I f parameter USR_STD_TAB or USR_SVDP_TAB i s speci fi ed, then the user-defi ned fi l e speci fi ed
wi th parameter USER.TABLE=<filename> wi l l be used as the fi rst choi ce. Of course, i f the moments
are not found i n the speci fi ed fi l e, ATHENA wi l l proceed to the standard tabl es. Fi nal l y, the set of
MOMENTS statement coul d be used to speci fy al l spati al moments for any i on/materi al /energy/dose
combi nati on. ATHENA wi l l use parameters from thi s set before proceedi ng to a standard search
sequence. I f the moments for certai n i mpl ant condi ti ons are not avai l abl e, then the Monte Carl o
si mul ati on can be done for these condi ti ons.
USE of PRINT.MOM for Extraction of Spatial Moments
Parameter PRINT.MOM i n the IMPLANT statement pri nts the cal cul ated (or extracted from the tabl es)
moments i nto output and al so saves the moments i n the standard structure fi l e. The l ast capabi l i ty
al l ows use of the EXTRACT and substi tuti on functi ons of DECKBUI LD for automati c generati on of the
MOMENTS statement. I f spati al (l ateral and mi xed) moments need to be found from Monte Carl o
cal cul ati on, the parameter IMPCT.POINT shoul d be used (i t forces al l trajectori es to be started i n one
poi nt). Thi s not onl y al l ows the spati al moments to be found, but al so the bui l di ng of a Monte Carl o
cal cul ated source-poi nt 2D di stri buti on functi on, whi ch can be useful for compari son purposes.
Monte Carlo Implants
The anal yti cal model s descri bed i n the previ ous secti on gi ve very good resul ts when appl i ed to i on
i mpl antati on i nto si mpl e pl anar structures (bare si l i con or si l i con covered wi th thi n l ayer of other
materi al ). However for structures contai ni ng many non-pl anar l ayers (materi al regi ons) and for the
cases whi ch have not been studi ed yet experi mental l y more sophi sti cated si mul ati on model s are
requi red. The most fl exi bl e and uni versal approach to si mul ate i on i mpl antati on i n non-standard
condi ti ons i s the Monte Carlo techni que. Thi s approach al l ows cal cul ati on of i mpl antati on profi l es i n
an arbi trary structure wi th accuracy comparabl e to the accuracy of anal yti cal model s for a si ngl e l ayer
structure.
ATHENA contai ns three model s for Monte-Carl o si mul ati on of i on i mpl antati on: amorphous materi al
model , crystal l i ne materi al model and BCA crystal l i ne materi al model . Al l of them are based on the
Bi nary-Col l i si on Approxi mati on (BCA), but appl y di fferent approxi mati ons to the materi al structure
and i on propagati on through i t.
Nature of the Physical problem
A beam of fast i ons (energy range approx. 1 eV/amu to 25 keV/amu) enteri ng crystal l i ne or amorphous
sol i d i s sl owed down and scattered due to nucl ear col l i si ons and el ectroni c i nteracti on. Al ong i ts path,
an i ndi vi dual projecti l e may create fast recoi l atoms whi ch i n turn may i ni ti ate col l i si on cascades of
movi ng target atoms. These may ei ther l eave the surface (be sputtered) or deposi ted on a si te di fferent
from thei r ori gi nal one. Together wi th the projecti l es bei ng deposi ted i n the substrate, thi s resul ts i n
l ocal composi ti onal changes, damage creati on and fi nal l y amorphi zati on of the target. Dependi ng on
the crystal ori entati on and/or the di recti on of the beam, the i mpl anted projecti l es and the damage
created by them has di fferent spati al di stri buti on. Wi th even more hi gher fl uences, these phenomena
wi l l cause col l i si onal mi xi ng i n a l ayered substances, changes of the surface composi ti on due to
preferenti al sputteri ng and the establ i shment of a stati onary range profi l e of the i mpl anted i ons.
SSUPREM4 Models
SILVACO International 3-67
Method of Solution
The paths of the i ndi vi dual movi ng parti cl es and thei r col l i si ons are model ed by means of the bi nary
col l i si on approxi mati on for a crystal l i ne, pol ycrystal l i ne and amorphous substance, usi ng a screened
Coul omb potenti al for the nucl ear col l i si ons and a combi nati on of l ocal and nonl ocal free-el ectron-gas
approxi mati on for the el ectroni c energy l oss. For each nucl ear col l i si on, the i mpact parameter and the
azi muthal defl ecti on angl e are determi ned accordi ng to the crystal structure usi ng i ts transl ati onal
symmetry. For amorphous materi al s the i mpact parameter and the azi muthal defl ecti on angl e are
determi ned from random numbers. A proper scal i ng i s chosen so that each i nci dent projecti l e
("pseudoprojecti l e") represents an i nterval of i mpl antati on fl uence. Subsequent to the termi nati on of
each pseudoprojecti l e and i ts associ ated col l i si on cascades, the l ocal concentrati ons of the i mpl anted
speci es, created vacanci es and i nter sti ti al s are cal cul ated accor di ng to the densi ty of the matr i x.
Nuclear Stopping
As i t was menti oned before, duri ng thei r passage through matter i ons i nteract not onl y wi th the atoms
from the l atti ce but al so wi th the el ectrons. Fi gur e 3-14 shows the scatter i ng geometr y of two par ti cl es
i n laboratory co-ordi nate system. I n the computati onal model , i t i s assumed that i ons from one
defl ecti on poi nt to the next move al ong str ai ght-l i ne segments, these bei ng the asymptotes of thei r
paths. At each col l i si on, i on l oses energy through quasi el asti c scatteri ng by a l atti ce atom and by an
essenti al l y separate el ectron energy l oss part.

Figure 3-14: The trajectories of the ion (projectile) and the lattice atom (recoil).
The scatter i ng angl es of the pr ojecti l e and the r ecoi l are:
3-176
3-177
where
3-178
Q i s the energy l ost by el ectron exci tati on.

1
tan Af sin 1 Af cos + ( ) =

2
tan f sin 1 f cos + ( ) =
f 1 Q E
r
=
ATHENA Users Manual
3-68 SILVACO International
i s the r ati o of the mass of the tar get (scatter i ng) atom to that of the projecti l e
(i mpl anted i on).
i s the barycentri c scatteri ng angl e cal cul ated as fol l ows:
3-179
where
3-180
where:
p i s the i mpact parameter,
i s the r el ati ve ki neti c energy,
i s the i nci dent ener gy of the pr ojecti l e,
r i s i nteratomi c separati on,
i s the i nteratomi c potenti al , and
R i s defi ned from equati on .
I n ATHENA, the i ntersecti ons of the i ncomi ng and outgoi ng asymptotes are eval uated wi th the hard
core approxi mati on of the ti me i ntegral :
3-181
3-182
Interatomic Potential
ATHENA uses two-body screened Coul omb potenti al s wi th a screeni ng functi on, whi ch i s a numeri cal
fi t to the sol uti on gi ven by Fi rsov [152] and whi ch preserves the same anal yti c form as for the i sol ated
atom:
3-183
where:
Z
1
and Z
2
are the atomi c numbers of the two atoms and
i s the screeni ng l ength defi ned by:
3-184
where i s an average atomi c number of the two atoms cal cul ated as:
A M
2
M
1
=

2p
1
r
2
g r ( )
--------------- r d
R

=
g r ( ) 1
p
2
r
2
-----
V r ( )
E
r
----------- =
E
r
AE
0
1 A + ( ) =
E
0
V r ( )
g R ( ) 0 =
x
1
p 2 ( ) tan =
x
2
0 =
V r ( )
Z
1
Z
2
e
2
r
------------------
r
a
0
-----
,
_
=
a
0
a
0
0.8853a
B
Z
1 3
=
Z
SSUPREM4 Models
SILVACO International 3-69
M 3-185
The mai n drawback of these two-body potenti al s i s thei r rel ati vel y sl ow decay as . The
screeni ng parameter, , i s often regarded as an adjustabl e parameter for each two-body combi nati on,
whi ch can be matched ei ther to sel f-consi stent fi el d cal cul ati ons or to experi mental data. ATHENA uses
the screeni ng functi on of the form:
3-186
where and are taken from Zi egl er s The Stoppi ng and Range of I ons i n Sol i ds [157].
Electronic Stopping
El ectroni c stoppi ng used i n the si mul ati on consi sts of two essenti al l y separate mechani sms for
i nel asti c energy l osses - l ocal and non-l ocal . These two el ectroni c stoppi ngs are qui te di fferent i n
nature and behavi or - they have di fferent energy and spati al dependenci es [153]. The l ocal i nel asti c
energy l osses are based on the model proposed by Fi rsov [154]. I n thi s model , the esti mati on of the
el ectroni c energy l oss per col l i si on i s based on an assumpti on of a quasi cl assi cal pi cture of the
el ectrons i .e., the average energy of exci tati on of el ectron shel l s, and el ectron di stri buti on and moti on
accordi ng to the Thomas-Fermi model of the atom. I n thi s quasi cl assi cal pi cture, the transfer of
ener gy, , fr om the i on, to the atom, i s due to the passage of el ectrons from one par ti cl e to the other,
thus, resul ti ng i n a change of the momentum of the i on (proporti onal to i ts vel oci ty, , and a ri si ng of a
retardi ng force acti ng on the i on. When i ons move away from the atom, i n spi te of bei ng trapped by
i ons, el ectrons are returned back to the at., There i s no transfer of momentum cal cul ated back, because
the el ectrons fai l i n hi gher energy l evel s. The energy l oss i n the Fi rsov's model i s cal cul ated as fol l ows:
3-187
where:
i s thei r di stance of cl osest approach i n , whi ch i s approxi matel y equal to the i mpact parameter
i n case of smal l -angl e col l i si ons,
i s the energy of the movi ng atom (the i on) i n eV, and
i s i ts mass i n a.m.u.
I n a bi nary col l i si on, the scatteri ng angl es are affected by the i nel asti c energy l oss (see previ ous
equati ons) through the parameter .
The non-l ocal el ectroni c energy l osses are based on the model proposed by Brandt and Ki tagawa [155].
Thei r stoppi ng power, , of the medi um for an i on i s i n the fi rst approxi mati on proporti onal to
a mean-square effecti ve i on charge. They deri ve the effecti ve stoppi ng power charge of a projecti l e,
from a gi ven i oni zati on state, . I f a fracti onal effecti ve charge of an i on wi th the gi ven i oni zati on
state, i s defi ned as:
Z
1 3
Z
1
0.23
Z
2
0.23
+ ( )
1
=
r
a
0
a
i
b
i
x ( ) exp
i 1 =
4

=
a
i
b
i
E

E
0.05973 Z
1
Z
2
+ ( )
5 3
E M
1

1 0.31 Z
1
Z
2
+ ( )
1 3
R
0
+ ( )
5
-----------------------------------------------------------------------------eV =
R
0
A

E
M
1
E
f
S
dE
dx
------- =
Z

1
q
q
ATHENA Users Manual
3-70 SILVACO International
3-188
where i s the stoppi ng power for bare nucl eus. Brandt and Ki tagawa theori es produces the
fol l owi ng si mpl e expressi on for the fracti onal effecti ve charge of an i on:
3-189
where:
i s the fracti onal i oni zati on,
N i s the number of el ectrons sti l l bond to the projecti l e nucl eus,
and are Bohrs radi us and vel oci ty, and
and are Fermi wave vector and vel oci ty.
For the screeni ng radi us , Brandt and Ki tagawa assume exponenti al el ectron di stri buti on, whi ch
becomes:
3-190
The onl y undefi ned quanti ty, , i s of about 0.5 and weakl y depends on the tar get. The degree of
i oni zati on, , can be expressed as
3-191
where i s the rel ati ve vel oci ty between the projecti l e and the target el ectrons whi ch
are cal cul ated as fol l ows:
3-192
3-193
Damage Accumulation Model
The present model i ncl udes dynami c processes of the transformati on from crystal l i ne to amorphous
state as i on i mpl antati on proceeds. Each pseudo projecti l e i n the si mul ati on represents
3-194

1
Z
1
---------
S
q
S
q 1 =
-------------
1 2
=
S
q 1 =
q C k
F
( ) 1 q ( ) 1 2v
F
a
0
v
0
( )
2
+ ( ) ln +
q Z
1
N ( ) Z
1
=
a
0
r
0
k
F
v
F

0.48N
2 3
Z
1
1 N 7N
1
( ) ( )
------------------------------------------- =
C
q
q 1
0.92v
r

v
0
Z
1
2 3
-------------------
,

_
exp =
v
r
v
1
v
e

v
r
3v
F
4
--------- 1
2v
1
2
3v
F
2
-----------
,

_
1
15
------
v
1
v
F
-----
,
_
+ for v
1
v
F
< =
v
r
v
1
1
v
F
2
5v
1
2
----------- +
,

_
for v
1
v
F
=


N
---- =
SSUPREM4 Models
SILVACO International 3-71
of the real dose , where i s the number of projecti l es. The deposi ted energy i s accounted for each
gri d poi nt of the target and accumul ated wi th the number of projecti l es. As the i mpl antati on proceeds,
deposi ted energy i ncreases and the crystal l i ne structure gradual l y turns i nto amorphous. Thi s i s
quanti fi ed by the amorphi zati on probabi l i ty functi on:
3-195
wher e i s the ener gy deposi ted per uni t vol ume at the gri d poi nt ,
i s the cr i ti cal energy densi ty, whi ch r epr esents the deposi ti on ener gy per uni t vol ume needed to
amorphi ze the structure i n the rel evant vol ume. I t i s defi ned as:
3-196
where:
i s acti vati on energy,
i s Bol tzmanns constant, and
i s temperature at and above whi ch, the i nfi ni te dose i s requi red for crystal l i ne to amorphous
transi ti on.
Some experi mental val ues for are gi ven by F. L Vook [156]. I n the BCA modul e the
val ue corresponds to a ful l y amorphi zed state and any addi ti onal energy deposi ted at poi nt
, does not contri bute to the amorphi zati on process.
Implantation Geometry
Fi gure 3-15 shows the ori entati on of i on beams, rel ati ve to the crystal l ographi c ori entati on of the
substrate. There are three major pl anes wi th regard to i on i mpl antati on:
i mpl antati on pl ane
surface pl ane

si mul ati on pl ane


The i mpl antati on pl ane i s where the i ni ti al beam of i ncomi ng i ons l ay i n. I t equi vocal l y defi nes the
di recti on of the i ncomi ng beam - ti l t and rotati on. I f the ori entati on of the surface pl ane i s {100}, whi ch
i s the only substrate ori entati on avai l abl e currentl y i n the BCA modul e, the offset of the rotati on angl e
i s the di recti on <101> on thi s pl ane. Thi s means that the ti l t angl e []
, speci fi ed by parameter TILT i n
the IMPLANT statement, wi l l be the pol ar angl e i n thi s pl ane and the rotati on angl e [ ], speci fi ed by
the parameter ROTATION, wi l l be the di fference of azi muths of the l i ne where the i mpl ementati on
pl ane crosses the surface pl ane and of the di recti on <101>.
Note: If the surface orientation (parameter ORIENT in the INITIALIZE statement) is other than 100, the
BCA module will not be used and ATHENA will proceed with the old Crystalline Monte Carlo Method.
N
f r ( ) 1
E r ( )
E
c
--------------- exp =
E r ( ) r
E
c
E
c
T ( ) E
c0
1
E
0
T T

( )
2k
B
TT

---------------------------- exp

' ;

2
=
E
k
B
T

E
c
E
c0
( )
f r ( ) 0.6 =
r
ATHENA Users Manual
3-72 SILVACO International
The si mul ati on (projecti on) pl ane i s where al l data regardi ng the si mul ati on i s projected i n, from, and
hol d. The ori entati on of the si mul ati on pl ane i s speci fi ed by the parameter ROT.SUB i n the
INITIALIZE statement. By defaul t, the si mul ati on pl ane i s ori ented al ong <101> (ROT.SUB=-45).

Figure 3-15: Implantation geometry
Amorphous Material Monte Carlo
I n the dopi ng of semi conductors the rest di stri buti on of the i mpl antati ons i s of pri nci pal i mportance.
The penetrati on of i ons i nto amorphous targets i s most si mpl y descri bed by usi ng a stati sti cal
Transport model - sol uti on of Transport Equati ons or Monte Carl o si mul ati on. Among these two
approaches, Monte Carl o i s more conveni ent for mul ti components and two- and three- di mensi onal
targets. That i s partl y possi bl e because the Monte Carl o method treats an expl i ci t sequence of
col l i si ons, so the target composi ti on can change on arbi trary boundari es i n space and ti me. The rest of
the di stri buti on i s bui l t up from a vast number of i on trajectori es, the stati sti cal preci si on of whi ch
depends di rectl y on thi s number ( ). As the i on penetrates a sol i d i t undergoes a sequence of
col l i si ons wi th the target atoms unti l i t comes to rest. A si mpl i fi ed model of thi s i nteracti ons i s a
sequence of i nstantaneous bi nary nucl ear col l i si ons separated by strai ght l i ne segments (free fl i ght
path l engths) over whi ch the i on experi ences conti nuous (non-l ocal ) el ectroni c energy l oss. The
col l i si ons are separated, i .e. the state of an i on after a col l i si on depends sol el y on the state of the i on
before the col l i si on. The model assumes that the arrangement of the target atoms i s total l y
randomi zed after each col l i si on, i .e. the target has no structure and no memory. As a resul t, a
sequence of col l i si ons i s descri bed by randoml y sel ecti ng the l ocati on of the next col l i si on partner
rel ati ve to the pre-fl i ght l ocati on and vel oci ty di recti on of the i on. Thi s means that thi s model cannot
si mul ate the anomal ous tai l penetrati on observed for i mpl anted i ons i nto al i gned si ngl e crystal
targets. The model adequatel y descri bes the i on penetrati on i nto mul ti l ayer nonpl anar structures.
N
SSUPREM4 Models
SILVACO International 3-73
Crystalline Material Monte Carlo
ATHENA i ncl udes two di fferent modul es for i mpl antati on i n crystal l i ne materi al s. The ol d one i s
based on PEPPER si mul ator [100] and the new one on the CRYSTAL program [165]. I n order to
cal cul ate the rest of the di stri buti on of the projecti l es, both modul es si mul ate atomi c col l i si ons i n
crystal l i ne targets usi ng the bi nary col l i si on approxi mati on (BCA). The al gori thm fol l ow out the
consequences of an energeti c atomi c projecti l es (i ons) l aunched from an external beam i nto a target.
The targets my have many materi al regi ons, each wi th i ts own crystal structure, (crystal l i ne or
amor phous) and wi th many ki nds of atoms. The sl owi ng-down of the pr ojecti l es i s fol l owed unti l they
ei ther l eave the tar get or thei r energy fal l s bel ow some pr edefi ned cut-off ener gy.
The new BCA model i s i nvoked wi th the parameter, BCA, i n the i mpl antati on statement whi l e the ol d
code i s i nvoked, usi ng two parameters MONTE and CRYSTALLINE. The substanti al di fference i n the
BCA modul e i s the better si mul ati on core whi ch al l ows much more accurate handl i ng of parti cl es
movi ng al ong the open channel s. Thi s al l ows the BCA modul e to be used for si mul ati on experi ments
where i mpl antati on i s done exactl y for zero degrees when a substanti al number of i mpl anted parti cl es
''channel '' i nto the open di recti ons. Al so much better el ectroni c stoppi ng has been i ntroduced, whi ch
was opti mi zed for i mpl antati on of the most popul ar i mpl antati on speci es i nto si l i con. Compari sons
experi ments have shown very good agreement over the ol d code. When doi ng i mpl antati on at ''zero
ti l t'', the user must pay much hi gher attenti on to the exact val ues of the ti l t angl e, di vergence and the
surface nati ve oxi de l ayer. I n normal i mpl antati on experi ments, the accuracy of the ti l t angl e mi ght
spread to up to 0.5 degrees, si mi l ar or hi gher val ues mi ght have the di vergence. The preci se knowl edge
of these val ues i s very i mportant when performi ng hi gh energy i mpl antati on under channel i ng
condi ti ons. Onl y hi gh qual i ty, properl y moni tored l aboratory experi ments can guarantee the preci se
l ow val ue of these two parameters, whi ch i s usual l y 0.05-0.1 degrees!
Ion Implantation Damage
I on i mpl antati on i nduced crystal damage can pl ay an i mportant rol e i n the vari ous mechani sms
rel ated to di ffusi on and oxi dati on. ATHENA i ncl udes several di fferent types of damage formul ati on
whi ch may be al l used i n a subsequent di ffusi on cal cul ati on. I mpl antati on i nduced damage resul ts
from cascades of atomi c col l i si ons. I f these col l i si ons cascades are dense i t may resul t i n the a crystal
l atti ce becomi ng l ocal l y amorphi zed. Accurate si mul ati on of col l i si on cascades wi th si mul taneous
esti mati on of generati on of vari ous types of poi nt defects, cl usters, and spati al defects can be done onl y
i n very el aborated BCA or Mol ecul ar Dynami cs (MD) si mul ators. Such si mul ati ons are usual l y very
ti me consumi ng and woul d not be practi cal wi thi n general -purpose process si mul ators. I n general , the
amount of damage and di stri buti on of defects associ ated wi th i t depend on the energy, speci es, and
dose of i mpl anted i ons.
ATHENA i ncl udes several si mpl e model s whi ch l i nk vari ous types of defect di stri buti ons wi th i on
i mpl antati on di stri buti ons cal cul ated usi ng any of the model s descri bed i n previ ous secti ons.
The fol l owi ng types of defects can be esti mated:
Interstitial profiles
Vacancy profiles
<311> Clusters
Dislocation Loops
The damage types may be descri bed to the si mul ator duri ng the anal yti cal i on i mpl antati on process
si mul ati on step by scal i ng thei r di stri buti on densi ti es to the i mpl anted profi l e.
Plus 1 Model
The fi rst damage model i s rel ated to free poi nt defects. Here, i ntersti ti al s are scal ed to the as-
i mpl anted dopant profi l e, wi th a scal i ng parameter DAM.FACTOR=<n>. Thi s model i s i nvoked wi th the
fl ag UNIT.DAM on the IMPLANT l i ne.
ATHENA Users Manual
3-74 SILVACO International
For exampl e:
IMPLANT PHOS DOSE=5E14 ENERGY=45 UNIT.DAM DAM.FACTOR=0.001
Thi s model has been refereed to as the Pl us One model . I n the case of l ow i mpl antati on doses, the
val ue for DAM.FACTOR has been suggested to be equal to uni ty. Al though perhaps val i d at l ow doses,
the rel ated and subsequent di ffusi on mode METHOD FULL.CPL i s probabl y not requi red and thus
combi nati on i s not a practi cal approach. Recent research on RTA di ffusi on model s (e.g., Stanfords
<311> Cl uster model ) have i ntroduced other forms of damage thus l oweri ng the dependency of free
poi nt defects bei ng i ni ti al l y set at a Pl us One scal ed profi l e.
I n practi ce, the DAM.FACTOR parameter, when used together wi th the <311> Cl uster model , shoul d
have a far l ower val ue i n the order of 0.001.
Users shoul d note that thi s i s an extremel y sensi ti ve parameter when studyi ng shal l ow juncti on
formati on and therefore i t shoul d be used careful l y.
<311>Cluster Model
The <311> Cl uster model [140] i ntroduces a bul k i njecti on source of i ntersti ti al s i n addi ti on to any
other free poi nt defects sources. Cl usters are i ntroduced duri ng i on i mpl antati on, scal ed to the dopant,
and wi thi n two user defi ned concentrati on threshol ds. For exampl e, cl usters may be scal ed to 1.4 ti mes
the dopant concentrati on but exi st onl y between the dopant concentrati ons of 1e19 and 1e17 cm
-3
. Thi s
al l ows a scal abl e approach, where cl usters wi l l fol l ow i mpl anted dopant as energi es and doses vary.
(See Fi gure 3-16).
The syntax to both swi tch on and control the cl uster model damage scal i ng i s as fol l ows:
METHOD FULL.CPL CLUSTER.DAM
CLUSTER CLUST.FACT=1.4 MIN.CLUST=1e17 MAX.CLUST=1e19 PHOS
Refer to the secti on descri bi ng RTA di ffusi ons model s for the use of the <311> cl usters duri ng RTA.
Dislocation Loops Model
Di sl ocati on l oops may be al so scal ed to the as-i mpl anted dopant profi l e. Loops are i ntroduced as a
si mpl e stati c band to act as an i ntersti ti al si nk. Here i ntersti ti al s wi l l be recombi ned at an enhanced
rate accordi ng to the equati on:
3-197
Where:
C
I
i s i ntersti ti al concentrati on and
i s equi l i bri um i ntersti ti al concentrati on.
Loops are pl aced i n a band scal ed to dopant concentrati on wi th the fol l owi ng command, pri or to
i mpl antati on.
DISLOC.LOOP MIN.LOOP=1e16 MAX.LOOP=1e18 PHOSPHORUS
The recombi nati on rate, wi thi n the l oop band i s control l ed as fol l ows:
INTERSTITIAL SILICON DAMALPHA=1e8
Rate damal pha C
I
C
I

,
_
,
_
=
C
I

SSUPREM4 Models
SILVACO International 3-75
Figure 3-16: Cluster Damage Control
C-Interpreter Model
C-Interpreter al l ows to extend user control over the damage formati on model s descri bed above. The
C-I nterpreter functi on i s i ntroduced usi ng parameter DAMAGEMOD.FN i n the MOMENTS statement.The
i nput parameters of the functi on are the i mpl anted i on number, i mpl anted concentrati on i n the poi nt
of the structure, correspondi ng materi al , and absol ute coordi nates of the poi nt. Usi ng these
parameters user can bui l d speci fi c model s for poi nt defects, <311>-cl usters, and di sl ocati on l oops.
Deposition Models
A deposi ti on step i s si mul ated by the DEPOSIT statement i n whi ch the materi al to be deposi ted and
the thi ckness (parameter THICKNESS) of the l ayer to be deposi ted must be speci fi ed. The deposi ted
l ayer i s constructed by a si mpl e al gori thm that descri bes conformal deposi ti on. I n thi s al gori thm the
whol e l ayer i s di vi ded i nto a number of subl ayers wi th thi cknesses equal to gri d spaci ngs cal cul ated
accordi ng to the gri d control al gori thm (see bel ow). Each subl ayer i s deposi ted and tri angul ated
separatel y.
More compl ete physi cal l y based model s for deposi ti on are avai l abl e i n the ELITE modul e as descri bed
i n Chapter 4 of thi s manual .
Deposition of Doped Layers
The uni form dopi ng concentrati on of i mpuri ti es can be al so added to the each node of the deposi ted
materi al . The parameter CONC=<n> wi th the i mpuri ty name or parameters C.BORON,
C.PHOSPHORUS etc. shoul d be used i n the DEPOSIT statement.
Grid Control During Deposit
User can control the gri d i nsi de deposi ted l ayer. The gri d di stri buti on al ong normal di recti on i s
control l ed by a number of di vi si ons (parameter DIVISIONS) i n the case of uni form verti cal gri d. I f non-
ATHENA Users Manual
3-76 SILVACO International
uni form verti cal gri d i s to be used two addi ti onal parameters DY and YDY shoul d be speci fi ed i n the
DEPOSIT statement. DY speci fi es nomi nal spaci ng and YDY speci fi es the posi ti on at whi ch the nomi nal
spaci ng i s to be appl i ed. The spaci ngs further from the nomi nal posi ti on YDY are i ncreasi ng or
decreasi ng accordi ng to geometri cal seri es. The coeffi ci ents of the geometri cal seri es are cal cul ated
such a way that total number of the spaci ngs wi l l be equal to the user-speci fi ed DIVISIONS. I n the
case when the conformal deposi ti on al gori thm fai l s to deposi t next subl ayer (i t happens when
deposi ti on takes pl ace on the structure wi th narrow trenches and/or undercuts) the current spaci ng i s
di vi ded by two and thi nner l ayer i s tri ed. Thi s spaci ng di vi si on al gori thm i s appl i ed recursi vel y.
Because of such si tuati ons the number of subl ayers (di vi si ons) actual l y deposi ted can be someti mes
hi gher than the DIVISIONS speci fi ed by the user.
Etching Models
Al though etchi ng i s an i ntegral process step i n si l i con technol ogy, SSUPREM4 l acks a compl ete physi cal
descri pti on of etchi ng steps. To ci rcumvent thi s probl em, SSUPREM4 consi ders etchi ng si mul ati on as a
purel y geometri cal probl em. Etchi ng i s si mul ated as a l ow-temperature process. I mpuri ty
redi stri buti on i s i gnored duri ng the etchi ng process.
Etch steps are si mul ated usi ng the ETCH statement i n whi ch the materi al to be etched and the
geometri cal shape of the etch regi on are speci fi ed. I t i s not necessary that materi al to be etched be
exposed or at the top surface of the structure. There are four di fferent ways to defi ne an etch regi on:
1. A pol ygonal regi on may be defi ned by speci fyi ng the x and y coordi nate of each vertex i n the pol y-
gon. Etchi ng wi l l confi ned to that pol ygon onl y.
2. A regi on to the l eft or ri ght of a l i ne segment may be defi ned by speci fyi ng the x and y coordi nates
of the end poi nts of the l i ne segment. Etchi ng wi l l then proceed from the l eft or ri ght of the l i ne
segment to the edge of the structure.
3. A regi on between the top boundary of the structure and a l i ne obtai ned by transl ati ng the top
boundary down i n the y-di recti on may be defi ned by speci fyi ng the DRY parameter i n the ETCH
statement. The THICKNESS parameter wi l l determi ne the di stance to etch i n the y-di recti on.
4. Al l regi ons of a parti cul ar materi al may be etched by speci fyi ng the ALL parameter of the ETCH
statement.
When a regi on i s defi ned i n one of the fi rst three ways, by defaul t al l materi al s i n the defi ned regi on
wi l l be etched. Speci fyi ng a materi al i n the ETCH statement l i mi ts etchi ng to onl y that materi al wi thi n
the defi ned regi on. For a compl ete descri pti on of physi cal l y based etch model s, refer to Chapter 4,
ELI TE Model s of thi s manual . ELITE i s a compl ete 2D topography si mul ator i ncl uded i n the ATHENA
framework.
Epitaxy Models
SSUPREM4 model s hi gh temper ature deposi ti on of si ngl e crystal si l i con vi a the EPITAXY statement.
Thi s statement combi nes deposi t and di ffusi on steps and parameters.
Chapter 4:
ELITE Models
SILVACO International 4-1
Overview
The ELI TE modul e of ATHENA al l ows the use of sophi sti cated model s for deposi ti on and etch processes.
These processes are model ed by defi ni ng a machi ne and i nvoki ng the machi ne to perform ei ther
deposi t or etch. ELITE al so i ncl udes a model for materi al refl ow. ELI TE can al so be l i censed wi th
modul es for Monte Carl o deposi ti on, Monte Carl o etchi ng, and Chemi cal Mechani cal Pol i shi ng (CMP).
I n ELI TE, a number of defaul t machi nes have been defi ned, so that speci fyi ng any process reasonabl y
cl ose to the standard i s especi al l y si mpl e. Process modi fi cati ons or addi ti ons are easi l y i mpl emented by
changi ng or addi ng i ndi vi dual machi nes wi thout affecti ng the remai nder of the si mul ator.
For al l model s except Monte Carl o deposi ti on and Monte Carl o Etchi ng, ELI TE uses a stri ng al gori thm
to descri be topographi cal changes that occur duri ng deposi ti on and etchi ng processes. Thi s chapter
descri bes the model s and techni ques used i n ELI TE and the command l anguage used to access model
parameters.
String Algorithm
The ELI TE si mul ati on regi me consi sts of a set of tri angl es that hol d i nformati on on the materi al s that
are bei ng si mul ated. The str i ng al gor i thm treats each of these i nter faces as a set of segments that
move i n response to a parti cul ar process cal cul ati on.
As mi crofabri cati on technol ogy becomes more compl ex, model i ng each step of the manufacturi ng
process i s i ncreasi ngl y i mportant for predi cti ng the performance of the technol ogy. Etchi ng i s a step
that i s uni versal i n mi crofabri cati on. I t may take pl ace as the di ssol uti on of a photoresi st by an organi c
sol vent, the etchi ng of an oxi de by an al kal i , or the pl asma etchi ng of an el ectron resi st. Whatever i ts
physi cal detai l s, the etchi ng process can i n many cases be model ed as a surface etchi ng phenomenon.
Etchi ng si mul ati on starts from an i ni ti al profi l e whi ch moves through a medi um i n whi ch the speed of
etchi ng propagati on can be a functi on of posi ti on and other vari abl es that determi ne the fi nal profi l e.
Two major assumpti ons l i mi t the general i ty of the stri ng al gori thm i n ELI TE. Fi rst, the pattern to be
etched i s uni form i n one di mensi on, so the probl em can be sol ved usi ng onl y two di mensi ons. For most
mi crofabri cati on probl ems, the i mportant cases i nvol ve the cross-secti ons of l i nes, so thi s model i s
di rectl y appl i cabl e. I n certai n other cases, such as round hol es, the symmetry of some cross-secti ons i s
such that the al gori thm i s sti l l val i d.
The second major assumpti on i s that the etch rate i s a scal ar functi on of posi ti on, and i s i ndependent
of the di recti on of l ocal etch front moti on and the hi story of the front. I n some real si tuati ons thi s does
not hol d. PMMA, for i nstance, has been found to have a gel regi on at the resi st-sol vent i nterface
duri ng devel opment, so the etch rate i s a functi on of the hi story of the adjacent regi ons as wel l as of
the exposure. Another case where the second assumpti on does not hol d i s i n the so-cal l ed preferenti al
etchi ng where etchi ng proceeds more qui ckl y al ong certai n crystal di recti ons, maki ng the etch
ani sotropi c.
The al gori thm descri bed here i s known as a stri ng al gori thm. The etch front i s si mul ated by a seri es
of poi nts joi ned by strai ght l i ne segments, formi ng a stri ng. Duri ng each ti me i ncrement, each poi nt
advances perpendi cul arl y to the l ocal etch front, as i n Fi gure 4-1. A major porti on of the al gori thm
adjusts the number of segments to keep them appr oxi matel y equal i n l ength. Other subr outi nes i nput
the data and output the etch front.
Choosi ng sui tabl e cri teri a for segment l ength was a major probl em i n devel opi ng the al gori thm. I t
seemed that segments must be short enough so that any curve that devel oped woul d be wel l defi ned,
i .e., there shoul d be some maxi mum angl e between adjacent segments, perhaps 0.1 radi ans. However,
thi s cri teri on l ed to a prol i ferati on of segments i n regi ons where the front was ei ther expandi ng or
ATHENA Users Manual
4-2 SILVACO International
contracti ng. The al gori thms i n ELI TE attempt to mai ntai n approxi matel y equal segment l engths. Thi s
resul ts i n posi ti on errors of about one-hal f segment l ength. The error can be reduced by decreasi ng the
average segment l ength wi th a proporti onal i ncrease i n computati on ti me.

Figure 4-1: String Model approximation to the Etch Front
For the most cases of i nterest, the etch rate vari es wi th posi ti on. Thi s l eads to some errors i n the
posi ti on and i n the di recti on of each poi nt on the stri ng. Errors i n posi ti on ari se from the use of a
rather si mpl e i ntegrati on al gori thm. The l ocal rate at the start of each ti me step i s assumed to be
constant throughout the step. Thi s can easi l y l ead to posi ti on errors as l arge as the di stance covered i n
one step. Consi der, for exampl e, an etch fr ont i n a photoresi st approachi ng an unetchabl e substr ate. A
poi nt whi ch i s barel y outsi de the substrate at the start of the ti me step wi l l advance i nto the substrate
at the rate associ ated wi th the resi st. Thi n l ayers of al ternati ng fast and sl ow etch rates coul d spawn
errors i n posi ti on. Wi th too l arge a ti me step, a poi nt coul d jump over a sl ow regi on.
Errors i n di recti on ari se from nonuni form rates al ong the stri ng, and from certai n boundary
condi ti ons. Duri ng each step, perpendi cul ari ty to the front, whi ch i s defi ned bel ow, i s assumed to be
constant i n di recti on. However, i f two adjacent poi nts have greatl y di fferi ng rates, the qui ckl y movi ng
poi nt cannot start turni ng towards the sl ower poi nt unti l the end of the ti me step. Thi s mechani sm
tends to i ntroduce rel ati vel y smal l errors i n posi ti on because the error i s roughl y proporti onal to the
cosi ne of the angl e error.
Deposition Models
Deposition Overview
ELI TE provi des a set of deposi ti on model s that correspond to di fferent physi cal deposi ti on techni ques.
Any one of these model s may be sel ected to defi ne a machi ne for si mul ati ng processes on the
structure. I n addi ti on, ELI TE provi des a conformal deposi ti on capabi l i ty that can be used to defi ne
i ni ti al structures.
I n most i ntegrated-ci rcui t processes, at l east one l ayer of i nterconnect i s formed by deposi ti ng and
patterni ng an Al or Al al l oy fi l m. The trend toward l ower temperature processi ng, combi ned wi th the
very steep edge profi l es produced by ani sotropi c dry etchi ng processes, resul ts i n sharp step profi l es
whi ch are di ffi cul t to cover wi th a uni form fi l m of metal . Bl ech et al. have si mul ated a number of
i mportant cases of Al deposi ti on and compared the resul ts wi th experi ment resul ts [75]. Compari sons
of ELI TE si mul ati ons wi th the experi mental resul ts reported by Bl ech et al., as wel l as thei r si mul ated
cases agree cl osel y.
ELITE Models
SILVACO International 4-3
Conformal Deposition
Conformal deposi ti on can be performed si mpl y by speci fyi ng a materi al to deposi t, a thi ckness, and a
number of verti cal gri d spaci ngs on the DEPOSIT statement. The conformal deposi ti on model produces
uni ty step coverage.
CVD Deposition
Thi s model i s i nvoked by speci fyi ng the CVD parameter on the RATE.DEPO statement, as wel l as the
materi al type, the deposi ti on rate DEP.RATE, and step coverage STEP.COV.
The l ocal deposi ti on r ate R(x,y) for the CVD model i s gi ven by:
4-1
where i s the angl e between the surface segment and the hori zontal .
Unidirectional Deposition
Thi s model i s i nvoked by speci fyi ng the UNIDIREC parameter on the RATE.DEPO statement.
As shown i n Fi gure 4-2, the regi on of the substrate not shadowed sees the arri val of the vapor streams
i n one di recti on onl y. The growth rate of the deposi ted fi l m i n the shadowed regi on i s equal to zero.
Accordi ng to these assumpti ons, growth rate on the substrate R(x,y) can be expressed as:
, i f poi nt (x,y) i s shadowed 4-2
4-3
where:
i s the angl e between the y-axi s and the di recti on of the vapor stream,
i and j are the uni t vectors i n the x and y di recti on respecti vel y, and
C i s the growth rate of an unshadowed surface normal to the vapor stream.
Angl e i s speci fi ed as ANGLE1 on the RATE.DEPO command.
The fol l owi ng i s a short descri pti on of the cosi ne l aw deposi ti on. Thi s i s a si mpl e model that accounts
for metal l i zati on, due to evaporati on. The cosi ne l aw deposi ti on model i s based on the the fol l owi ng
assumpti ons:
1. The mean free path of atoms or parti cl es i s much l arger than the di stance between the source
and the substrate.
2. The source to substrate di stance i s l arge compared to the surface topography.
3. The fi l m grows i n the di recti on toward the vapor fl ux.
4. Shadowi ng effects must be i ncl uded.
The magni tude of the fi l m growth rate fol l ows the cosi ne di stri buti on l aw, whi ch says that deposi ted
fi l m thi ckness gr ows at a r ate pr opor ti onal to cos (), where i s the angl e between the vapor steam
and the normal surface.
The sti cki ng coeffi ci ent can be used as a tuni ng parameter. I t i s assumed to be 1.0 for deposi ti on on the
col d substrates (at 300 K).
R x y , ( ) DEP.RATE 1 STEP.COV ( ) STEP.COV + cos [ ] =
R x y ( , ) 0 =
R x y ( , ) C sin i C sin j + =
ATHENA Users Manual
4-4 SILVACO International

Figure 4-2: Step Profile with a Unidirectional Source
Dual Directional Deposition
Thi s model i s i nvoked by speci fyi ng the DUALDIREC parameter on the RATE.DEPO statement. I n thi s
type of source, each poi nt i n the unshadowed regi on vi ews the vapor streams arri vi ng from two
di fferent di recti ons, and assumes the di ffusi on l ength of deposi ted materi al l arge compared to the
features (Fi gure 4-3). Growth rate i s gi ven as:
4-4
4-5
i f poi nt (x,y) i s parti al l y shadowed.
4-6
i f poi nt (x,y) i s unshadowed, where
1
and
2
are the i nci dent angl es.
1
and
2
are speci fi ed on the
RATE.DEPO command by ANGLE1 and ANGLE2, respecti vel y.

R x y , ( ) 0, i f poi nt x y , ( ) i s shadowed =
R x y , ( ) C
1
i sin C
1
j or R x y , ( ) C
2
i sin C
2
j sin + = sin + =
R x y , ( ) C
1
cos
2
cos + ( )i C
1
sin
2
sin + ( )j + =
ELITE Models
SILVACO International 4-5

Figure 4-3: Step Profile with Dual Source
Hemispheric Deposition
Thi s model i s i nvoked by speci fyi ng the HEMISPHE parameter on the RATE.DEPO statement
The fl ux of vapor i s conti nuousl y di stri buted i n a range of di recti ons (Fi gure 4-4). The growth rate can
be cal cul ated as:
4-7
where
1
and
2
are the l ower and upper bounds, respecti vel y, of the i nci dent angl es of the vapor
streams set by parameters ANGLE1 and ANGLE2, respecti vel y.
To avoi d step-cover age pr obl ems, pl anar sputter i ng i s often used to achi eve better fi l m pr ofi l es. The
i deal sputteri ng source i s model ed by means of a hemi spheri c vapor source wi th atoms i mpi ngi ng on
the substrate from al l angl es.
2
1
R x y , ( ) C
1
cos
2
cos ( )i C
1
sin
2
sin ( )j + =
ATHENA Users Manual
4-6 SILVACO International

Figure 4-4: Step Profile with a Hemispherical Vapor Source
Planetary Deposition
Thi s model i s i nvoked by speci fyi ng the PLANETAR parameter on the RATE.DEPO statement.
Fi gure 4-5 i l l ustrates the pl anetary evaporati on system. By i nspecti ng thi s system, one can be
convi nced that the rotati on of the pl anet al ong the system central axi s has no effect on the deposi ti on
rate. For the sake of si mpl i ci ty, the growth rate can be cal cul ated by hol di ng the pl anet stati onary and
by rotati ng onl y the source al ong the axi s of the pl anet (Fi gure 4-5). The growth rate i s deri ved
accordi ng to the fol l owi ng equati ons:
4-8
4-9
where:
is the incident angle of the vapor stream,
is the tilt angle of the planet plane,
r is the distance between the position of the wafer and the planet axis, and
2
1
R
x
x y ( , )
R
2
r
2
rL ( ) tan LW + [ ] L ( ) sec
2
[ ] L ( ) sin tan L cos [ ] tan
R
2
W
2
+ R
2
r
2
L
2
2rL ( ) tan + [ ]
2
R
2
r L ( ) tan + ( )
2

-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- - d

=
R
x
x y ( , )
R
2
r
2
rL ( ) tan LW + [ ] L ( ) sec
2
[ ] L ( ) sin tan L cos [ ] tan
R
2
W
2
+ R
2
r
2
L
2
2rL ( ) tan + [ ]
2
R
2
r L ( ) tan + ( )
2

-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------- - d

=
ELITE Models
SILVACO International 4-7
R, L, and W are the parameters dependent on the system dimensions.
Figure 4-5: Illustration of Planetary Evaporator
Usi ng the pl anetary model of ELITE, one can observe asymmetri es both i n edge coverage and the
depth of cracks produced by the parti cul ar l ocati on and ori entati on of a speci men i n a pl anetary
system.
The Pl anetary model parameters:
= ANGLE2, = ANGLE3,
r = DIST.PL, P.AXIS, C.AXIS, and ANGLE1
are shown i n Fi gure 4-5. ANGLE1 i s used to cal cul ate DIST.PL = P.AXIS * tan(ANGLE1).

ATHENA Users Manual


4-8 SILVACO International

Figure 4-6: Geometric of Source to Substrate in a Conical Evaporator
Conical Deposition
Thi s model i s i nvoked by speci fyi ng CONICAL parameter on the RATE.DEPO statement.
The Coni cal model i s a si mpl i fi ed versi on of the Pl anetary model wi th and r = 0, the substrate al ways
sees a symmetri cal cone source. I n thi s type of confi gurati on, the i ntegral of the above two equati ons
can be eval uated anal yti cal l y, and expressed i n the fol l owi ng si mpl e cl osed form:
4-10

R
x
x y , ( )
R R
2
LW + ( )
R
2
W
2
+ R
2
L
2
+ ( )
2

------------------------------------------------------ 1
L
R
---
max
tan
,
_
2
1
L
R
---
min
tan
,
_
2


' ;

=
ELITE Models
SILVACO International 4-9
4-11
I n the Coni cal model , the parameter, ANGLE1=. Other parameters are C.AXIS and P.AXIS as seen i n
Fi gure 4-6.
Monte Carlo Deposition
There are two model s whi ch are i nvoked by speci fyi ng the MONTE1 or MONTE2 parameters i n the
RATE.DEPO statement, and the par ameter s SIGMA.DEP, DEP.RATE, and ANGLE1. MONTE1 i nvokes the
Monte Carl o based deposi ti on model whi ch can be used to model l ow-pressure chemi cal vapor
deposi ti on (LPCVD) [103], [104].
Si nce the radi cal s are i nci dent on the substrate wi th non zero thermal vel oci ti es, they may be re-
emi tted from the surface before they react. Therefore, the probabi l i ty of thei r sti cki ng i s consi dered.
The sti cki ng coeffi ci ent can be defi ned usi ng STICK parameter i n the RATE.DEPO statement.
The model uses an anal yti cal approach to cal cul ate a surface di ffusi on through a normal i zed gaussi an
di stri buti on nd:
4-12
wher e x i s the poi nt of contact wi th the sur face, as shown i n Fi gur e 4-7.
MONTE2 i nvokes a bal l i sti c deposi ti on model whi ch si mul ates fi l m growth by the random i rreversi bl e
deposi ti on of hard two-di mensi onal di scs l aunched wi th l i near trajectori es from a random poi nt at the
top of the si mul ati on area towards the structure surface.
At the poi nt of contact wi th the growi ng fi l m, the i nci dent di scs are rel axed to the nearest cradl e poi nt
wi th the hi ghest coordi nati on number (contacti ng the l argest number of nei ghbor di scs) wi thi n a
radi us equal to SIGMA.DEP, whi ch i s four di sc di ameters by defaul t.
The profi l e was i ni ti al i zed usi ng a seri es of di scs. I n order to i nhi bi t unreal i sti c epi taxi al growth
from a cl osest-packed surface [106, 107], the i ni ti al seri es of di scs was spaced wi th centers
approxi matel y 1.3 di ameters apart.
Thi s rel axati on process si mul ates l i mi ted surface di ffusi on that occurs i n fi l ms to reduce the surface
energy associ ated wi th areas of hi gh curvature.
A predi cti on of the trends i n l ocal fi l m densi ty can be achi eved. Pl ot wi th di scs can be obtai ned usi ng
parameter OUTFILE=<filename> i n the DEPOSIT statement. The vapor fl ux di stri buti on arri vi ng can be
defi ned usi ng the ANGLE1 parameter descri bi ng the angl e measured between the verti cal from the
source, and the wafer normal as seen i n Fi gure 4- 7.
Mul ti pl e steps for both MONTE1 and MONTE2 model s can be used by setti ng the DIVISION parameter i n
the DEPOSIT statement. The number of i ncomi ng parti cl es can be defi ned by the N.PARTICLE
parameter i n the DEPOSIT statement.
R
z
x y , ( )
L R
2
LW + ( )
R
2
W
2
+ R
2
L
2
+ ( )
2

------------------------------------------------------
L
R
---
max
tan
,
_
asin
L
2
R
2
------
min
tan
2
,

_
asin


' ;


=
nd
x
2
SIGMA.DEP
2
-------------------------------
,

_
exp =
ATHENA Users Manual
4-10 SILVACO International

Figure 4-7: Deposition and Relaxation Model used in Ballistic Deposition model (MONTE2)
Etch Models
Overview
ELI TE provi des a set of etch model s that correspond to di fferent physi cal etchi ng techni ques. Any one
of these model s may be sel ected to defi ne a machi ne that can then be i nvoked to perform processi ng
on the structure. I n addi ti on, ELI TE provi des a pri mi ti ve etchi ng capabi l i ty that can be used to defi ne
i ni ti al structures.
Isotropic Etch Model
Thi s model i s i nvoked by speci fyi ng the WET.ETCH parameter on the RATE.ETCH statement. I n wet
etchi ng and si mpl e pl asma etchi ng, the substrate i s i mmersed i n a fl ui d (l i qui d or gas) whi ch
chemi cal l y reacts wi th the exposed surface. I n wet etchi ng, the l i qui d attacks the surface and sol ubl e
products are removed. Thi s reacti on produces vol ati l e by-products whi ch are removed by a vacuum
pump. Physi cal reacti ons do not take pl ace. A barrel pl asma reactor achi eves such condi ti ons, usual l y
at l ow powers and moderate pressures. Due to the chemi cal reacti on, i sotropi c profi l es devel op wi th
mask undercutti ng and ci rcul ar cross-secti ons.

ELITE Models
SILVACO International 4-11

Figure 4-8: Segment Point in Case of Isotropic
RIE Model
I n the Reacti ve I on Etchi ng (RIE) model , the etchi ng process i s di vi ded i nto the two adjustabl e
components i sotropi c etchi ng and ani sotropi c etchi ng. Each of these components i s characteri zed by
empi ri cal etch rates (r
i so
and r
di r
).
The r ati o:
4-13
defi nes the measure of ani sotropy.
The i sotropi c component r
i so
model s chemi cal l y reacti ve etchi ng whi ch resul ts i n profi l es wi th
undercut and ci rcul ar cross-secti ons. For A=0, the process i s compl etel y i sotropi c. Under i sotropi c
condi ti ons, the stri ng-poi nts are advanced at the constant rate ri so, i n the di recti on of the
perpendi cul ar bi sector of the adjacent segments (Fi gure 4-7).
The ani sotropi c etch rate component rdi r i s proporti onal to the cosi ne of the angl e between the fl ux
di recti on and the surface normal (the perpendi cul ar bi sector of adjacent segments). For A=1 the
process i s ani sotropi c yi el di ng verti cal si dewal l s (Fi gure 4-8).
Fi gure 4-9 i l l ustrates the regi ons of si gni fi cance for each component i n the RIE model . The shadowi ng
effect i s accounted for by the r
i so
component i n the shadowed area.
r = r
iso
r
iso bisects the angle
between line segments.
A
r
dir
r
riso
r
dir
+
------------------------- =
ATHENA Users Manual
4-12 SILVACO International

Figure 4-9: Point Advance due to Directional Influence

Figure 4-10: Regions of Significance of r
dir
and r
isc
Plasma Etch Model
The pl asma etch model i n ATHENA i s based on a Monte Carl o si mul ati on of the i on transport from the
neutral pl asma or bul k, denoted by i ts gl ow, through the dark sheath surroundi ng the el ectrodes and
wal l s and i sol ati ng the pl asma. I ons enter the sheath from the pl asma and are then accel erated
thr ough the sheath due the el ectri cal potenti al drop between the pl asma and the el ectr odes. The
Monte Carl o si mul ati on fol l ows a l arge number i ons i n thei r transport through the sheath i ncl udi ng
col l i si ons wi th other gaseous speci es present i n the etch chamber. The number of col l i si ons
r = r
dir
r = r
dir
+cos
r
dir


ELITE Models
SILVACO International 4-13
encountered by a parti cul ar i on depends on both the i on mean free path, a cal cul ated quanti ty, and the
sheath thi ckness, an user speci fi ed quanti ty. To reduce the computati on ti me, i on trajectori es are
cal cul ated i ndependentl y and i nter-i on i nteracti ons are not consi dered i n thi s versi on of the code.
I n the current versi on of ATHENA, the si mul ated Monte Carl o di stri buti ons are used to cal cul ate an i on
fl ux i nci dent on the substrate surface. Thi s fl ux i s then used to cal cul ate an etch rate by i ntegrati ng
thi s fl ux over the wi ndow of vi si bi l i ty at each poi nt on the surface. The wi ndow of vi si bi l i ty i s, for
poi nt on a fl at surface, si mpl y from 0 to . However, for more compl i cated structures, e.g., trenches,
poi nts on the surface are shadowed and the wi ndow of vi si bi l i ty i s reduced. Currentl y, onl y a si mpl e
l i near surface ki neti c model for etchi ng i s supported.
See Chapter 7: Statements for a descri pti on of the RATE.ETCH parameters requi red for pl asma etch
si mul ati on.
Dopant Enhanced Etching
Dopant enchaned etchi ng i s a feature i ncl uded i n ATHENA and al l ows the etch rate at any poi nt on the
sur face to be enhanced dependi ng on the val ue of any sol uti on var i abl e present. The etch r ate at any
poi nt i s then gi ven by the formul a
ER
enh
= (1 + enh)ERM 4-14
where ER
enh
i s the enhancement due the presence of parti cul ar dopant.
Thi s enhancement i s cal cul ated usi ng the formul a
enh = 0.5 ENH.MAX (tanh(ENH.SCALE (S - ENH.MINC)) + 1)/2 4-15
where ENH.MAX i s the maxi mum enhancement, ENH.MINC gi ves the sol uti on val ue bel ow whi ch
enhancement decays and ENH.SCALE gi ves the spread of the enhancment over sol uti on val ues, i .e., how
qui ckl y does the enhancement factor reach i ts maxi mum. S i s the dopant val ue.
For exponenti al l y varyi ng sol uti ons, e.g., oxi dati on stress and dopant concentrati ons, both S and
ENH.MINC are taken to be l og base 10 of thei r respecti ve val ue. For dopant enhanced etchi ng, see the
RATE.DOPE card descri pti on.
Monte Carlo Etching Model
The shri nki ng cri ti cal di mensi ons of modern technol ogy pl ace a heavy requi rement on opti mi zi ng the
etchi ng of narrow mask openi ng. I n addi ti on, the aspect rati o of etches has been i ncreased, requi ri ng
deeper etches al ong wi th the smal l CDs. The si mul ati on of these process requi res more advanced
techni ques than the anal yti cal rate-based etchi ng model s descri bed above. A more compl ete treatment
i nvol vi ng cal cul ati on of the pl asma di stri buti on and di rect i nteracti on of pl asma parti cl es wi th
substrate materi al s i s requi red.
The Monte Carl o etch modul e i s i mpl emented i nto ATHENA/ELI TE. The mai n appl i cati on of the modul e
i s si mul ati on of pl asma or i on assi sted etchi ng. The modul e can take i nto account the redeposi ti on of
the pol ymer materi al generated as a mi xture of i ncomi ng i ons wi th etched (sputtered) mol ecul es of
substrate materi al . I n addi ti on, the modul e has i nterface to the C-INTERPRETER whi ch al l ows
si mul ati on of several other processes l i ke wet etch and deposi ti on, i on mi l l i ng and sputteri ng
deposi ti on of vari ous materi al s. Thi s model can be used to si mul ate the redeposi ti on of materi al duri ng
etches for:
deep and narrow trench etches
vi a etches
l oadi ng effects
Parameters for Monte Carl o etch model are speci fi ed i n the RATE.ETCH statement
2
ATHENA Users Manual
4-14 SILVACO International
Simulation of Incoming Ions and Neutrals
Di rect model i ng of the pl asma sheath i s not i ncl uded i nto thi s rel ease and wi l l be added l ater. I t i s
assumed that i ons and neutral s fl uxes l eavi ng pl asma sheath are represented by bi maxwel l vel oci ty
di stri buti on functi on al ong the di recti on determi ned by user speci fi ed i nci dent angl e:
4-16
where:
i s the i on vel oci ty component paral l el to the i nci dent di recti on,
i s the i on vel oci ty component perpendi cul ar to the i nci dent di recti on,
I i on (or neutral ) current densi ty speci fi ed by parameters, MC.ION.CU1 or MC.ION.CU2 i n the
RATE.ETCH statement
i s the di mensi onl ess paral l el temperature speci fi ed by parameters, MC.NORM.T1 or MC.NORM.T2,
i s the di mensi onl ess l ateral temperature speci fi ed by parameters MC.LAT.T1 or MC.LAT.T2
Calculation of Ion and Neutral Fluxes
Duri ng each ti me step the si mul ati on consi sts of the three stages:
1. Cal cul ati on of i on, neutral , and pol ymer fl uxes
2. Cal cul ati on of etch, pol ymer ejecti on and redeposi ti on rates
3. Surface movement
On the fi rst stage, the fl uxes of i ncomi ng and refl ected i ons and neutral s are cal cul ated on the each
segment of the surface. Computati on of the i on fl uxes i s done by traci ng the user-defi ned number of
parti cl es (Fi gure 4-11, model a). Each parti cl e i s generated at random posi ti ons on top of the
si mul ati on area, wi th normal and l ateral vel oci ti es randoml y determi ned from the bi maxwel l
di stri buti on functi on (Eq. 1). Then each parti cl e trajectory i s traced unti l the i on i s ei ther absorbed by
the surface or back scattered out of the si mul ati on area.
f

, ( ) I

------------

------
,
_
exp

T

T

ELITE Models
SILVACO International 4-15
Figure 4-11: Diagram of Plasma Flux algorithm: (a) including zoom-in of ion reflection models (a & b)
I nteracti on of the i on wi th materi al surface i s governed by two factors: refl ecti on coeffi ci ent Prefl
speci fi ed by parameters MC.ALB1 and MC.ALB2 for two types of pl asma parti cl es and MC.PLM.ALB for
pol ymer parti cl es and roughness of the surface R speci fi ed by parameter MC.RFLCTDIF. Both factors
depend on the surface materi al and the type of i on. Refl ecti on coeffi ci ent i s the probabi l i ty of the
parti cl e to be refl ected from the surface. Roughness determi nes how the i on i s refl ected. I f R = 0 the
refl ecti on i s specul ar (Fi gure 4-11, model b), i f R = 1, the refl ecti on i s random wi th uni form angul ar
di stri buti on (Fi gure 4-11, model c). I n a general case, the vel oci ty of the i on after a col l i si on wi th a
surface segment coul d be presented as fol l ows:
4-17
4-18
where:
i s the i on vel oci ty after specul ar refl ecti on,
v
refl
v
refl
0(ion is absorbed) , if x P
refl
> =
v
refl
v
sp
1 R ( ) v
rand
R if x P
refl
> , + =
v
sp
ATHENA Users Manual
4-16 SILVACO International
i s the i on vel oci ty after random refl ecti on,
x i s a random number, and
, wher e i s the vel oci ty of i ncedent i on.
Each absorbed i on i s used to compute the i ncomi ng fl ux at the surface segment. The fol l owi ng
characteri sti cs descri be the fl ux:
normal i zed number of absorbed parti cl es :
4-19
where:
i s the number of absorbed parti cl es,
i s the number of trajectori es speci fi ed by parameters MC.PARTS1 and MC.PARTS2 for each type of
pl asma parti cl es, and by parameter MC.POLYMPT for pol ymer parti cl es;
normal i zed normal and tangenti al vel oci ty components of the absorbed parti cl e
before the encounter wi th the surface:
4-20
4-21
normal i zed ki neti c energy of absorbed parti cl es:
4-22
Calculation of Polymer Fluxes
After i on and neutral fl uxes are determi ned, the fl uxes of the pol ymer parti cl es are cal cul ated as
fol l ows. As the resul t of i on fl ux i nteracti on wi th the surface segment the pol ymer parti cl es are
generated. The angul ar di stri buti on of the pol ymer parti cl es i s uni form and the current densi ty of
these par ti cl es i s deter mi ned by the etch model (see bel ow) and the sum of the fl uxes from i ncomi ng
i ons, neutr al s, and fr om pol ymer par ti cl es ejected from other sur face segments. Obvi ousl y, the l atter
fl ux needs to be pre-cal cul ated.
Thi s fl ux i s computed as fol l ows. Fi rst, the confi gurati on (or geometri cal ) factors, are cal cul ated. These
factors are the fracti ons of the number of parti cl es ejected from one segment and absorbed by the other
one. These are cal cul ated usi ng the same trajectory traci ng al gori thms whi ch are descri bed above for
the i nci dent i ons and neutral s wi th the onl y one di fference: starti ng poi nts are not at the upper
boundary of the si mul ati on area, but at the surface segments. After thi s, an i terati on process i s
v
rand
v
sp
v
rand
v
i
= = v
i
F
i
N
norm
N
norm
N
abs
I N
traj
=
N
abs
N
traj
v
abs
v
abs
v
abs
I
N
traj
----------- v

N
abs

=
v
abs
I
N
traj
----------- v

N
abs

=
v
2
abs
I
N
traj
----------- v
2
N
abs

=
ELITE Models
SILVACO International 4-17
i ni ti al i zed. At the fi rst i terati on, onl y the i ncomi ng i on and neutral fl uxes are used for cal cul ati on of
the ejecti on rates from each surface segment. Knowi ng the current densi ti es of ejected parti cl es and
the confi gurati on factors, the pol ymer fl uxes are cal cul ated. At subsequent i terati ons, the pol ymer
fl uxes cal cul ated at the pr evi ous i ter ati on are used to update the etch and ejecti on r ates. The
i terati ons are repeated unti l etch and ejecti on rates converge.
Calculation of Rates
The second stage i nvol ves cal cul ati on of the etchi ng rates as wel l as ejecti on and redeposi ti on rates of
the pol ymer parti cl es. Duri ng each ti me step the two processes si mul taneousl y take pl ace on each
surface segment. The fi rst i s redeposi ti on of the pol ymer wi th the rate equal to the pol ymer fl ux. The
second i s etchi ng by i ncomi ng i ons and neutral s. The combi nati on of these two processes can be
treated as deposi ti on of a vi rtual pol ymer l ayer wi th subsequent etchi ng of the two-l ayer structure. I f
the etch rate of pol ymer by i ncomi ng i ons and neutral s i s l ess than the pol ymer deposi ti on rate the
resul t i s redeposi ti on of a pol ymer l ayer on the surface. I f the etch rate of pol ymer by i ncomi ng i ons
and neutral s i s l arger than the pol ymer deposi ti on rate, the resul t i s actual etch of the underl yi ng
materi al .
Linear Etch Model
I n the case of the l i near model , the etch rate ER(m) of each materi al m i s cal cul ated as:
4-23
where:
n i s number of pl asma i on types speci fi ed by parameter ION.TYPES (currentl y n coul d be equal to 1 or
2),
EP(m,i ) i s the the etch parameter for materi al m and i on type i speci fi ed by parameters MC.ETCH1
and MC.ETCH2,
i s the i on vel oci ty as cal cul ated i n Eq. 4-22.
I f cal cul ated ER (pol ymer) i s l ess than the pol ymer fl ux (redeposi ti on rate) PF the actual etch rate ER
i s negati ve whi ch corresponds to redeposi ti on:
4-24
Correspondi ng ejecti on rate EJR i s equal to the etch rate of pol ymer:
When cal cul ated ER(pol ymer) i s l arger than pol ymer fl ux the actual etch rate i s posi ti ve:
4-25
Correspondi ng ejecti on rate i s cal cul ated as fol l ows:
4-26
C-Interpreter
C-INTERPRETER can be used for i ntroducti on of di fferent etch and ejecti on model s. The fol l owi ng
parameters are passed to the C-Interpreter fi l e and can be used for i mpl ementi ng the model s:
ER m ( ) EP m i , ( ) v
abs

=
v
abs
ER ER polymer ( ) PF 0 < =
EJR ER polymer ( ) =
ER ER m ( ) PF
EP m i , ( )
EP polymer i , ( )
---------------------------------------
n

=
EJR PF ER + =
ATHENA Users Manual
4-18 SILVACO International
number of i on types, the four characteri sti cs of i on fl uxes for each i on type (Eq . 4-19 - 4-22), PF, and
surface materi al m. Returned parameters are ER and EJR.
For exampl e, the wet etchi ng can be si mul ated by setti ng the etch rate to a constant posi ti ve val ue
dependi ng onl y on the surface materi al . I n thi s case the trajectory traci ng part of the model i s not
needed. The number of trajectori es can be set to one.
Uni form deposi ti on can be si mul ated by the setti ng of a negati ve constant etch rate and by speci fyi ng
the redeposi ted materi al other than pol ymer i n the etch statement. I f the fl uxes are not used, as i n the
wet etchi ng si mul ati on, the voi d formed wi l l eventual l y be fi l l ed wi th the deposi ted materi al , because
i nsi de the C-Interpreter there i s no way to determi ne i f the current surface segment bel ongs to the
voi d or not. Thi s obstacl e can be overcome by si mul ati ng i on fl uxes and by setti ng the etch rate to zero
i f the fl ux on the surface segment i s l ess than some smal l threshol d val ue.
Surface Movement
A sophi sti cated stri ng al gori thm i s used to move al l segments, accordi ng to the rates (posi ti ve or
negati ve), cal cul ated at each ti me step. I f the rate i s negati ve, the surface moves outsi de and the area
i s fi l l ed wi th redeposi ted materi al (by defaul t, pol ymer). I f the rate i s posi ti ve, the surface moves
i nwards and the area i s fi l l ed wi th vacuum.
REFLOW Model
A two-di mensi onal vi scous refl ow capabi l i ty i s i ncl uded i n ELI TE. The vi treous si l i ca (oxi de, BPSG,
etc.) are model ed as the vi scous i ncompressi bl e fl ui ds, whi ch are dynami cal l y deformed under the
dri vi ng force of surface tensi on. The fi ni te-el ement method i s used to sol ve the creepi ng fl ow equati ons
for the chosen materi al s. Wi th a 7-node tri angl e el ement as the basi c di screti zati on uni t, arbi trari l y
shaped 2D regi ons and surface curvatures are automati cal l y descri bed. Usi ng the bui l t-i n user defi ned
materi al capabi l i ty, mul ti pl e materi al combi nati ons can be si mul ated. The fl ow equati on sol ver can be
coupl ed wi th i mpuri ty di ffusi on to si mul ate the i mpuri ty redi stri buti on and oxi de growth.
The refl ow i s i nvoked by setti ng the refl ow fl ag i n the DIFFUSE statement and by setti ng REFLOW fl ag i n
the MATERIAL statement to choose a speci fi c materi al . Physi cal parameters whi ch are speci fi c for
vari ous materi al s, such as vi scosi ty and surface tensi on, are al so gi ven i n MATERIAL statement. Refl ow
wi l l proceed accordi ng to the ti me and temperature gi ven i n the DIFFUSE statement. The fi ni te el ement
sol ver are i nvoked by speci fyi ng fl ag VISCOUS and vari ous numeri cal control parameters i n the
METHOD statement.
The vi scous creep fl ow equati ons sol ved are [105]:
4-27
4-28
4-29
where v i s the vel oci ty, P the pressure, the vi scosi ty, the Poi ssons rati o, and E the Youngs
modul us. The parameters v and E can be speci fi ed as POISS.R and YOUNG.M i n the MATERIAL
statement.

2
v P =
v
1 2

---------------
,
_
P =

E
2 1 + ( )
-------------------- =
ELITE Models
SILVACO International 4-19
Chemical Mechanical Polish (CMP)
Chemi cal Mechani cal Pol i sh (CMP) i s a modul e i n ATHENA. I t requi res that ELITE be l i censed al ong
wi th the CMP i n order to run i t. CMP i s used to model wafer pl anari zati on vi a pol i shi ng pad and
chemi cal sl urry characteri sti cs. CMP i s used to ci rcumvent two major probl ems; fi rst i s the depth of
focus of hi gh numeri cal aperture l i thi graphy systems. The second i s metal thi nni ng that can occur
over non-pl anar topographi es
The CMP modul e that i s i ncorporated i nto ATHENA has two di sti nct model s. The fi rst i s the hard
pol i sh or buzz saw model . The second i s the soft pol i sh model based on the work of J.Warnock [122].
The two model s are accessed usi ng the ATHENA statements RATE.POLISH and POLISH. These are very
si mi l ar to the statements for the ELI TE deposi ti on and etchi ng modul es RATE.DEPO and DEPOSIT, and
RATE.ETCH and ETCH. The RATE.POLISH statement sets up the parameters for a parti cul ar machi ne
whi l e the POLISH statement executes the actual pol i shi ng step usi ng the machi ne.
Hard Polish Model
:The hard pol i sh model s the gri ndi ng down of the topography based on a rate that i s cal cul ated as a
functi on of the pattern factor(Pf) of the surface. The hi gher the pattern factor the l ower the pol i shi ng
rate. The rate for the hi ghest poi nt on the structure Y
max
i s cal cul ated to be
4-30
Parameters for the hard pol i sh model are MAX.HARD and MIN.HARD. MAX.HARD i s the rate for Pf=0 and
MIN.HARD i s the rate for Pf=1. A Pf of 1 corresponds to a fl at surface. Pf i s cal cul ated from the
topography by the formul a:
4-31
Surface poi nts that are Y (the rate effecti ve hei ght) bel ow the hi ghest poi nt on the structure defi ne
the pattern factor. Fi gure 4-11 represents a pattern factor defi ned by:
4-32
The val ue of the rate effecti ve hei ght, Y, i s cal cul ated by the previ ous rate mul ti pl i ed by the current
ti mestep val ue. The rate for poi nts at hei ght Y
max
are equal to the R cal cul ated i n Equati on 4-16.
Poi nts bel ow Ymax have a rate that causes the structure to pol i sh to the y coordi nate Y
max
- Y so that
the structure becomes more pl anar, as seen i n Fi gure 4-12.
R x y , ( ) MAX.HARD 1 Pf ( ) MIN.HARD Pf =
Pf
X
total
Y
X
total
-------------------------- =
Pf
X
1
X
2
+
X
total
-------------------------- =
ATHENA Users Manual
4-20 SILVACO International
Figure 4-12: Illustration of the Hard Polish Model: Structure before Planarization
Figure 4-13: Illustration of Hard Polish Model: Structure after Planarization
A total amount of Y i s al ways removed at each ti me step i n the above fashi on.
The hard pol i sh model can be mi xed wi th the soft pol i sh model and i sotropi c etch component whi ch can
be speci fi ed by the ISOTROPIC parameter of the RATE.POLISH statement.
Soft Polish Model
The soft pol i sh model i s based on the work of J.Warnock [122]. I t has four parameters: SOFT, the pol i sh
rate on a fl at surface. Uni ts are speci fi ed by A.H. (A/hr), A.M (A/mi n), A.S (A/sec).i s defaul t),
U.H(mi crons/hr), U.M (mi crons/mi n.), U.S.(mi crons/sec), or N.M (nm/mi n.); LENGTH.FAC i s the
hori zontal deformati on scal e i n mi crons. I t i s a measure of the pol i shi ng pads fl exi bi l i ty. I t descri bes
ELITE Models
SILVACO International 4-21
the di stance at whi ch shadowi ng wi l l be fel t by a tal l feature. HEIGHT.FAC i s the verti cal deformati on
scal e i n (mi crons). HEIGHT.FAC measures how much the pol i shi ng pad wi l l deform wi th respect to the
hei ght of the feature. KINETIC.FAC i ncrease the verti cal pol i sh rate as the surface becomes more
verti cal .
The pol i shi ng rate i s gi ven by:
4-33
Where K
i
i s the ki neti c factor or hori zontal component of the pol i sh removal rate at poi nt i , A
i
i s the
accel erati ng factor of poi nt i and i s l arge for poi nts that are hi gher and shadow other poi nts. S
i
i s the
shadow factor and decreases the pol i sh rate as a functi on of the poi nts that are above poi nt i . For a fl at
surface K
i
A
i
/ S
i
= 1. Fol l owi ng the work of Warnock these three factors are cal cul ated usi ng the
fol l owi ng set of equati ons.
The shadow factor i s one for fl at surfaces. But i n the general case i s cal cul ated due to one or most two
poi nts that shadow poi nt i and i s gi ven by the equati ons bel ow.
4-34
where z
i
>= 0.0, so S
i
> 1. z
i
i s obtai ned by i ntegrati on over the surroundi ng topography:
4-35
I n these equati ons i
l eft
and i
ri ght
refer to the two poi nts that can possi bl y shadow poi nt i . The effect of
these shadow poi nts i s dependent on the two parameters LENGTH.FAC and HEIGHT.FAC as seen i n the
equati ons. The vari abl e z
i
i s the verti cal di stance between the poi nt i and the poi nt i
l eft
/i
r i ght
. The
vari abl e r
i
i s the hor i zontal di stance between the poi nt i and the poi nt i
l eft
/i
r i ght
.
The accel erati on factor, A
i
i s gi ven by the equati ons bel ow. A
i
i s cal cul ated for the two poi nts that
shadow poi nt i . I n thi s manner mul ti pl e shadowi ng effects are taken i nto account vi a the term
A
i l eft
/A
i ri ght
i s the accel erati on factor for the poi nt/poi nts that shadow. I f poi nt i shadows some other
poi nt j i n the system A
i
wi l l be i ncreased by a si mi l ar equati on. Thi s i ncrease i s then passed on to
A
i l eft
.
4-36
4-37
The constant B
i l eft
/B
i ri ght
i s a wei ghi ng factor that i s based on the amount of shadowi ng at poi nt i due
to shadow poi nt i
l eft
/i
r i ght
.
The ki neti c factor i s based on the fol l owi ng equati on.
4-38
P
i
K
i
A
i
S
i
---------- =
S
i
z
i
HEIGHT.FAC
--------------------------------------
,
_
exp =
z
i
z
i
r
i
LENGTH.FAC
--------------------------------------
,
_
cosh
i
left
i
right

=
A
ileft
A
ileft
A
i
B
i
1 1 S
i
( ) + =
A
iright
A
iright
A
i
B
i
1 1 S
i
( ) + =
K
i
1 KINETIC.FAC
i
tan + =
ATHENA Users Manual
4-22 SILVACO International
Thi s shows the effect of the parameter, KINETIC.FAC, on the pol i shi ng rate. The angl e
i
i s the l ocal
angl e that i s tangent to the pol i shed surface. To avoi d cal cul ati on errors, the maxi mum al l owabl e
angl e
i
i s 89.9544 degrees (1.57 radi ans).
Fi gure 4-14 demonstrates three regi ons where each of the components of the pol i shi ng rate woul d be
l arge.
Figure 4-14: Soft Polishing Model: Areas where different components dominate
Chapter 5:
OPTOLITH Models
SILVACO International 5-1
Overview
The OPTOLI TH modul e of ATHENA al l ows the use of sophi sti cated model s for i magi ng, photoresi st
exposure, photoresi st bake, and photoresi st devel opment. OPTOLI TH i ncl udes a l i brary of photoresi sts
wi th defaul t characteri zati ons for devel opment and opti cal properti es. These defaul t characteri zati ons
can easi l y be tuned to adjust for vari ati ons that very typi cal l y occur from one faci l i ty to another. Thi s
chapter descri bes the model s and capabi l i ti es of OPTOLI TH.
The Imaging Module
OPTOLI TH i ncl udes an i magi ng modul e that uti l i zes the Fouri er seri es approach.
The theoreti cal resol uti on (RES) and Depth Of Focus (DOF), of a mi crol i thographi c exposure system
are approxi mated by:
5-1
and
5-2
where i s the wavel ength of the exposi ng radi ati on, NA i s the Numeri cal Aperture of the i magi ng
system, and k
1
and k
2
are process dependent constants. Typi cal val ues for k
1
are 0.5 for a research
envi ronment and 0.8 for a producti on process; the val ue usual l y assi gned to k
2
i s 0.5.
We shal l di scuss the basi c assumpti ons upon whi ch the model rests. Next, we shal l deri ve the pri nci pal
equati ons used for cal cul ati on of the i mage i rradi ance di stri buti on for objects i l l umi nated by parti al l y
coherent l i ght.
The treatment presented here assumes the radi ati on i nci dent on the object to be quasi -
monochromati c, whi ch means that the spectral bandwi dth i s suffi ci entl y narrow so that wavel ength-
dependent effects i n the opti cs or i n di ffracti on angl es are negl i gi bl e. The source i s of a fi ni te spati al
extent so that the advantages of spati al i ncoherence are real i zed i n i magi ng.
The mask i s compl etel y general i n that phase and transmi ssi on are vari abl e, but i t must be composed
of rectangul ar features.
The cal cul ati on of the di ffracti on phenomena i s based upon the scal ar Ki rchhoff di ffracti on theory.
Si nce the di mensi ons of the mask are al most the same as the i l l umi nati on wavel ength, we can i gnore
any pol ari zati on taki ng pl ace as the radi ati on propagates through the mask.
We assume scal ar di ffracti on, whi ch means negl ecti ng the vector nature of the radi ati on. Thi s i s
acceptabl e i f al l convergence angl es are smal l .
Accordi ng to Watrasi ewi cz [58], who experi mental l y i nvesti gated the l i mi ti ng numeri cal aperture, the
breakdown of the scal ar theory occurs at angl es of convergence greater than 30 degrees, whi ch
corresponds to a numeri cal aperture of 0.5. Si mi l ar resul ts were publ i shed by Ri chards and Wol f [59],
who used theoreti cal cal cul ati ons to i nvesti gate the el ectromagneti c fi el d near the focus produced by
an apl anati c system worki ng at a hi gh convergence angl e. They al so found appreci abl e departures
from scal ar theory for convergence angl es l arger than 30 degrees. Si nce the convergence angl es are
RES k
1

NA
-------- =
DOF k
2

NA ( )
2
--------------- =
ATHENA Users Manual
5-2 SILVACO International
cal cul ated i n ai r, we can assume that the accuracy of thi s model i s even better i nsi de the photoresi st,
where angl es are reduced i n accordance wi th Snel l s l aw.
Consequentl y, i t can be stated that the scal ar di ffracti on theory gi ves a rel i abl e l i mi t for i magi ng
system numeri cal apertures of 0.5.
The approach used for cal cul ati on of the i mage i rradi ance di stri buti on i s based on the work of Hopki ns
[60, 61], who showed that the parti al l y coherent i l l umi nati on of the object structure may be si mul ated
i n practi ce by the i ncoherentl y i l l umi nated exi t pupi l of the condenser. The exi t pupi l serves as an
effecti ve source whi ch produces the same degree of coherence i n the i l l umi nated object pl ane as the
actual condenser system. The degree of coherence i n the object pl ane i s thus determi ned by the shape
and angul ar si ze of the effecti ve source. The condenser system i s assumed to be di ffracti on l i mi ted,
that i s, free of aberrati ons. Resi dual aberrati ons of the i l l umi nator do have an appreci abl e i nfl uence on
the fi nal i mage for Koehl er type i l l umi nati on systems, as shown by Tsuji uchi [64].
A schemati c di agram of a general i zed opti cal system i s shown i n Fi gure 5-1. The actual source and the
condenser system are repl aced by the equi val ent effecti ve source havi ng an i rradi ance di stri buti on of g
(x
0
, z
0
). The effecti ve source for the object pl ane U i s taken to l i e i n the exi t pupi l reference sphere of
the condenser l ens. Thi s means, that di recti ng from arbi trary poi nts (x
0
, z
0
) on the effecti ve source,
pl ane waves propagate towards the object pl ane U havi ng i rradi ance val ues of (x
0
, z
0
).
Figure 5-1: Schematic Diagram of a Generalized Optical System
The reduced coordi nates [61] on the object pl ane are defi ned as:
5-3
5-4
X
X
h
0
h h
E
P
0


P
E
P
U
U
X
reticle plane
source
(condensor) Image plane Imaging system
u
2

------ n sin =
v
2

------ n sin =
OPTOLITH Models
SILVACO International 5-3
where and ar e the Car tesi an coor di nates of the object pl ane, 2/ i s the absol ute val ue of the wave
vector, and n-si n i s equal to the numeri cal aperture NA of the i magi ng system. Pri med quanti ti es
i ndi cate the cor r espondi ng coordi nates and angl es i n the i mage space of the projecti on system. The
fracti onal coordi nates on the object pupi l spheres are defi ned as:
5-5
5-6
where h i s the radi us of the pupi l . The fracti onal coordi nates of the exi t pupi l of the condenser are
gi ven by:
5-7
5-8
I n these equati ons:
5-9
where
0
and are angul ar semi -apertures of the condenser and the objecti ve respecti vel y. n
0
and n are
the refracti ve i ndi ces i n the i mage space of the i l l umi nator and the object space of the i magi ng system;
usual l y both are set to one. The r ati o i s the radi us of the effecti ve source referred to the aperture of
the objecti ve and gover ns the degree of spati al coher ence i n the object pl ane. The l i mi ts 0 and
correspond, respecti vel y, to coherent and i ncoherent i l l umi nati on.
The object i s taken to be i nfi ni tel y thi n, so i t can be descri bed by a compl ex ampl i tude transmi ssi on
functi on, whi ch gi ves the change i n magni tude and phase produced on the radi ati on passi ng through
i t. The object has the compl ex transmi ssi on A(u,v). I ts real part i s gi ven by:
5-10
The compl ex ampl i tude of the Fraunhofer di ffracti on pattern on the entrance pupi l reference sphere at
E of the i magi ng system i s gi ven, apart from a constant factor, by:
5-11
whi ch i s the i nverse Fouri er transform of the compl ex ampl i tude transmi ssi on of the object. I f not
stated otherwi se, i ntegrati on ranges from to to + .
I f the object i s i l l umi nated by an el ement dx
0
, dz
0
of the effecti ve source at (x
0
, z
0
), wi th i ts ampl i tude
proporti onal to , the object spectrum a(x,z) i s shi fted by a correspondi ng amount. I n thi s
i nstance, the compl ex ampl i tude di stri buti on on the entrance pupi l sphere of the objecti ve i s:
x

h
--- =
z

h
--- =
x
0
x

--- =
z
0
z

--- =

n
0
sin
0

n sin
------------------------ =
A ( u v , ) ( )
1 i n tr ans par ent ar eas
0 i n opaqu e ar eas
{ =
a x z , ( )
1
2
------ A u v , ( )

i ux vz + ( ) ( ) exp dudv =
x
0
z
0
, ( )
ATHENA Users Manual
5-4 SILVACO International
5-12
The compl ex ampl i tude on the exi t pupi l reference sphere at E wi l l be gi ven by:
5-13
I n thi s equati on f(x,z) denotes the pupi l functi on of the opti cal system. I f the system has an annul ar
aperture, where the central ci rcul ar obstructi on has the fracti onal radi us , the pupi l functi on has the
form:
5-14
t(x,y) i s the pupi l transmi ssi on whi ch i s usual l y set to one and W(x,z) denotes the wave-front
aberrati on. For an enti rel y ci rcul ar aperture, becomes zero. Note that the approach taken here i s
somewhat si mi l ar to the one used i n the i nvesti gati ons on phase contrast mi croscopy [62].
The functi on W(x,z) gi ves the opti cal path di fference between the real wave-front and the exi t pupi l
reference sphere. Commonl y the wave-front aberrati on i s expanded i nto a power seri es [61], gi vi ng
5-15
for a parti cul ar posi ti on (x,z) i n the exi t pupi l . and denote the fr acti onal coor di nates of the i mage
fi el d. The val ues of l , m, and n descri be the order of aberrati ons, whi l e the coeffi ci ents W(l ,m,n)
deter mi ne the magni tude of the aber r ati ons.
For thi rd order aberrati ons l , m, and n take the val ues:
l=0 , m=0 , n=2 : spherical aberration
l=0 , m=1 , n=1 : coma
l=0 , m=2 , n=0 : astigmatism
l=1 , m=0 , n=1 : field curvature
l=1 , m=1 , n=0 : distortion
l=0 , m=0 , n=1 : defocus
where i sopl anati sm i s assumed for the parti cul ar secti on of the i mage fi el d for whi ch the i rradi ance
di stri buti on i s cal cul ated. The coeffi ci ent W
001
can be determi ned from:
5-16
where refers to the di stance of the defocused i mage pl ane to Gaussi an i mage pl ane.
The resul ti ng ampl i tude i n the i mage pl ane due to a wave comi ng from the poi nt x
0
, z
0
of the effecti ve
source i s:
x
0
z
0
, ( ) a x x
0
z , z
0
( )
a x z , ( ) x
0
z
0
, ( ) a x x
0
z , z
0
( )f x z , ( ) =
f x z , ( )
0 x
2
z
2

2
< +
x z , ( ) i k W x z , ( ) ( ) exp x
2
z
2
1 +
1 x
2
z
2
1 +

'

=
W x z , ( ) W
l m n , ,
l m n , ,

2
+ ( ) x z + ( ) x
2
z
2
+ ( )
n
+ + =
W
001

n sin ( )
2
2
------------------------------ =
OPTOLITH Models
SILVACO International 5-5
5-17
where (u,v) refers to a poi nt i n the i mage pl ane. The i rradi ance di stri buti on associ ated wi th the
i l l umi nati ng wave of the effecti ve source wi l l then be represented by:
5-18
Si nce, by defi ni ti on, the effecti ve source i s equi val ent to a sel f-l umi nous source, the total i rradi ance at
(u,v) can be obtai ned by i ntegrati ng over the enti r e sour ce .
5-19
where i ndi cates the area of the effecti ve source for whi ch (x
0
,z
0
) has nonzero val ues.
For thi s purpose Equati on 5-19 i s put i nto the form:
5-20
where:
5-21
i s proporti onal to the i ntensi ty at the poi nt (u,v) due to a wave of uni t i rradi ance
passi ng through (x
0
, z
0
) of the effecti ve source.
I n the case of an annul ar shaped source x
0
, z
0
has the form:
5-22
where
0
i s the fracti onal radi us of the centered ci rcul ar obstructi on i n the exi t pupi l of the condenser
l ens. For a ci rcul ar exi t pupi l ,
0
becomes zero.
Equati on 5-20 i s the pr i nci pl e r el ati on of a gener al i zed Abbe theor y, where the i mage for mati on under
parti al l y coherent i l l umi nati on of the object i s accounted for by a combi nati on of coherent i magi ng
processes for perpendi cul ar and obl i quel y i nci dent i l l umi nati ng pl ane waves on the object. Si nce onl y
the i mage i rradi ance i tsel f i s of i nterest, i t can be determi ned wi thout maki ng expl i ci t use of coherence
theory [61]. For the computati on, the whol e source i s di vi ded i nto a number of l umi nous poi nt sources
consi deri ng the i magi ng due to each source as an i ndependent coherent i mage formati on process. The
contri buti ons from each poi nt source do not i nterfere, so the net i mage i rradi ance i s the sum of the
i rradi ance from each source poi nt.
The normal i zati on used throughout thi s i nvesti gati on i s that the mask i s i l l umi nated wi th uni t
i rradi ance, so that the i deal i mage has uni t i rradi ance, where uni t magni fi cati on i s assumed. Hence,
A x
0
z
0
u v , ; , ( )
1
2
------ x
0
z
0
, ( ) a

x x
0
z , z
0
( ) i ( exp ux vz ) + ( )dxdz =
dI x
0
z
0
u v , ; , , ( ) A x
0
z
0
u v , ; , , ( )
2
dx
0
dz
0
=
I u v , ( ) A x
0
z
0
u v , ; , ( )
2
x
0
d z
0
d

=
I u v , ( ) x
0
z
0
, ( )

x
0
z
0
u v , ; , ( )
2
x
0
d z
0
d

=
x
0
y
0
u v , ; , ( )
1
2
------ a

x x
0
y , y
0
( ) f x y , ( ) i ( exp ux vy ) + ( )dxdy =
x
0
z
0
u v , ; , ( )
x
0
z
0
, ( )
0 for x
0
2
z
0
2

0
2
< +
1 for x
0
2
z
0
2
1 +
0 for x
0
2
z
0
2
1 > +

'

=
ATHENA Users Manual
5-6 SILVACO International
the bri ghtness of the source decreases as i ts si ze i ncreases. Equati on 5-20 i s the pri nci pl e equati on of
the al gori thm whi ch i s used for studyi ng the i nfl uence of annul ar apertures.
The object spectrum (see Equati on 5-11) i s cal cul ated anal yti cal l y and the coherent i mage (see
Equati on 5-18>) i s cal cul ated usi ng a Fouri er Seri es approach.
The shape of a si ngl e mask feature must be rectangul ar. Thi s i s due to the fact that the Fouri er
transform for a rectangul ar feature i s cal cul ated based on an anal yti cal formul a.
Si nce the Fouri er transform i s l i near, arbi trary shaped mask features can be composed from the
rectangul ar components. The object spectra of the si ngl e mask features (components) are si mpl y added
up. The treatment can thus be consi dered as bei ng exact and no numeri cal di screti zati on errors i n the
si ze and pl acement of the mask features can occur.
Note: You may use MASKVI EWS to create or import masks of any arbitrary shape. The mask layout will
be sliced (divided) on rectangular elements when it is imported into OPTOLI TH. OPTOLI TH can import
masks containing any number of mask elements.
Optical System
The opti cal system used by OPTOLI TH i s shown i n Fi gur e 5-2.
The meshes i n the Fouri er and I mage pl anes ar e total l y i ndependent. There i s no mesh i n the object or
reti cl e pl ane.
Figure 5-2: The Generated Optical System
Digitization Errors
The si ze of the wi ndow i n the r eti cl e pl ane i s deter mi ned by the number of mesh poi nts i n the pr ojector
pupi l , the numeri cal aperture, and by the chosen wavel ength:
5-23


source
condensor reticle projection
lens
projection
lens
aperture
stop
image plane
CW NP lambda NA =
OPTOLITH Models
SILVACO International 5-7
where:
CW i s a computati onal or sampl i ng wi ndow (mask or i mage cel l ) i n the object or reti cl e pl ane.
NP i s the number of mesh poi nts i n the projector pupi l .
NA i s the numeri cal aperture of the stepper,
l ambda i s the chosen wavel ength.
For an i -l i ne stepper wi th NA = 0.54, the si ze of the sampl i ng wi ndow i s the square whose si de l ength
i s equal to 6.8 m (10 0.365/0.54). No mask feature should exceed this dimension.
The si ze of the sampl i ng wi ndow for thi s parti cul ar stepper can be i ncreased to any si ze si mpl y by
i ncreasi ng the number of mesh poi nts i n the projector pupi l . Thi s wi l l be done automati cal l y to
accommodate the mask and i mage wi ndows that have been speci fi ed.
Mask features cannot be pl aced outsi de of the sampl i ng wi ndow. As menti oned earl i er, the i mage mesh
i s total l y i ndependent of the mesh i n the Fouri er pl ane. Thi s al l ows the user to arbi trari l y speci fy the
number and di stance of i mage poi nts.
Mesh
The si ze of the computati onal wi ndow i s determi ned by formul a (see Equati on 5-23), and the posi ti on
of the mask poi nts. By posi ti oni ng thi s wi ndow so that the mask cel l i n the object pl ane i s covered,
mul ti pl e i mage cel l s can be cal cul ated.
Computation Time
Computati on speed can be si gni fi cantl y i ncreased by fi rst usi ng a very coarse mesh for screeni ng-type
si mul ati ons and then refi ni ng the mesh as speci fi c poi nts of i nterest are approached.
Computati on ti me i s l i nearl y dependent on the number of >source poi nts (determi ned by the coherence
factor).
The Exposure Module
The exposure modul e cal cul ates the standi ng wave i ntensi ty pattern i n the photoresi st vi a two
di fferent model s. They are the verti cal propagati on model and the nonverti cal propagati on model .
The verti cal propagati on model cal cul ates the bul k i mage i n the photoresi st by propagati ng pl ane
waves verti cal l y i nto the photoresi st. Each pl ane wave i s associ ated wi th an i ntensi ty poi nt i n the
aeri al i mage as cal cul ated by the i magi ng modul e or i nput vi a a user suppl i ed i nput fi l e. Thi s model
al l ows fast bul k i mage cal cul ati ons over nonpl anar photoresi sts and underl yi ng substrates.
The nonverti cal propagati on model al so depends on propagati on of pl ane waves to cal cul ate the bul k
i mage. I n thi s case the pl ane waves are deri ved from the conti nuous Fouri er transform of the i mage
ampl i tude. Each i ndi vi dual Fouri er component i s di screti zed from the conti nouous Fouri er transform.
Each di screti zed component i s mapped i nto a pl ane wave and then propagated throughout the
photoresi st to cal cul ate the bul k i mage.
The above Fouri er spectrum and di screti zed components are deri ved di rectl y from the i magi ng modul e
whi ch i s used pri or to the exposure cal cul ati on to obtai n them. Di screti zati on of the Fouri er
components i s control l ed as descri bed i n the I magi ng Modul e secti on.
The number of refl ecti ons undergone i n the exposure i s a parameter control l ed by the user. More
refl ecti ons i mpl i es a l onger cal cul ati on ti me, so that prel i mi nary exposures shoul d be done wi th onl y
one r efl ecti on speci fi ed.
ATHENA Users Manual
5-8 SILVACO International
Use of the nonverti cal propagati on model al l ows si mul ati on of defocus effects necessary when studyi ng
focus exposure l ati tude of a l i thography step. The defaul t i s the nonverti cal propagati on model . The
verti cal propagati on model i s speci fi ed by setti ng NA=0 on the EXPOSURE command.
I n the nonverti cal propagati on mode, exposures can be made wi th ei ther coherent or i ncoherent
sources. Coherent sources are descri bed by SIGMA=0.01 i n the IMAGE command. Thi s defi nes a
smal l enough source that onl y one di screti zati on poi nt i s i ncl uded. I f a l arge SIGMA i s defi ned and
di screti zati on of the source al l ows at l east three source poi nts i n the x (or z) di recti on, then three
poi nts from the source wi l l be used i n the bul k i mage cal cul ati on wi th equal wei ght gi ven to each
poi nt. The poi nts chosen wi l l be the central poi nt and the outermost poi nts i n the di mensi on of the
chosen cross secti on (x or z). I f mul ti pl e sources are defi ned usi ng the ILLUM.FILTER command,
then the central poi nt of each SOURCE defi ned i s used for cal cul ati ng the bul k i mage i n the exposure.
The l atter al l ows an arbi trary number of source poi nts to be si mul ated for the bul k i mage cal cul ati on.
Accordi ng to Di l l s model [68] for posi ti ve, Novol ac type photoresi sts, three chemi cal components must
be consi dered for a treatment of bl eachi ng duri ng the resi sts exposure:
the dissolution inhibitor
the base resin
photoreaction products
Exposure converts i nhi bi tor to reacti on products, reduci ng the total absorpti on of the fi l m (bl eachi ng).
The change i n absorpti on and the decomposi ti on of i nhi bi tor (the photoacti ve compound, PAC)
duri ng the exposure step i s model ed by a three parameter fi t. Wi th reference to Di l l s publ i cati ons,
these parameters are commonl y referred to as A, B, and C, where A + B corresponds to the resi st
absorpti on before exposure, and C i s the adsorpti on after a compl ete decomposi ti on of the PAC.
The opti cal absorpti on coeffi ci ent i s model ed as:
5-24
where:
i s the normal i zed PAC concentrati on rel ati ve to i ts pre-exposure val ue at any posi ti on and ti me of
exposure.
Thi s means that when M(x,y;t) i s known, the opti cal properti es of the thi n fi l m structure are known.
The i ntensi ty of each di screte wavel ength component at a speci fi c hori zontal l ocati on i s then
deter mi ned by the sol uti on of the equati on:
5-25
The composi ti on of the PAC can be determi ned from:
5-26
where C i s the rate at whi ch the PAC i s destroyed. C i s commonl y expressed i n cm
2
/mJ. Equati ons 5-25
and 5-26 compl etel y descri be the exposure of standard photoresi st.
I n the exposure modul e, onl y equati on 5-26 i s used. I ntensi ty i s assumed to be i ndependent of ti me.
The absorpti on coeffi ci ent i s assumed to be an average of the absorpti on of the ful l y exposed and
unexposed photoresi st.
M
A M x y t , , ( ) B + =
M x y t , , ( )
M x y t , , ( )
M x y t , , ( )
----------------------- =
y t , ( )
y
--------------- I y t , ( ) A M x y t , , ( ) B + [ ] =
M x y t , , ( )
y
-------------------------- I x y t , , ( ) C M x y t , , ( ) =
OPTOLITH Models
SILVACO International 5-9
Photoresist Bake Module
Post Exposure Baki ng (PEB) of the photoresi st has been demonstrated to dramati cal l y reduce
standi ng wave fri nges of the devel oped resi st i mage resul ti ng from opti cal i nterference of
monochromati c i l l umi nati on. Thi s effect i s general l y accepted to be a resul t of bul k di ffusi on of the
PAC and photoreacti on products.
The si mpl e physi cal model whi ch i s adopted here to descri be the PEB i s that just one chemi cal
consti tuent of the resi st di ffuses. Thi s consti tuent i s general l y assumed to be PAC or the di ssol uti on
i nhi bi tor, whi ch di ffuses accordi ng to the di ffusi on equati on wi th the di ffusi on constant D bei ng
i ndependent of ti me, concentrati on and l ocati on.
5-27
where M i s the PAC concentrati on and t i s the PEB ti me. For a more general di scussi on see [67]. M i s
cal cul ated by sol vi ng the two di mensi onal di ffusi on of Equati on 5-27.
The di ffusi on l ength can be rel ated to the bake ti me t and the di ffusi on coeffi ci ent D:
5-28
For a PEB of 60 seconds at 125C, a di ffusi on l ength i n the range of 0.04 < < 0.06m woul d be
appropri ate.
PEB can al so be speci fi ed wi th parameters temperature and ti me. The di ffusi vi ty D i s gi ven by the
equati on:
5-29
The parameters D
0
and D
e
are accessi bl e vi a the RATE.DEVELOP command whi ch speci fi es al l
photoresi st parameters.
Refl ecti v boundary condi ti ons at the ai r/resi st i nterface and at the resi st/substrate i nterface must be
i ncorporated to ensure that the total amount of di ssol uti on i nhi bi tor i n the resi st i s conserved. M(x,y,t)
i s extended i nto regi ons outsi de the resi st by refl ecti on at the pl anar i nterfaces.
A post devel opment bake i s al so avai l abl e. I t model s a physi cal l y based refl ow of the photoresi st.
The Development Module
The devel opment model i s based on knowl edge of the PAC di str i buti on or di ssol uti on i nhi bi tor i n the
resi st l ayer after exposure and post-exposure bake. I n cl assi cal Novol ac resi sts, the di ssol uti on
i nhi bi tor and the PAC are usual l y part of the same mol ecul e. I n chemi cal l y ampl i fi ed resi sts, the
reacti on ki neti cs are more compl i cated; the i nhi bi tor concentrati on, however, sti l l i s consi dered to be
the key quanti ty for the devel opment process.
I n posi ti ve tone Novol ac resi sts, the i nhi bi tor concentrati on can be determi ned from exposure
si mul ati ons usi ng Di l l s model , as descri bed above. Thi s model appl i es when the resi st materi al
under goes a transi ti on between two chemi cal states dur i ng the exposur e step. The actual devel opment
process i s treated as a surface l i mi ted etchi ng process, whi ch i s dependent on the parti cul ar resi st-
devel oper chemi stry and on the l ocal concentrati on of the di ssol uti on i nhi bi tor at the surface of the
dM
dt
-------- DM ( ) =
2tD
2
=
D D
o
D
e
kT ( ) exp =
ATHENA Users Manual
5-10 SILVACO International
resi st that has been decomposed to a degree duri ng the exposure step. I f the resi st devel oper chemi stry
i s hel d constant, the di ssol uti on rate i s assumed to be a functi on of the i nhi bi tor concentrati on onl y.
The rate functi on r(x,y) i s determi ned experi mental l y and usual l y fi tted by an empi ri cal functi on to
experi mental devel opment rate data as a functi on of the remai ni ng PAC concentrati on M(x,y).
Any one of fi ve model s may be chosen to si mul ate the devel opment process for the speci fi c resi st-
devel oper combi nati on. OPTOLI TH i ncl udes model s proposed by:
Dill
Kim
Mack
Trefonas
Hirai
Each model assumes a speci fi c rate functi on type i n order to descri be the rate-i nhi bi tor concentrati on
rel ati on. These model s are descri bed i n the fol l owi ng secti ons.
Dills Development Mode
The Di l l model uses the parameters E
1
, E
2
, and E
3
. Surface i nducti on effects are not consi dered. The
bul k devel opment i s gi ven by:
5-30
and for M(x,y) 0.4
5-31
Kims Development Model
The Ki m model descri bes the devel opment rate through the functi on
5-32
5-33
5-34
5-35
5-36
R x y , ( ) E
1
E
2
M x y , ( ) E
3
M x y , ( )
2
+ + ( ) exp =
R x y , ( ) E
1
E
2
0.4 E
3
0.16 + + ( ) exp =
R
Induction
1 1 R
5
R
5
R
6
( ( ( )M x ( y z , , ) ) )
d y ( )
R
4
---------- exp =
R
Bulk
x y , ( )
1
1 M x y , ( )
R
1
-----------------------------
M x y , ( )
R
2
-------------------- +
-------------------------------------------------------- =
M x y , ( ) M x y , ( ) R
3
1 M x y , ( ) ( ( ) ) exp =
R x y , ( ) R
Induction
R
Bulk
=
R x y , ( ) R
Induction
R
Bulk
=
OPTOLITH Models
SILVACO International 5-11
where R
Bul k
i s the bul k devel opment rate and R
I nducti on
i s the surface i nducti on factor. The l i mi ti ng
devel opment rate val ues are R
1
and R
2
for, respecti vel y, compl etel y exposed and unexposed resi st. The
functi on R
I nducti on
(x,y) i s an empi ri cal rel ati onshi p descri bi ng the reduced di ssol uti on rate at the
sur face of a r esi st l ayer and i s a functi on of the nor mal di stance fr om the or i gi nal sur face of the r esi st
d(y) and the amount of remai ni ng PAC, M(x,y). The parameter R
4
i s the characteri sti c l ength al ong
thi s path for the i nducti on effect. The parameters R
5
and R
6
are, respecti vel y, the rati o of the surface
rate to the bul k rate for a compl etel y exposed resi st, and the rati o of surface rate to bul k rate for an
unexposed resi st.
Macks Development Model
5-37
5-38
where the parameter n i s a sel ecti vi ty parameter descri bi ng the sensi ti vi ty of the devel oper to the
exposed photoresi st. The Mth parameter i s the threshol d PAC concentrati on. The parameter Rmax i s
the devel opment rate of a compl etel y exposed resi st. The parameter Rmi n i s the devel opment rate of
total l y unexposed resi st.
Trefonas Development Model
The Trefonas devel opment r ate model r equi res onl y two par ameter s.
5-39
where R
0
i s the devel opment rate for unexposed photoresi st and q i s sensi ti vi ty.
Hirais Development Model
The devel opment rate model by Hi rai i s very si mi l ar to the one by Trefonas. The rate functi on of the
Hi rai model i s gi ven by :
5-40
where R
0
i s the devel opment rate for ful l y exposed photoresi st, RC i s the rate for unexposed resi st
materi al and i s a reacti on constant.
R x y , ( ) R
max
a 1 + ( ) 1 M x y , ( ) ( )
n
a 1 M x y , ( ) ( )
n
+
---------------------------------------------------- R
min
+ =
a
n 1 +
n 1
------------ 1 M
th
( )
n
=
R x y , ( ) R
0
1 M x y , ( ) ( )
q
=
R x y , ( ) R
0
1 M x y , ( ) ( )

R
C
+ =
ATHENA Users Manual
5-12 SILVACO International
[This page intentionally left blank]
Chapter 6:
FLASH Models
SILVACO International 6-1
Overview
FLASH i s an advanced two di mensi onal process model i ng program whi ch si mul ates i on i mpl antati on
and di ffusi on processes used i n compound semi conductor VLSI technol ogy. FLASH i s avai l abl e as an
extensi on to the capabi l i ti es of the ATHENA si mul ati on package. FLASH can al so be l i censed wi th a
Monte Carl o i on i mpl ant al gori thm whi ch al l ows expl i ci t cal cul ati on of i on channel i ng and damage.
Thi s chapter di scusses the physi cal basi s of each of the model s and the numeri cal methods used by
FLASH.
Diffusion Models
FLASH i ncl udes a hi erarchy of i mpuri ty di ffusi on model s for GaAs.
Default Model
The defaul t di ffusi on model i n FLASH i s the same as that used by SSUPREM3 [1,2], the Bel l I ntegrated
Ci rcui t and Engi neeri ng Process Si mul ator (BI CEPS)[3], and the Fi ni te El ement Dri ft Di ffusi on
Si mul ator (FEDDS)[4]. I mpuri ty di ffusi on as a functi on of di stance (x) and ti me (t) i s assumed to be
descri bed by:
6-1
The boundary condi ti on at the materi al i nterfaces i s descri bed by:
6-2
where:
C
i
is the concentration (the number of atoms per unit volume) of the i
th
impurity.
N
i
is the concentration of the impurity ions that have donated or accepted an electron.
is the electrostatic potential.
D
i
is the diffusivity of the i
th
impurity.
Z
i
is the charge state (+1 for donors and 1 for acceptors).
and
i
is the mobility of the i
th
impurity.
h is the transport coefficient.
m is the segregation coefficient.
The superscripts refer to the impurity concentrations on the left and right sides of the boundary.
At the top surface, i s the concentrati on of the i th i mpuri ty i n the furnace ambi ent gas. At the
bottom of the si mul ati on regi on, the ri ght hand si de of Equati on 6-2 i s equal to zero. The mobi l i ty
i
i s
gi ven by an Ei nstei n rel ati on:
6-3
C
I
t
---------

x
----- D
i
C
i
x
-------- Z
ii
N
i
2
x
------- +

' ;

=
D
i
C
i
x
-------- h C
i
L
C
i
R
m ( ) =
C
i
L
u
i
q
kT
------D
i
=
ATHENA Users Manual
6-2 SILVACO International
where q i s the charge of an el ectron, k i s Bol tzmanns constant, and T i s the temperature. The
el ectrostati c potenti al i s determi ned from Bol tzmann stati sti cs and charge neutral i ty.
6-4
where n i s the number of el ectrons per uni t vol ume. The val ue of n i s determi ned by:
6-5
where ni i s the i ntri nsi c el ectron concentrati on.
Equati ons 6-1 6-5 are the standard model for i mpuri ty di ffusi on. FLASH al l ows you to change the
val ues of certai n parameters i n thi s model , such as the di ffusi on constants D
x
i n Equati on 6-1.
Poisson Equation
The el ectrostati c potenti al (approxi mated by Equati on 6-4), i n general sati sfi es Poi ssons equati on
6-6
Thi s equati on i s to be sol ved ful l y coupl ed to Equati on 6-1. However, the Bol tzmann approxi mati on i s
usual l y adequate [5,6] for most processi ng probl ems.
GaAs Diffusion Models
The di ffusi on mechani sm of i mpuri ti es i n GaAs i s di fferent from that i n Si l i con. The di ffusi on model s
for i mpuri ti es of Si , Be, Se, Mg, and Zn i n GaAs are i mpl emented i n the manner descri bed by the
I ntegrated Ci rcui ts Laboratory [58] of Stanford Uni versi ty.
Donor Type Impurities
Si l i con and sel eni um i on i mpl anted i mpuri ti es i n GaAs are the two most commonl y used donor type
dopants. The di ffusi on of the n-type dopants i s bel i eved to be vi a a substi tuti onal mechani sm and i s
model ed wi th a temperature dependent di ffusi on coeffi ci ent. Di ffusi on duri ng anneal s i s si mul ated by
means of Fi cks di ffusi on l aw whi ch i ncl udes terms for el ectri c fi el d effects. I n fact, di ffusi on of n-type
i mpuri ti es i n GaAs i s rel ati vel y sl ow, and can be si mul ated i n a manner whi ch i s not dependent on
i mpuri ty concentrati on. Thus, for i on i mpl anted si l i con i mpuri ty i n GaAs:
6-7
where D
Si
i s measured i n cm
2
/s, and the acti vati on ener gy (1.6) i s i n el ectron vol ts.
The di ffusi on coeffi ci ent i s experi mental l y veri fi ed up to a dos i mpl ant of 2.0 X 10
13
cm
-2
.
For sel eni um i mpl anted i nto gal l i um arseni de a si mi l ar expressi on for di ffusi vi ty i s used:
6-8
Thi s expressi on i s val i d for an i mpl ant dose up to 2.0 X 10
13
cm
-2
.

kT
q
------1n
n
n
i
----
,
_
=
n
1
2
--- Z
j
N
j
( Z
j
N
j
)
2
4n
i
2
+
j

,

_
1 2
j

=
0

2

x
2
---------
q

--- 2 n
i
sinh
q
kT
-------
,
_
Z
j
N
j
( )
j

,

_
=
D
Si
3.0 10
9
1.6 kT ( ) exp =
D
Se
3.0 10
8
1.6 kT ( ) exp =
FLASH Models
SILVACO International 6-3
Acceptor Diffusion Models
Di ffusi on of p-type dopants (Be, Mg and Zn) i s model ed accordi ng to the substi tuti onal i ntersti ti al
di ffusi on mechani sm [58]. Thi s mechani sm accounts for the rather rapi d di ffusi on exhi bi ted by the
dopant atoms. Most of the dopant atoms are substi tuti onal but di ffuse when some atoms occupy
i ntersti ti al posi ti ons.
When no other dopants are present, thi s mechani sm predi cts a di ffusi vi ty that i s proporti onal to the
dopant concentrati on rai sed to some power, where the power i s dependent on the charge of the dopant
i ntersti ti al . I f several i mpuri ti es are present, di ffusi vi ty of p-dopants i s governed by the hol e
concentrati on, whi ch i s used i nstead of i mpuri ty concentrati on i n the di ffusi on coeffi ci ent expressi ons.
Thus, the di ffusi vi ty of acceptor i mpuri ti es i n GaAs i s determi ned by the Fermi l evel .
The fol l owi ng di ffusi on coeffi ci ent expressi on i s used for beryl l i um:
6-9
where D i s i n cm
2
/s, p i s the hol e concentrati on, and n
i
i s the i ntri nsi c charge carri er concentrati on.
Thi s di ffusi on coeffi ci ent expressi on assumes that the beryl l i um i ntersti ti al s whi ch take part i n
di ffusi on are si ngl e charged.
An anal ogous di ffusi on model i s al so true for magnesi um. The di ffusi on coeffi ci ent for magnesi um i s:
D
Mg
= 4.8 x 10
-8
(p/n
i
) exp(1.6/kT) for T < 1100 K 6-10
D
Mg
= 6.1 x 10
-2
(p/n
i
) exp(2.8/kT) for T > 1100 K 6-11
The di ffusi vi ty of Zn atoms i n GaAs i s proporti onal to the second power of the hol e concentrati on as
shown by the fol l owi ng expressi on:
D
Zn
= 1.2 x 10
2
(p/n
i
)
2
exp( 3.9/kT) 6-12
I n the above di ffusi vi ty, the el ectri c fi el d effect i s taken i nto account for al l of the acceptor dopants.
These di ffusi on coeffi ci ents have been val i dated for i on i mpl anted acceptor i mpuri ti es i n GaAs. The
maxi mal sol i d sol ubi l i ty of i mpuri ti es i n GaAs i s assumed to be 10
19
cm-
2
.
Ion Implantation Models
FLASH i on i mpl ant model s are the same as those for SSUPREM4 but are modi fi ed to treat compound
semi conductor materi al s. Pl ease refer to the SSUPREM4 model s chapter for a compl ete descri pti on of
the FLASH i on i mpl ant capabi l i ti es.
FLASH i s provi ded wi th a set of moments tabl es for the i mpuri ti es most commonl y used i n GaAs
processi ng. These tabl es are l ocated i n a fi l e that i s i ncl uded i n the i nstal l ati on di rectory.
D
Be
2.1 10
6
p n
i
( ) 0.7 kT ( ) exp =
ATHENA Users Manual
6-4 SILVACO International
[This page intentionally left blank]
Appendix A:
C-Interpreter
SILVACO International A-1
C-Interpreter Functions
ATHENA has a bui l t i n C l anguage i nterpreter that al l ows the user to modi fy many of the model s
contai ned i n ATHENA. To use these functi ons the user must wri te C l anguage functi ons contai ni ng
anal yti c descri pti ons of the model that i s to be modi fi ed. The C-Interpreter i s essenti al l y a
compi l er that understands the C l anguage syntax, i ts l oops, assi gnment statements, and i ts
condi ti onal constructs. I f you are not fami l i ar wi th the C l anguage, we suggest that you refer to any of
the popul ar C l anguage references such as the one wri tten by Kerni gan and Ri tchi e [108]. The
pri nci pal di fferences between the ATHENA C-Interpreter and the C l anguage are:
1. The C-Interpreter does not support gl obal vari abl es.
2. The C-Interpreter does not support macros.
3. The standard I /O functi ons are bui l t i nto the i nterpreter and the user need not i ncl ude a header fi l e
for these functi ons.
4. The C-Interpreter does not support data structures.
These di fferences are not seri ous and for the i ntended purpose shoul d present no probl ems to the user.
The functi on arguments of the i nterpreter functi ons and the return val ues are fi xed i n ATHENA and
thus the user must be careful to ensure that the arguments and return val ues i n hi s functi ons match
those expected by ATHENA.
The C I nter pr eter al l ows access to the i nter nal model s of ATHENA vi a a C syntax functi on i n an i nput
fi l e. Thi s i nput fi l e contai ns a di rect anal og to the functi on that i s used i n the actual ATHENA source
code and can be modi fi ed and used i n pl ace of the compi l ed source code functi on. The I nterpreter reads
the fi l e suppl i ed and executes the functi ons decl ared wi thi n i t.
The I nterpreter i s i nvoked duri ng si mul ati on by speci fyi ng the functi on fi l e name (i .e. the fi l e to be
i nterpreted) on the statement for whi ch the I nterpreter i s to be i nvoked. At present the onl y statement
avai l abl e i s the DI FFUSE statement. An exampl e of the i nterpreter use woul d be as fol l ows:
DIFFUSE TIME=30 TEMP=900 P.DIF.COEF=MY_P_DIFF_COEF.LIB
The fi l e MY_P_DI FF_COEF.LI B contai ns the functi on to be i nterpreted for the phosphorus di ffusi on
coeffi ci ent cal cul ati on. The parameter name P.DI F.COEF si gni fi es that the standard phosphorus
di ffusi on coeffi ci ent cal cul ati on wi l l be repl aced by the cal cul ati on that i s done i nsi de the fi l e
MY_P_DI FF_COEF.LI B.
A templ ate functi on that dupl i cates the ori gi nal phosphorus di ffusi on coeffi ci ent cal cul ati on i s
suppl i ed to the user vi a the fi l e athena.l i b. Thi s fi l e i s l ocated under the i nstal l di rectory i n / <install
directory> / lib/ athena/ <version number>/ common/ athena.lib. The templ ate fi l e can be accessed
di rectl y vi a thi s di rectory path; by typi ng athena -T filename, where filename i s a the name that the
user wants the templ ate fi l e to be copi ed to; or through DECKBUI LD by sel ecti ng Templates... on the
ATHENA Commands menu. Then the desi red functi on that i s to be i nterpreted i s copi ed to the user s
own fi l e such as MY_P_DI FF_COEF.LI B. Here the fi l e can be modi fi ed to whatever form i s desi red. An
exampl e templ ate functi on i s shown bel ow.
/*
*-
* interPhosphorusDiffCoef() - This routine calculates the phosphorus
* diffusivity as a function of temperature, n/ni, interstitial and vacancy
* concentrations.
* Statement: DIFFUSE * Parameter: P.DIF.COEF
ATHENA Users Manual
A-2 SILVACO International
*
*/
double interPhosphorusDiffCoef(temp, new, equil, noni, idf, vdf, iprt, vprt, Dix0, DixE, Dip0, DipE, Dimm0,
DimmE, Dvx0, DvxE, Dvm0, DvmE, Dvmm0, DvmmE, Dim0, DimE, Fi, nn, mats, maxmat, time, kb)
double temp; *Diffusion temperature*/
/*
* These solution arrays that have maximum dimension of int nn, the number of nodes in the structure
*/
/*
* Arrays of diffusion constants for each material these arrays have the
* dimension of int maxmat given below
*/
double *new; /*The current concentration of the impurity.*
double *equil; /*The equilibrium concentration set not used*/
double *noni; /*The scaled electron concentration no/ni*/
double *idf; /*The interstitial diffusivity*/
double *vdf; /*The vacancy diffusivity*/
double *iprt; /*The partial derivative of the interstitial diffusivity with respect to the potential*
/double *vprt; /*The partial derivative of the vacancy diffusivity with respect to the potential*/
double *Dix0; /*Pre-exponential constant diffusing with (interstitial) neutral defects*/
double *DixE; /*Activation energy constant diffusing with (interstitial) neutral defects*/
double *Dip0; /*Pre-exponential constant diffusing with singly positive defects*/
double *DipE; /*Activation energy constant diffusing with singly positive defects*/
double *Dimm0; /*Pre-exponential constant diffusing with doubly negative interstitial defects*/
double *DimmE; /*Activation energy constant diffusing with doubly negative interstitial defects*/
double *Dvx0; /*Pre-exponential constant diffusing with neutral vacancy defects*/
double *DvxE; /*Activation energy constant diffusing with neutral vacancy defects*/
double *Dvm0; /*Pre-exponential constant diffusing with singly negative vacancy defects*/
double *DvmE; /*Activation energy constant diffusing with singly negative vacancy defects*/
double *Dvmm0; /*Pre-exponential constant diffusing with doubly negative vacancy defects*/
double *DvmmE; /*Activation energy constant diffusing with doubly negative vacancy defects*/
double *Dim0; /*Pre-exponential constant diffusing with singly negative (interstitial) defects*/
double *DimE; /*Activation energy constant diffusing with singly negative (interstitial) defects*/
double *Fi; /*Fractional interstitialcy*/
int nn; *The number of nodes in the structure*/
int *mats; *The material at each node in the structure*/
int maxmat; /*The maximum number of materials*/
double time; /*The diffusion time in seconds*/
double kb; /*8.62e-5 a useful Boltzmann constant value*/
{
int i; /*For array indexing*/
int mat; /*Current material index at a node*/
double *Dix; /*Diffusivity with (interstitial) neutral defects*/
double *Dim; /*Diffusivity with singly negative (interstitial) defects*/
C-Interpreter
SILVACO International A-3
Dix = (double *)malloc(maxmat*sizeof(double));
Dim = (double *)malloc(maxmat*sizeof(double));
Dimm = (double *)malloc(maxmat*sizeof(double));
Dvx = (double *)malloc(maxmat*sizeof(double));
Dvm = (double *)malloc(maxmat*sizeof(double));
Dvmm = (double *)malloc(maxmat*sizeof(double));
/*first calculate the fixed stuff*/
for(i = 0; i maxmat; i++)
{
Dix[i] = Dix0[i]*exp ( - DixE[i]/(Vt) );
Dim[i] = Dim0[i]*exp ( - DimE[i]/(Vt) );
Dimm[i] = Dimm0[i]*exp ( - DimmE[i]/(Vt) );
Dvx[i] = Dvx0[i]*exp ( - DvxE[i]/(Vt) );
Dvm[i] = Dvm0[i]*exp ( - DvmE[i]/(Vt) );
Dvmm[i] = Dvmm0[i]*exp ( - DvmmE[i]/(Vt) );
}
for(i = 0; i nn; i++)
{
mat = mats[i];
idf[i] = Dix[mat] + noni[i]*(noni[i]*Dimm[mat] + Dim[mat]);
vdf[i] = Dvx[mat] + noni[i]*(noni[i]*Dvmm[mat] + Dvm[mat]);
vprt[i] = noni[i]*(2.0*Dvmm[mat]*noni[i] + Dvm[mat])/Vt;
prt[i] = noni[i]*(2.0*Dimm[mat]*noni[i] + Dim[mat])/Vt;
}
free(Dix);
free(Dim);
free(Dimm);
free(Dvx); f
ree(Dvm);
free(Dvmm);
}
Al l vari abl es i n the i nterpreted functi on are descri bed i n the templ ate fi l e as shown above for the
Phosphorus di ffusi on coeffi ci ent cal cul ati on. The vari abl es whi ch return the resul ts of the functi on
cal cul ati on for thi s case are i df, vdf, vprt, and i prt. The cal cul ati on i s done for al l the nodes i n the
structure, nn. Materi al dependenci es are automati cal l y determi ned by the mats array for each node.
Materi al dependent di ffusi on constants are passed i n from ATHENA and used i n the same way they are
used i nternal l y. To veri fy that the cal cul ati on i s bei ng properl y carri ed out i t i s suggested that the user
use pri ntf statements i n the C functi on i n order to see the val ue of vari abl es i nsi de the i nterpreter. An
exampl e woul d be the fol l owi ng statement i ncl uded i n the for l oop for cal cul ati ng temperature
dependent di ffusi on constants.
printf(Dix[i] = %g Dix0[i] = %g DixE[i] = %g \n,Dix[i],Dix0[i],DixE[i]);
double *Dimm; /*Diffusivity with doubly negative vacancy defects*/
double *Dvx; /*Diffusivity with neutral vacancy defects*/
double *Dvm; /*Diffusivity with singly negative vacancy defects*/
double *Dvmm; /*Diffusivity with singly negative vacancy defects*/
double Vt; /*Thermal voltage*/
Vt = kb*temp;
ATHENA Users Manual
A-4 SILVACO International
Thi s wi l l pri nt the di ffusi on constant val ues for each materi al each ti me the cal cul ati on i s made i f i t i s
i ncl uded after the l i ne
Dix[i] = Dix0[i]*exp ( - DixE[i]/(Vt) );
The use of pri ntf statements i s very useful when tryi ng to anal yze whether or not the user suppl i ed
functi on i s worki ng properl y.
There are three di fferent cal cul ati ons currentl y avai l abl e vi a the C I nterpreter. They are al l associ ated
wi th the DI FFUSE command. The fi rst i s the di ffusi on coeffi ci ent cal cul ati on. The di ffusi on coeffi ci ent
cal cul ati on i s avai l abl e for si x sol uti on val ues. These are: Phosphorus, Boron, Anti mony, Arseni c,
Vacanci es, and I ntersti ti al s. The parameters associ ated wi th each one of these cal cul ati ons are
P.DI F.COEF, B.DI F.COEF, SB.DI F.COEF,
A.DI F.COEF, V.DI F.COEF, and I .DI F.COEF, respecti vel y. The functi ons i nsi de the templ ate fi l e that
are associ ated wi th each one of these parameters are: i nterPhosphorusDi ffCoef, i nterBoronDi ffCoef,
i nterAnti monyDi ffCoef, i nterArseni cDi ffCoef, i nterVacanci esDi ffCoef, and i nterI ntersti ti al sDi ffCoef.
The second i s the segregati on cal cul ati on. The segregati on cal cul ati on i s avai l abl e for four i mpuri ti es.
These are: Phosphorus, Boron, Anti mony, and Arseni c. The parameters associ ated wi th each one of
these cal cul ati ons are P.SEG.CALC, B.SEG.CALC, SB.SEG.CALC, A.SEG.CALC, respecti vel y. The
functi ons i nsi de the templ ate fi l e that are associ ated wi th each one of these parameters are:
i nterPhosphorusBoundary, i nterBoronBoundary, i nterAnti monyBoundary, and i nterArseni cBoundary.
The thi rd i s the acti vati on cal cul ati on. The acti vati on cal cul ati on i s avai l abl e for four i mpuri ti es.
These are: Phosphorus, Boron, Anti mony, and Arseni c. The parameters associ ated wi th each one of
these cal cul ati ons are P.ACT.CALC, B.ACT.CALC, SB.ACT.CALC, and A.ACT.CALC, respecti vel y. The
functi ons i nsi de the templ ate fi l e that are associ ated wi th each one of these parameters are:
i nterPhosphorusActi ve, i nterBoronActi ve, i nterAnti monyActi ve, and i nterArseni cActi ve.
The parameters and constants associ ated wi th each of these functi ons are descri bed and documented
i n the templ ate fi l e, athena.lib. The argument l i st for each of the i nterpreted functi ons can not be
al tered i n any way or the C-Interpreter wi l l not functi on. The i nterpreted functi ons for al l three
cal cul ati ons can be used separatel y or si mul taneousl y as can the cal cul ati on for each of the i mpuri ti es.
Thus, the di ffusi on cal cul ati on can be compl emented wi th fourteen user defi ned model s i n the form of
the functi ons descr i bed above. These functi ons need not be i n separ ate fi l es. The i nter pr eter
recompi l es the functi on every ti me the DIFFUSE statement i s i ssued wi th an i nterpreter fi l e speci fi ed
so that the i nterpreted cal cul ati on can be changed wi thout restarti ng the si mul ator. The i nterpreted
functi on wi l l onl y be used i f one of the fi l ename parameters i s speci fi ed on the DIFFUSE statement.
Known Bugs
The C-Interpreter cannot be used to equate arrays wi th di fferent di mensi ons. For exampl e, the
fol l owi ng two cases wi l l cause an error:
double array1[3][4];
double array2[4];
rray1[2][3] = array2[3];
double array1[3][4];
duble array2[4];
array2[3] = array1[2][3];
Chapter 7:
Statements
SILVACO International 7-5
Introduction
ATHENA executes a fi l e that descri bes the process, meshi ng, and model s to be used i n a si mul ati on.
The contents of the fi l e are statements, each of whi ch prompts an acti on or sets a character i sti c of the
si mul ati on. Thi s chapter i s a reference to the command l anguage that can be used to control ATHENA.
Throughout thi s manual , we wi l l refer to commands, statements, and parameters. A l i ne i n an i nput
fi l e i s referred to as a statement (or statement l i ne).
An ATHENA statement i s speci fi ed i n the general format
<COMMAND> <PARAMETERS>=<VALUE>
where <COMMAND> i s the command name, <PARAMETER> i s the parameter name, and <VALUE> i s the
parameter val ue. Four types of parameters are used i n ATHENA Real , I nteger, Logi cal , and
Character. The space character i s used to separate parameters from a command or from other
parameters.
Any parameter whi ch does not have a l ogi cal val ue must be speci fi ed i n the form PARAM=VAL where
PARAM i s the name of the parameter and VAL i s the val ue of the parameter. Bool ean parameters must
be separated from other parameters or commands wi th a space.
For exampl e, i n the statement l i ne:
DEPOSIT NITRIDE THICK=0.35
the NITRIDE parameter has a Bool ean val ue (true) and the THICK parameter has a val ue of 0.35(real ).
Many parameters are provi ded defaul t val ues. I f a parameter i s not speci fi ed, i ts defaul t val ue wi l l be
used. Tabl e 7-1 expl ai ns the di fferent types of parameters whi ch may be used when prepari ng an
ATHENA i nput deck. The command l anguage of ATHENA i s not case sensi ti ve, and may be entered usi ng
ei ther upper case or l ower case l etters.
Abbreviations
I t i s not al ways necessary to i nput the enti re statement or parameter name. ATHENA requi res onl y that
you i nput enough l etters to di sti ngui sh that command or parameter from other commands or
parameters. For exampl e, DEPO may be used to i ndi cate the DEPOSIT command.
Table 7-1. Types of Parameters
Parameter
Type
Description
Value
Required
Example
Character An alphabetic, alphanumeric,
or numeric string
Yes OUTFILE=MOS.STR
Integer Any whole number Yes COLOR=3
Boolean A true or false condition No OXIDE or OXIDE=f
Real Any real number Yes conc=1.5e14
ATHENA Users Manual Statements
7-6 SILVACO International
Continuation Lines
Si nce i t may be necessary for a statement l i ne to contai n more than 256 characters, ATHENA al l ows
the user to speci fy conti nuati on l i nes. I f a statement l i ne ends wi th a backsl ash (\), the next l i ne wi l l
be i nterpreted as a conti nuati on of the previ ous l i ne.
Comments
Comments are i ndi cated by a number si gn (#). Al l characters on a l i ne whi ch fol l ow a comment
i ndi cator (#) wi l l not be processed by ATHENA. The $ symbol was suppor ted i n ol der ver si ons. The $
shoul d be avoi ded for use as a comment character si nce i t i s used as part of shel l capabi l i ti es i ncl uded
i n DeckBui l d.
General Syntax Description
An ATHENA statement i s a sequence of words starti ng wi th a statement name and fol l owed by some
or al l of the statements parameters. Thi s manual descri bes the syntax for each statement i n the
fol l owi ng way:
STATEMENT NAME
DESCRIPTION OF PARAMETER 1
DESCRIPTION OF PARAMETER 2 . . .
Parameters are descri bed i n the fol l owi ng form:
PARAM=<n>... a real valued parameter
PARAM=<c>... a string valued parameter
PARAM ... a Boolean parameter
Bool ean parameters are those that recogni ze the Bool ean val ues TRUE and FALSE as val i d val ues. I n
ATHENA, Bool ean parameter val ues are automati cal l y set to true i f the name of the Bool ean
parameter appears by i tsel f i n a statement. A Bool ean parameter can be set to fal se usi ng the syntax:
PARAM=FALSE or PARAM=F.
A mutual l y excl usi ve choi ce among parameters i s i ndi cated by parentheses around the parameters
and verti cal bars between each parameter (PAR1|PAR2). Onl y one parameter i n such a group may be
speci fi ed at a ti me. Speci fyi ng more than one parameter i n a mutual l y excl usi ve group i s an i nval i d
operati on and wi l l general l y prompt a warni ng or error message.
Parameters that are opti onal to a statement are encl osed by brackets [ ]. Most parameters are
assi gned defaul t val ues and so may be treated as opti onal . However, al l parameters and parameter
val ues shoul d be checked i n the context of the actual process that wi l l be si mul ated before rel yi ng on
the resul ts of any si mul ati on.
Stri ng-val ued parameters can be speci fi ed as a si ngl e word, e.g., INFILE=FILE1, or as a sequence of
words surrounded by doubl e quotes, e.g., TITLE="3D BORON PLOT". Real -val ued parameters can be
speci fi ed as expressi ons i nvol vi ng numbers, numeri cal constants, the operators +, -, *, /, and the
functi ons l i sted i n Tabl e 7-2. I f an expressi on contai ns spaces then i t shoul d be encl osed i n
parentheses.
Statements Statements
SILVACO International 7-7
Examples
PAR1=<n>
PAR1 i s a requi red numeri c val ued opti on.
PAR1=( 4.0 * EXP( -2.0 / (8.62E-5 * 1173.0) ) )
PAR1 i s a requi red numeri c val ued opti on, assi gned a real number expressi on.
[PAR2=<c>]
PAR2 i s an opti onal character vari abl e.
See Also: SET and EXTRACT in the VWF Interactive Tools Manual for further examples of expressions.
DeckBuild Statements
DECKBUI LD supports several statements (SET, EXTRACT, GO, SYSTEM, SOURCE, TONYPLOT) that
can be i ncl uded wi thi n the body of an ATHENA i nput fi l e. Documentati on on these commands i s
avai l abl e i n the VWF I nteracti ve Tool s Manual Vol ume I .
Table 7-2. Functions
Function Description
abs5 absolute value
active active portion of the specified dopant
erf error function
erfc complimentary error function
exp exponential
gradx computes the approximate gradient in the x direction
grady computes the approximate gradient in the y direction
log logarithm
log10 logarithm base 10
mat1@mat2 returns the y value of the interface between mat1 and
mat2 along a vertical slice at the given location
mat1|mat2 returns the x value of the interface between mat1 and
mat2 along a horizontal slice at the given location
scales scales the value given by the maximum value
sqrt square root
xfn takes y and z and finds a matching x
yfn takes x and z and finds a matching y
zfn takes x and y and finds a matching z
ATHENA Users Manual Statements
7-8 SILVACO International
Command Line Parsing
Athena does support expressi ons on the command l i ne. For exampl e the fol l owi ng can be used:
IMPLANT DOSE=4.0e13*1.2 ENERGY=30
DIFFUSE TIME=10/60 TEMP=1000
Care shoul d be taken to use parentheses as the precedence of ari thmeti c operators as i n programmi ng
l anguages i s not guaranteed i n al l cases
ATHENA Statements List
Thi s chapter contai ns a compl ete descri pti on (i n al phabeti cal order) of every statement used by any of
the ATHENA products. The fol l owi ng documentati on i s provi ded for each statement:
The statement name
A l i st of al l of the parameters of the statement and thei r type
A descri pti on of each parameter or group of si mi l ar parameters
An exampl e of the correct usage of each statement
The ATHENA command l anguage encompassed by thi s document descri bes each of the modul es of
ATHENA, namel y: ELI TE, FLASH, OPTOLI TH, SSUPREM4 and thei r submodul es. Dependi ng on whi ch of
the ATHENA modul es have been purchased, some of the capabi l i ti es descri bed herei n may not be
avai l abl e as part of the ATHENA i nstal l ati on.
Note: An error message will be generated if you attempt to specify a statement or parameter for a
module of ATHENA that you have not licensed.
The fol l owi ng l i st provi des a bri ef descri pti on of ATHENA statements and thei r use.
Structure Initialization Statements
These statements defi ne the di mensi ons, boundary condi ti ons, gri d densi ty, and materi al type of the
i ni ti al structure. Typi cal l y, onl y LINE and INITIALIZE statements are requi red.
BOUNDARY speci fi es whi ch l i nes i n a rectangul ar gri d are exposed to gas.
INITIALIZE sets up the i ni ti al gri d and speci fi es background dopi ng concentrati ons and
materi al type.
LINE speci fi es the posi ti oni ng of x and y gri d l i nes for a rectangul ar mesh.
REGION speci fi es correspondi ng secti ons of the rectangul ar mesh and materi al .
Structure Manipulation Statements
These statements mani pul ate the geometr y or attri butes of the str uctur e or cr eate output fi l es.
ADAPT.MESH al l ows restructuri ng of the mesh based on i mpuri ty profi l es.
ELECTRODE names el ectrode regi ons.
PROFILE causes ATHENA to read i n an ASCI I fi l e of depth and dopi ng data.
RELAX l oosens the gri d wi thi n a user-speci fi ed area.
STRETCH al l ows changes i n structure geometry by stretchi ng at a hori zontal or verti cal l i ne.
Statements Statements
SILVACO International 7-9
STRUCTURE al l ows you to wri te mesh and sol uti on val ues. Thi s i s the mai n output
statement for generati ng program data to be pl otted.
Simulation Statements
These statements appl y physi cal l y based model s for processi ng operati ons to the structure.
BAKE performs post-exposure or post-devel opment photoresi st bake.
DEPOSIT deposi ts a materi al l ayer.
DEVELOP performs photoresi st devel opment.
DIFFUSE performs a ti me/temperature step on the wafer and cal cul ates oxi dati on and
di ffusi on of i mpuri ti es.
EPITAXY performs hi gh temperature si l i con epi taxi al growth.
ETCH performs a geometri c or machi ne type etch on the structure.
EXPOSE model s photoresi st exposure.
IMAGE cal cul ates a 2D or 1D aeri al i mage.
IMPLANT model s i on i mpl antati on.
MASK per for ms photor esi st deposi ti on and etchi ng vi a the MASKVI EWS i nterface.
POLISH si mul ates chemi cal mechani cal pol i shi ng i n the ELI TE modul e.
STRESS computes the thermal el asti c stresses.
STRIP removes photoresi st or another user speci fi ed materi al .
Model Statements
These statements are used to change model parameters and coeffi ci ents. The parameters are descri bed
i n the statement descri pti ons. Upon start-up, ATHENA executes the model statements i n the fi l e
named athenamod l ocated i n the $SI LVACO/ lib/ athena subdi rectory correspondi ng to the versi on
number and system type of ATHENA that you are runni ng. Thi s fi l e contai ns the defaul t parameters
for most model commands.
ABERRATION defi nes the aberrati ons i n the opti cal system.
ADAPT.PAR sets the coeffi ci ents for the Adapti ve Meshi ng modul e.
BASE.PAR defi nes adjacent mesh characteri sti cs of an automated base mesh.
GRID.MODEL defi nes a templ ate fi l e dontai ni ng adapti ve meshi ng commands.
ILLUMINATION descri bes the photol i thographi c i l l umi nati ng system.
ILLUM.FILTER defi nes fi l ters used i n the i l l umi nati on source for photol i thography.
IMPURITY sets the coeffi ci ents of i mpuri ty ki neti cs.
INTERSTITIAL sets the coeffi ci ents of i ntersti ti al ki neti cs.
LAYOUT descri bes the mask reti cl e for i magi ng.
MATERIAL sets the coeffi ci ents of vari ous materi al s.
METHOD sets the numeri cal opti ons or model s for sol vi ng the equati ons.
MOMENTS speci fi es moments for Pearson i mpl ant model .
OPTICAL speci fi es the coeffi ci ents of refl ecti on and refracti on.
OXIDE speci fi es oxi dati on coeffi ci ents.
PROJ ECTION defi nes the photol i thographi c projecti on system.
ATHENA Users Manual Statements
7-10 SILVACO International
PUPIL.FILTER defi nes fi l ters i n the pupi l pl ane.
RATE.DEPO speci fi es deposi ti on rates for machi ne type deposi ts.
RATE.DEVELOP speci fi es devel opment rates and other photoresi st parameters.
RATE.ETCH speci fi es the etch rate for machi ne etches.
RATE.POLISH speci fi es pol i shi ng parameters for defi ni ti on of a pol i shi ng machi ne.
SILICIDE sets the coeffi ci ents for si l i ci dati on reacti ons.
TRAP sets the coeffi ci ents of trap ki neti cs.
VACANCY sets the coeffi ci ents of vacancy ki neti cs.
Special Statements
These statements i nvoke speci al operati ons when r un under DECKBUI LD. For more i nformati on on
these statements, r efer to the VWF I NTERACTI VE TOOLS manual .
EXTRACT extracts parameters.
GO i ndi cates i nterfaci ng between si mul ators.
TONYPLOT creates a pl ot usi ng TONYPLOT.
SET sets the val ue of a user-defi ned vari abl e.
MASK defi nes photoresi st masks on the current structure.
AUTOELECTRODE defi nes l ayout-based el ectrodes.
Post-processing Statements
Starti ng from versi on 4.0, al l i nternal pl otti ng capabi l i ti es of former SUPREM-IV have been el i mi nated.
Enhanced superi or functi onal i ti tes are avai l abl e through TonyPl ot and after VWF I NTERACTI VE TOOLs.
Onl y the fol l owi ng two post-pr ocessi ng statements r emai n .
PRINT.1D i s used to pri nt the val ues (data poi nts and profi l e i nformati on).
SELECT al l ows a vari abl e to be chosen as the z coordi nate for the PRI NT.I D command to
fol l ow.
ABERRATION Statements Statements
SILVACO International 7-11
ABERRATION
ABERRATI ON defi nes aberrati ons of the opti cal projecti on system.
Syntax
ABERRATION [X.FIELD=<n>][Z.FIELD=<n>] [SPHERICAL=<n>][COMA=<n>]
[ASTIGMATISM=<n>][CURVATURE=<n>][DISTORTION=<n>]
[FIFTH|SEVENTH|NINTH]
C1=<n>][C2=<n>][C3=<n>][C4=<n>][C5=<n>]
[C6=<n>][C7=<n>][C8=<n>][C9=<n>][C10=<n>][C11=<n>]
[C12=<n>][C13=<n>][C14=<n>][C15=<n>][C16=<n>][C17=<n>]
[C18=<n>][C19=<n>][C20=<n>]
Description
ABERRATION speci fi es the aberrati on coeffi ci ents i n the power seri es expansi on of the wave
aberrati on functi on. Each coeffi ci ent i s entered i n fracti ons of a wavel ength i n the range 0 C 0.5.
X.FIELD and Z.FIELD defi ne or change the posi ti on i n the i mage fi el d for whi ch the i rradi ance
di stri buti on i s to be computed. Note that the posi ti on i s expressed i n fracti onal fi el d coordi nates, so
that the val ues for the x and z di recti ons vary between -1.0 and 1.0.
SPHERICAL speci fi es 0C40, the amount of thi rd order spheri cal aberrati on present i n the power
seri es expansi on of the wave aberrati on functi on of the opti cal projector.
COMA speci fi es 1C31, the amount of thi rd order coma present i n the power seri es expansi on of the
opti cal projector.
ASTIGMATISM speci fi es 2C22, the amount of thi rd order asti gmati sm present i n the power seri es
expansi on of the opti cal projector.
CURVATURE speci fi es 2C20, the amount of thi rd order fi el d curvature present i n the power seri es
expansi on of the opti cal projector.
DISTORTION speci fi es 3C11, the amount of thi rd order di storti on present i n the power seri es
expansi on of the opti cal projector.
FIFTH, SEVENTH, and NINTH speci fy the aberrati on order. Coeffi ci ents for onl y one aberrati on
order can be speci fi ed on a si ngl e statement.
C1, C2, C3, C4, C5, C6, C7, C8, C9, C10, C11, C12, C13, C14, C15, C16, C17, C18, C19, and C20 are
descri bed i n Tabl e 7-3. Coeffi ci ents for fi fth, seventh, and ni nth order aberrati ons must be entered i n
separate ABERRATION commands for each order. Each of these parameters represents a parti cul ar
aberrati on coeffi ci ent dependi ng on the order speci fi ed by parameters FIFTH, SEVENTH, or NINTH.
ATHENA Users Manual ABERRATION Statements
7-12 SILVACO International
Examples
I f hi gh order aberrati ons are to be studi ed they must be entered on a separate command l i ne for each
order.
ABERRATION X.FIELD=.5 SPHERICAL=.25
ABERRATION FIFTH C1=.25 C2=.5
ABERRATION SEVENTH C1=.3 C4=.4
See also: IMAGE, ILLUMINATION, PROJECTION, ILLUM.FILTER, PUPIL.FILTER, LAYOUT
Table 7-3. Aberration Coefficients
Parameter Fifth Seventh Ninth
C1 4C20 6C20 8C20
C2 2C40 4C40 6C40
C3 0C60 2C60 4C60
C4 5C11 0C80 2C80
C5 3C31 7C11 0C100
C6 1C51 5C31 9C11
C7 4C22 3C51 7C31
C8 2C42 1C71 5C51
C9 3C33 6C22 3C71
C103C31 4C42 1C91
C11 2C62 8C22
C12 5C33 6C42
C13 3C53 4C62
C14 4C44 2C82
C15 7C33
C16 5C53
C17 3C73
C18 6C44
C19 4C64
C20 5C55
ABERRATION Statements Statements
SILVACO International 7-13
ADAPT.MESH
ADAPT.MESH runs the adapti ve meshi ng al gori thm.
Syntax
ADAPT.MESH [SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|TITANIUM|
PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|GAS|ALGAAS|INGAAS|SIGE
INP|MATERIAL=<c>]
[/SILICON|/GAAS|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|
/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|/ALGAAS|/
INGAAS|
/SIGE|/INP|/MATERIAL=<c>]
[SMOOTH][SMTH.COUNT=<n>][ADAPT][ADAPT.COUNT=<n>][ADD.I.LINE=<n>]
Description
ADAPT.MESH runs the adapti ve meshi ng al gori thm or the smoothi ng al gori thm i n standal one mode.
SMOOTH fl ag to do mesh smoothi ng.
SMTH.COUNT speci fi es the number of smooth l oops dur i ng the smooth oper ati on, (defaul t 1).
ADAPT fl ag to do stand al one mesh adapti ng. Speci fi es that a stand al one adapti ve meshi ng step
shoul d be performed to refi ne or rel ax the current mesh based on the materi al /i mpuri ty speci fi cati on
gi ven on ADAPT.PAR command, (defaul t fal se).
ADAPT.COUNT speci fi es the number of adapti ng l oops duri ng the stand al one adapti ve meshi ng
operati on, (defaul t 1).
ADD.I.LINE depth of the shadow i nterface mesh l i ne i n mi crons. The mesh l i ne i s to be added at the
i nterface between two materi al s as defi ned by the bool eans MATERIAL1 and /MATERIAL2. The l i ne i s
added i n MATERIAL1 a di stance ADD.I.LINE from /MATERIAL2.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, GAS, PHOTORESIST,
BARRIER, ALUMINUM, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS,
ALGAAS, INGAAS, SIGE, INP and MATERIAL are used to speci fy MATERIAL1 for ADD.I.LINE..
/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /POLYSILICON, /GAS, /PHOTORESIST, /
BARRIER, /ALUMINUM, /TUNGSTEN, /TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /
GAAS, /ALGAAS, /INGAAS, /SIGE, /INP and /MATERIAL are used to speci fy /MATERIAL2 for
ADD.I.LINE..
ATHENA Users Manual ABERRATION Statements
7-14 SILVACO International
Examples
The fol l owi ng statement wi l l add a set of l i nes cl ose to the si l i con/si l i con di oxi de i nterface. For thi s to
work the exi sti ng gri d spaci ng at the i nterface must be greater than 0.005 mi crons. Note that si nce the
l i nes are added onl y between exi sti ng mesh l i nes and the i nterface the l i nes must be speci fi ed i n thi s
order (i e. getti ng cl oser to the surface)
ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.005
ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.001
ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.0005
ADAPT.MESH SILICON /OXIDE ADD.I.LINE=0.0001
See also: ADAPT.PAR, METHOD
ADAPT.PAR Statements Statements
SILVACO International 7-15
ADAPT.PAR
ADAPT.PAR control s the adapti ve meshi ng al gori thm.
Syntax
ADAPT.PAR [SILICON][OXIDE][OXYNITRIDE][NITRIDE][POLYSILICON][PHOTORESIST
BARRIER][ALUMINUM][TUNGSTEN][TITANIUM][PLATINUM][WSIX][TISIX][PTSIX]
[GAAS][ALGAAS][INGAAS][SIGE][INP][MATERIAL=<c>]
[I.ARSENIC][I.PHOSPHOR][I.BORON][I.ANTIMONY][I.BF2][I.INTERST]
[I.VACANCY][I.SILICON][I.GERMANIUM][I.ZINC][I.SELENIUM][I.BERYLLIUM]
[I.MAGNESIUM][I.CHROMIUM][I.ALUMINUM][I.GOLD][I.GALLIUM][I.CARBON]
[I.DRYO2][I.WETO2]
[MAX.ERR=<n>][MIN.ERR=<n>][CONC.MIN=<n>][AREA.MIN=<n>][AREA.MAX=<n>]
[EDGE.MIN=<n>][EDGE.MAX=<n>][MIN.ADD=<n>][DISABLE]
[MAX.POINT10=<n>][MAX.LOOP=<n>][IMPL.SMOOTH][DIFF.SMOOTH]
[PAR.AUTO][IMPL.SUB] ADAPT.PAR [DOSE.ERR=<n>] [DOSE.MIN=<n>]
[DIFF.LENGTH=<n>] [ANISOTROPIC]
Description
ADAPT.PAR runs the adapti ve meshi ng al gori thm.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, PHOTORESIST, BARRIER,
ALUMINUM, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,
INGAAS, SIGE, INP and MATERIAL speci fy the materi al regi ons to be adapted on. Thi s may be one
or several materi al s at a ti me. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned
materi al s.
I.ARSENIC, I.PHOSPHOR, I.BORON, I.ANTIMONY, I.BF2, I.INTERST, I.VACANCY,
I.SILICON, I.GERMANIUM, I.ZINC, I.SELENIUM, I.BERYLLIUM, I.MAGNESIUM,
I.CHROMIUM, I.ALUMINUM, I.GOLD, I.GALLIUM, I.CARBON, I.DRYO2, I.WETO2 speci fy
the i mpuri ti es to be adapted on. Thi s may be one or several i mpuri ti es at a ti me.
DISABLE speci fi es that the materi al s/i mpuri ti es combi nati ons gi ven are di sabl ed to be effecti ve on
mesh adapti ng or smoothi ng, (defaul t fal se).
MAX.ERR speci fi es the maxi mum error al l owabl e before addi ng poi nts to the mesh, (uni tl ess). Error
cal cul ated above thi s val ue cause poi nts to be added.
MIN.ERR speci fi es the mi ni mum error bel ow whi ch poi nts may be del eted from the mesh, (uni tl ess).
Error cal cul ated bel ow thi s val ue cause poi nts to be removed. Both MAX.ERR and MIN.ERR are
cal cul ated usi ng the Bank-Wei ser error esti mator.
CONC.MIN speci fi es the mi ni mum i mpuri ty concentrati on bel ow whi ch adapti ng wi l l stop, (uni t 1.0/
cm
3
).
AREA.MIN speci fi es the mi ni mum tri angl e area bel ow whi ch addi ng poi nts wi l l stop, (uni ts cm
2
).
AREA.MAX speci fi es the maxi mum tri angl e area bel ow whi ch del eti ng poi nts wi l l stop, (uni ts cm
2
).
Defaul t 1.0e-11.
EDGE.MIN speci fi es the mi ni mum edge l ength bel ow whi ch addi ng poi nts wi l l stop, (uni ts cm).
EDGE.MAX speci fi es the maxi mum edge l ength bel ow whi ch del eti ng poi nts wi l l stop, (uni ts cm).
ATHENA Users Manual ADAPT.PAR Statement
7-16 SILVACO International
MIN.ADD percent cri teri a to turn off i mpl ant adapt l oop. MIN.ADD stops poi nt addi ti on i n IMPLANT
when the number of poi nts added i n the current l oop i s l ess than MIN.ADD * (total number of poi nts).
The defaul t val ue for MIN.ADD = 0.05.
MAX.POINT speci fi es the maxi mum number of poi nts above whi ch adapti ng wi l l stop, (defaul t
20000).
MAX.LOOP speci fi es the maxi mum l oop count above whi ch adapti ng wi l l stop, effecti ve onl y wi th
i mpl ant, (defaul t 20).
IMPL.SMOOTH speci fi es whi ch anneal i ng al gori thm to use after each adapti on step, currentl y
IMPL.SMOOTH = 0 corresponds to no anneal i ng duri ng IMPLANT. IMPL.SMOOTH = 1 corresponds to
Lapl aci an smoothi ng and dose conservati on i nterpol ati on al gori thm. The defaul t i s IMPL.SMOOTH=1.
DIFF.SMOOTH speci fi es whi ch anneal i ng al gori thm to use after each adapti on step, currentl y
DIFF.SMOOTH = 0 corresponds to no anneal i ng duri ng DIFFUSE. DIFF.SMOOTH = 1 corresponds to
Lapl aci an smoothi ng and dose conservati on i nterpol ati on al gori thm. The defaul t i s DIFF.SMOOTH=0.
IMPL.SUB fl ag to do gri d subtracti ng i n i mpl ant adapt. IMPL.SUB i s a bool ean fl ag that stops poi nt
removal duri ng IMPLANT adapti ve meshi ng. The defaul t val ue for IMPL.SUB = false si gni fi es that
poi nts are not bei ng removed.
DOSE.ERR speci fi es dose error for the refi nement/unrefi nement.
DOSE.MIN speci fi es mi ni mum of dose l evel for gri d refi nement duri ng adaptati on.
DIFF.LENGTH used to l i mi t the acti vi ty of adaptati on of gri d duri ng the si mul ati on of dopant
di ffusi on. Thi s parameter wi l l al l ow the mesh to adapt onl y after a gi ven di ffusi on l ength for a gi ven
dopant and wi l l overri de any other adaptati on tri ggers based upon gradi ent error esti mates.
Thi s i s a useful control to l i mi t the number of ti me steps.
ANISOTROPIC i s the fl ag used to mai ntai n the mesh to be ani sotropi c. The fl ag i s materi al
dependent.
Examples
The fol l owi ng i s an exampl e of setti ng the adapti ve meshi ng parameters duri ng di ffusi on for Boron.
IMPLANT BORON DOSE=1E15 ENERGY=60
ADAPT.PAR DIFF.LEN=0.1 SILICON I.BORON
DIFFUSE TEMP=1000 TIME=100 NITROGEN
See also: ADAPT.MESH, METHOD

ANTIMONY Statements Statements
SILVACO International 7-17
ANTIMONY
ANTIMONY sets the coeffi ci ents of anti mony di ffusi on and segregati on.
Note: This statement is obsolete. The IMPURITY statement with the I.ANTIMONY parameter
should be used instead
Syntax
ANTIMONY SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|TITANIUM|
PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|GAS|ALGAAS|INGAAS|SIGE|
INP|MATERIAL=<c> [DIX.0=<n>]
[DIX.E=<n>][DIM.0=<n>][DIM.E=<n>
[FI=<n>][SS.CLEAR][SS.TEMP=<n>][SS.CONC=<n>]
[/SILICON|/GAAS|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO
/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|/ALGAAS|/INGAAS|
/SIGE|/INP|/MATERIAL=<c>]
[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][GB.DIX.0=<n>]
[GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]
[DONOR|ACCEPTOR]
Description
SILICON, OXIDE, OXYNITRIDE, NITRIDE, ,GAAS, GAS, POLYSILICON, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS, INGAAS, SIGE, INP and
MATERIAL speci fy the materi al for whi ch the i mpuri ty coeffi ci ents wi l l be set.The MATERIAL=<c>
parameter shoul d onl y be used for user-defi ned materi al s.
Onl y one materi al parameter may be speci fi ed per statement, and that statement wi l l appl y onl y i n
the materi al l i sted. The stri ng parameter MATERIAL al l ows the user to sel ect a user-defi ned materi al .
The materi al parameter i s used to i ndi cate the fi rst materi al for defi ni ng i nterface parameters such as
segregati on and transport. The materi al parameter can al so be used to sel ect a materi al to whi ch bul k
parameters such as di ffusi on coeffi ci ents wi l l appl y.
DONOR or ACCEPTOR speci fy the nature of the i mpuri ty i n the gi ven materi al .
DIX.0 and DIX.E speci fy the di ffusi on coeffi ci ent for anti mony di ffusi ng wi th neutral defects. DIX.0 i s
the pre-exponenti al constant and DIX.E i s the acti vati on ener gy.
DIM.0 and DIM.E speci fy the di ffusi on coeffi ci ent for anti mony di ffusi ng wi th si ngl e negati ve defects.
DI M.0 i s the pre-exponenti al constant, and DIM.E i s the acti vati on ener gy.
FI i s the fracti onal i ntersti ti al cy parameter whi ch determi nes whether anti mony di ffuses through
i nteracti on wi th i ntersti ti al s or vacanci es. The val ue of thi s parameter can vary between 0 and 1. A
val ue of 1 corresponds to movement onl y vi a i ntersti ti al s and a val ue of 0 corresponds to movement vi a
a vacancy mechani sm.
SS.CLEAR cl ears the currentl y stored sol i d sol ubi l i ty data.
ATHENA Users Manual ANTIMONY Statement
7-18 SILVACO International
SS.TEMP and SS.CONC add a si ngl e temperature and an associ ated sol i d sol ubi l i ty concentrati on
poi nt to those al ready stored.
/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL speci fy materi al 2. The stri ng parameter /MATERIAL al l ows the user to sel ect a user-
defi ned materi al for materi al 2. Materi al 2 i s used to i ndi cate the second materi al for defi ni ng
i nterface parameters such as segregati on and transport coeffi ci ents.
SEG.0 and SEG.E speci fy the equi l i bri um segregati on coeffi ci ents SEG.0 i s the pre-exponenti al and
SEG.E i s the acti vati on energy.
TRN.0 and TRN.E al l ow the speci fi cati on of the transport vel oci ty across a gi ven i nterface. TRN.0 i s
the pre-exponenti al and TRN.E i s the acti vati on energy.
GB.DIX.0 and GB.DIX.E speci fy grai n boundary parameters for the advanced pol ysi l i con di ffusi on
model . GB.DIX.0 i s the pre-exponenti al factor of grai n boundary di ffusi vi ty, and GB.DIX.E i s the
acti vati on energy for grai n boundary di ffusi vi ty (eV).
GB.SEG.0 speci fi es entropy factor of the grai n boundary segregati on coeffi ci ent used i n the advanced
pol ysi l i con di ffusi on model .
GB.SEG.E speci fi es the acti vati on energy of grai n boundary segregati on coefi ci ent used i n the
advanced pol ysi l i con di ffusi on model .
GB.TAU speci fi es the grai n boundary ti me constant used i n the advanced pol ysi l i con di ffusi on model .
Examples
Note: The IMPURITY statement is the preferred statement for setting the coefficients for antimony. It
provides all the capabilities of the ANTIMONY statement.
The fol l owi ng statement changes the neutral defect di ffusi vi ty component of anti mony di ffusi vi ty i n
si l i con.
IMPURITY I.ANTIMONY SILICON DIX.0=0.214 DIX.E=3.65
The fol l owi ng statement changes the segregati on parameters at the si l i con-si l i con di oxi de i nterface.
The concentrati on of anti mony i n si l i con wi l l be 30.0 ti mes the concentrati on of anti mony i n oxi de at
equi l i bri um.
IMPURITY I.ANTIMONY SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7
The fol l owi ng statement speci fi es the neutral defect component of anti mony di ffusi vi ty i n a user-
defi ned materi al cal l ed DRY_OXIDE.
IMPURITY I.ANTIMONY MATERIAL=DRY_OXIDE DIX.0=0.214 DIX.E=3.65
See Also: IMPURITY, INTERSITITIAL, VACANCY
ARSENIC Statement Statements
SILVACO International 7-19
ARSENIC
ARSENIC sets the coeffi ci ents of arseni c di ffusi on and segregati on.
Note: This statement is obsolete. The IMPURITY statement with I.ARSENIC parameter should be
used instead
Syntax
ARSENI CSI LI CON| OXI DE| OXYNI TRI DE| NI TRI DE| POLYSI LI CON|
TUNGSTEN| TI TANI UM| PLATI NUM| WSI X| TI SI X| PTSI X| GAAS
GAS| ALGAAS| I NGAAS| SI GE| I NP
MATERI AL=<c>[DI X.0=<n>][DI X.E=<n>][DI M.0=<n>]
[DI M.E=<n>][FI =<n>][CTN.0=<n>][CTN.E=<n>][/SI LI CON| /GAAS|
/OXI DE| /OXYNI TRI DE| /NI TRI DE| /POLYSI LI CO| /TUNGSTEN|
/TI TANI UM| /PLATI NUM| /WSI X| /TI SI X| /PTSI X| /GAS|
/ALGAAS| /I NGAAS| /SI GE| /I NP| /MATERI AL=<c>]<
[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][GB.DI X.0=<n>]
[GB.DI X.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]
[DONOR| ACCEPTOR]
Description
SILICON, OXIDE, OXYNITRIDE, NITRIDE, GAAS, GAS, POLYSILICO,TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIAL
speci fy the materi al for whi ch the i mpuri ty coeffi ci ents wi l l be set.
DONOR or ACCEPTOR speci fy the nature of the i mpuri ty i n the gi ven materi al .
FI i s the fracti onal i ntersti ti al cy parameter whi ch determi nes whether arseni c di ffuses through
i nteracti on wi th i ntersti ti al s or vacanci es. The val ue of thi s parameter can vary between 0 and 1. A
val ue of 1 corresponds to movement onl y vi a i ntersti ti al s and a val ue of 0 corresponds to movement vi a
a vacancy mechani sm.
CTN.0 and CTN.E speci fy the cl usteri ng coeffi ci ents for arseni c. CTN.0 i s the pre-exponenti al
coeffi ci ent and CTN.E i s the acti vati on ener gy.
/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL speci fy materi al 2. The stri ng parameter /MATERI AL al l ows the user to sel ect a user-
defi ned materi al for materi al 2. Materi al 2 i s used to i ndi cate the second materi al for defi ni ng
i nterface parameters such as segregati on and transport coeffi ci ents.
SEG.0 and SEG.E speci fy the equi l i bri um segregati on coeffi ci ents. SEG.0 i s the pre-exponenti al and
SEG.E i s the acti vati on energy.
TRN.0 and TRN.E al l ow the speci fi cati on of the transport vel oci ty across a gi ven i nterface. TRN.0 i s
the pre-exponenti al and TRN.E i s the acti vati on energy.
ATHENA Users Manual ARSENIC Statement
7-20 SILVACO International
GB.DIX.0 and GB.DIX.E speci fy grai n boundary parameters for the advanced pol ysi l i con di ffusi on
model . GB.DIX.0 i s the pre-exponenti al factor of grai n boundary di ffusi vi ty, and FGB.DIX.E i s the
acti vati on energy for grai n boundary di ffusi vi ty (eV).
GB.SEG.0 speci fi es entropy factor of grai n boundary segregati on coeffi ci ent used i n the advanced
pol ysi l i con di ffusi on model .
GB.SEG.E speci fi es the acti vati on energy of grai n boundary segregati on coefi ci ent used i n the
advanced pol ysi l i con di ffusi on model .
GB.TAU speci fi es the grai n boundary ti me constant used i n the advanced pol ysi l i con di ffusi on model .
Examples
Note: The IMPURITY statement is the preferred statement for setting the coefficients for arsenic. It
provides all the capabilities of the ARSENIC statement.
The fol l owi ng statement changes the neutral defect di ffusi vi ty component of arseni c i n si l i con.
IMPURITY I.ARSENIC SILICON DIX.0=8.0 DIX.E=4.05
The fol l owi ng statement changes the segregati on parameters at the Si l i con-Si l i con Di oxi de i nterface.
The concentrati on of arseni c i n si l i con wi l l be 30.0 ti mes the concentrati on of arseni c i n oxi de at
equi l i bri um.
IMPURITY I.ARSENIC SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7
The fol l owi ng statement speci fi es the neutral defect component of arseni c di ffusi vi ty i n a user-defi ned
materi al cal l ed DRY_OXIDE.
IMPURITY I.ARSENIC MATERIAL=DRY_OXIDE DIX.0=0.214 DIX.E=3.65
See Also: IMPURITY, INTERSITITIAL, VACANCY
BAKE Statement Statements
SILVACO International 7-21
BAKE
BAKE runs a photoresi st bake process. Thi s statement i s appl i ed to both pre-exposure and post-
exposure bakes.
Syntax
BAKE [DIFF.LENGTH=<n>]
TEMERATURE=<n>][TIME=<n>][REFLOW][DUMP=<n>][DUMP.PREFIX=<c>] [MOVIE=<c>]
Description
Thi s command runs a bake process usi ng the di ffusi on l ength as the parameter that i ncorporates the
bake temperature and bake ti me.
DIFF.LENGTH speci fi es the di ffusi on l ength for the post exposure bake. Defaul t i s 0.05 mi crometers
REFLOW speci fi es that materi al fl ow shoul d be cal cul ated duri ng the bake.
TEMPERATURE speci fi es the temper atur e of the bake i n
o
C.
TIME speci fi es the ti me of the bake i n seconds.
DUMP and DUMP.PREFIX speci fy that a structure fi l e be output at every DUMPth ti me step. The
fi l es are readabl e wi th the STRUCTURE statement or can be di spl ayed usi ng TonyPlot. The names wi l l
be of the form DUMP.PREFIX<time>.str, wher e <time> i s the current total ti me of the si mul ati on.
Examples
The BAKE command i s entered wi th the user-speci fi ed di ffusi on l ength for post exposure bake:
BAKE DIFF.LENGTH=0.05
BAKE can al so be entered wi th ti me and temperature parameters for post exposure bake:
BAKE TIME=45 TEMP=120
For photoresi st refl ow (post devel opment bake) the above command i s entered wi th the REFLOW
parameter:
BAKE REFLOW TIME=45 TEMP=120
See also: DIFFUSE, RATE.DEVELOP
ATHENA Users Manual BASE.MESH Statement
7-22 SILVACO International
BASE.MESH
BASE.MESH runs the base mesh for generati on the i ni ti al gri d.
Syntax
BASE.MESH [SURF.LY=<N>] [SURF.DY=<N>][ACTIVE.LY=<N>]
[ACTIVE.DY=<N>][EPI.LY=<N>][EPI.DY=<N>]
[SUB.LY=<N>][SUB.DY=<N>][BACK.LY=<N>] BACK.DY=<N>]
Description
Thi s command runs the base mesh for generati on the i ni ti al gri d. Thi s i s appl y to the materi al
assi gned i n the INITIALIZE command.
SURF.LY speci fi es the l ocati on of sur face sur face. The defaul t i s y=0.0 m.
SURF.DY speci fi es the l ocal gri d spaci ng i n y-di recti on at SURF.LY.
ACTIVE.LY, EPI.LY, SUB.LY speci fi es another three base l i ne l ocati on at some cri ti cal regi on of the
devi ce structure to be fabri cated.
ACTIVE.DY, EPI.DY, SUB.DY speci fi es the l ocal gri d spaci ng at the ACTIVE.LY, EPI.LY,
SUB.LY. The uni ts are al l i n mi crons.
BACK.LY and BACK.DY are the l ocati on of bottom i n the structure to be fabri cated and the BACK.DY
i s the l ocal gri d spaci ng i n the BACK.LY l ocati on.
Examples
The fol l owi ng exampl e assi gns the i ni ti al base l i ne for the substrate materi al s. I t pl aces the base l i ne
at y=1.0, y=2.0, y=10.0, wi th a l ocal gri d spaci ng of 0.01 m, 0.5 m, 1.0 m and 10 m separatel y. The
devi ce di mensi on i n the y-di recti on i s speci fi ed as y.mai n=0. y=max=100. However, thi s depth i s onl y
for reference. The real depth and wi dth of the devi ce structure wi l l be assi gned i n the INITIALIZE
command. These can be arbi trary.
BASE.MESH SURF.LY=0.0 SURF.DY=0.01\
ACTIVE.LY=1.0 ACTIVE.DY=0.5 EPI.LY=2.0 EPI.DY=1.0\
SUB.LY=10.0 SUB.DY=10.0 BACK.LY=500 BACK.DY=100
See also: BASE.MESH, INITIALIZE
BASE.PAR Statement Statements
SILVACO International 7-23
BASE.PAR
BASE.PAR runs the base mesh for generati ng the i ni ti al gri d.
Syntax
BASE.PAR [SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|TITANIUM|
PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|INGAAS|SIGE|
INP|MATERIAL=<C>]
[GRAD.SPACE] [RATIO.BOX]
Description
SILICON, OXIDE, OXYNITRIDE, NITRIDE, TUNGSTEN, TITANIUM, PLATINUM, WSIX,
TISIX PTSIX, POLYSILICON, GAAS, INGAAS, SIGE, INP and MATERIAL speci fy the materi al
regi ons to be appl i ed wi th base mesh parameters. One or more materi al s may be speci fi ed at a gi ven
ti me.
GRAD.SPACE speci fi es the gradi ent of the adjacent gri d spaci ng i n the y-di recti on of thi s materi al
(defaul t 1.5 ).
RATIO.BOX speci fi es the approxi mate apsect rati o of tri angl e el ement after base mesh generati on i n
thi s materi al (defaul t 2 ).
Examples
The fol l owi ng exampl e generates a good qual i ty base mesh for each rel ated materi al regi on.
BASE.PAR OXIDE GRAD.SPACE=5 RATIO.BOX=2
BASE.PAR SILICON GRAD.SPACE=1.5 RATIO.BOX=2
BASE.PAR POLYSILICON GRAD.SPACE=5 RATIO.BOX=2
BASE.PAR OXIDE GRAD.SPACE=5 RATIO.BOX=2
BASE.PAR SILICON GRAD.SPACE=1.5 RATIO.BOX=2
BASE.PAR POLYSILICON GRAD.SPACE=5 RATIO.BOX=2
See also: BASE.MESH
ATHENA Users Manual BORON Statement
7-24 SILVACO International
BORON
BORON sets the coeffi ci ents of boron di ffusi on and segregati on.
Note: This statement is obsolete. The IMPURITY statement with I.BORON parameter should be used
instead
Syntax
BORON SILICON|OXIDE|OXYNITRIDE|NITRIDE|TUNGSTEN|
TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|POLYSILICON|GAAS|GAS
ALGAAS|INGAAS|SIGE|INP
MATERIAL=<c>[DIX.0=<n>][DIX.E=<n>][DIP.0=<n>][DIP.E=<n>]
[FI=<n>][SS.CLEAR][S.TEMP=<n>][SS.CONC=<n>]
[/SILICON|/GASS|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|
/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAS|
/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>][SEG.0=<n>][SEG.E=<n>]
[TRN.0=<n>][TRN.E=<n>][GB.DIX.O=<n>][GB.DIX.E=<n>]
[GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]
[DONOR|ACCEPTOR]
Description
SILICON, OXIDE, OXYNITRIDE, NITRIDE, GASS, GAS, POLYSILICON, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIAL
speci fy the materi al for whi ch the i mpuri ty coeffi ci ents wi l l be set.
Onl y one materi al parameter may be speci fi ed per statement, and that statement wi l l appl y onl y i n
the materi al l i sted. The stri ng parameter MATERIAL al l ows the user to sel ect a user-defi ned materi al .
The materi al parameter i s used to i ndi cate the fi rst materi al for defi ni ng i nterface parameters such as
segregati on and transport. The materi al parameter can al so be used to sel ect a materi al to whi ch bul k
parameters such as di ffusi on coeffi ci ents wi l l appl y.
DONOR or ACCEPTOR speci fy the nature of the i mpuri ty i n the gi ven materi al .
DIX.0 and DIX.E speci fy the di ffusi on coeffi ci ent for boron di ffusi ng wi th neutral defects. DI X.0 i s the
pre-exponenti al constant and DIX.E i s the acti vati on energy.
DIP.0 and DIP.E speci fy the di ffusi on coeffi ci ent for boron di ffusi ng wi th si ngl e negati ve defects. DI P.0
i s the pre-exponenti al constant, and DIP.E i s the acti vati on energy.
FI i s the fracti onal i ntersti ti al cy parameter. The val ue of thi s parameter determi nes whether boron
di ffuses through i nteracti on wi th i ntersti ti al s or vacanci es.
SS.CLEAR cl ears the currentl y stored sol i d sol ubi l i ty data.
SS.TEMP and SS.CONC add a si ngl e temperature and an associ ated sol i d sol ubi l i ty concentrati on
poi nt to those al ready stored.
/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL speci fy materi al 2. The stri ng parameter /MATERIAL al l ows the user to sel ect a user-
BORON Statement Statements
SILVACO International 7-25
defi ned materi al for materi al 2. Materi al 2 i s used to i ndi cate the second materi al for defi ni ng
i nterface parameters such as segregati on and transport coeffi ci ents.
SEG.0 and SEG.E speci fy the equi l i bri um segregati on coeffi ci ents. SEG.0 i s the pre-exponenti al and
SEG.E i s the acti vati on energy.
TRN.0 and TRN.E al l ow the speci fi cati on of the transport vel oci ty across a gi ven i nterface. TRN.0 i s
the pre-exponenti al and TRN.E i s the acti vati on energy.
GB.DIX.0 and GB.DIX.E speci fy grai n boundary parameters for the advanced pol ysi l i con di ffusi on
model . GB.DIX.0 i s the pre-exponenti al factor of grai n boundary di ffusi vi ty, and GB.DIX.E i s the
acti vati on energy for grai n boundary di ffusi vi ty (eV).
GB.SEG.0 speci fi es entropy factor of the grai n boundary segregati on coeffi ci ent used i n the advanced
pol ysi l i con di ffusi on model .
GB.SEG.E speci fi es the acti vati on energy of grai n boundary segregati on coefi ci ent used i n the
advanced pol ysi l i con di ffusi on model .
GB.TAU speci fi es the grai n boundary ti me constant used i n the advanced pol ysi l i con di ffusi on model .
Examples
Note: The IMPURITY statement is the preferred statement for setting the coefficients for boron. It
provides all the capabilities of the BORON statement.
The fol l owi ng statement changes the neutral defect di ffusi vi ty component of boron di ffusi vi ty i n
si l i con.
IMPURITY I.BORON SILICON DIX.0=0.28 DIX.E=3.46
The fol l owi ng statement wi l l change the segregati on parameters at the Si l i con-Si l i con Di oxi de
i nterface. The concentrati on of boron i n si l i con wi l l be 30 ti mes the concentrati on of boron i n oxi de at
equi l i bri um.
IMPURITY I.BORON SILICON /OXIDE SEG.0=1126.0 SEG.E=0.91 \
TRN.0=1.66E-7
The fol l owi ng statement speci fi es the neutral defect component of boron di ffusi vi ty i n a user-defi ned
materi al cal l ed dry-oxi de.
IMPURITY I.BORON MATERIAL=DRY_OXIDE DIX.0=0.214 DIX.E=3.65
See Also: IMPURITY, INTERSITITIAL, VACANCY
ATHENA Users Manual BOUNDARY Statement
7-26 SILVACO International
BOUNDARY
BOUNDARY speci fi es boundary condi ti ons for the i ni ti al materi al .
Note: For most typical boundary conditions, ATHENA has defaults that eliminate the need for
BOUNDARY statements. The BOUNDARY statement can be used to modify the treatment of the surfaces
for special purpose simulations.
Syntax
BOUNDARY REFLECTING|EXPOSED|BACKSIDE[XLO=<c>][YLO=<c>)]
[XHI=<c>][YHI=<c>]
Description
Three boundary condi ti on types are recogni zed.
EXPOSED surfaces correspond to the top of the wafer. Onl y exposed surface have deposi ti on or
oxi dati on on top of them. A surface created by etchi ng wi l l al so be exposed unl ess the ETCH
NO.EXPOSE syntax i s used.
REFLECTING surfaces correspond to the si des of the devi ce and are al so appl i cabl e to the
backsi de as l ong as defects are not bei ng si mul ated. Al l surfaces defaul t to REFLECTI NG.
BACKSIDE surfaces are physi cal l y i denti cal to the refl ecti ng surface wi th speci al meani ng
onl y wi th the PI SCES format fi l es generated by the STRUCTURE statement
XLO, YLO, XHI, and YHI set the bounds of the rectangl e bei ng speci fi ed. The val ue stri ng shoul d be
one of the tags cr eated i n a precedi ng l i ne statement.
Examples
The fol l owi ng l i nes defi ne the top of the mesh to be an exposed sur face and the bottom to be the
backsi de.
BOUNDARY EXPOSED XLO=LEFT XHI=RIGHT YLO=SURF YHI=SURF
BOUNDARY BACKSIDE XLO=LEFT XHI=RIGHT YLO=BACK YHI=BACK
See Also: REGION, INITIALIZE
CLUSTER Statement Statements
SILVACO International 7-27
CLUSTER
CLUSTER speci fi es the scal i ng of <311> cl usters duri ng a subsequent IMPLANT step and the ti me
constant for the di ssol uti on of cl usters i nto free i ntersti ti al s.
Syntax
CLUSTER [CLUST.FACT=<n>] [MIN.CLUST=<n>] [MAX.CLUST=<n>]
BORON | ANTIMONY | INDIUM | ARSENIC | PHOSPHORUS
SILICON TAU.311.0=<n> TAU.311.E=<n>
Description
Thi s command provi des the scal i ng condi ti ons used to descri be a profi l e of <311> i ntersti ti al cl usters
generated by an IMPLANT statement.
Note: This command will only work if the <311> cluster model has been switched on prior to the
IMPLANT step with the METHOD CLUSTER.DAM command.
MIN.CLUST and MAX.CLUST defi ne two val ues of i mpl anted dopant concentrati on. Cl usters wi l l be
pl aced between these two dopant concentrati on l evel s onl y. These parameters are used to control the
scal ed posi ti on of cl usters duri ng i on i mpl antati on.Typi cal l y MIN.CLUST i s the background dopi ng
l evel and MAX.CLUST i s the dopant concentrati on requi red to amorphi ze the substrate
CLUST.FACT i s used to scal e the concentrati on of cl ustered i ntersti ti al s to the dopant profi l e.
BORON, ANTIMONY, ARSENIC, PHOSPHORUS, INDIUM are the speci es used to scal e the
cl usters.
TAU.311.0=<n> and TAU.311.E=<n> speci fi es the ti me constant i n seconds for the di ssol uti on of
cl usters i nto free i ntersti ti al s. TAU.311.0 i s the pre-expoenti al l i near coeffi ci ent and TAU.311.E i s
the exponenti al coeffi ci ent used to control temperature dependence.
Examples
The fol l owi ng command i ntroduces cl usters duri ng i on i mpl antati on. The cl usters wi l l have an
effecti ve i ntersti ti al concentrati on of 1.4 ti mes the concentrati on of i mpl anted boron. The cl usters
wi l l l i e i n the regi on where Boron i s between 10
15
and 10
19
cm
-3
.
METHOD CLUSTER.DAM
CLUSTER MIN.CLUS=1e15 MAX.CLUST=1e19 CLUST.FACT=1.4 BORON
IMPLANT DOSE=1e14 ENERGY=50 BORON
The exampl e goes on to defi ne the cl uster di ssol uti on ti me and a short thermal cycl e. Resul ts for each
ti mestep of the di ffusi on cycl e wi l l be stored i n fi l es RTA_*
CLUSTER TAU.311.0=10 TAU.311.E=0.24
DIFFUSE TEMP=1000 TIME=10/60 NITRO DUMP=1 DUMP.PREF=RTA_
See Also: METHOD, DISLOC.LOOP, INTERSTITIAL, VACANCY
ATHENA Users Manual %DEFINE Statement
7-28 SILVACO International
%DEFINE
%DEFINE defi nes stri ngs for command l i ne substi tuti on.
Note: This statement is obsolete. The SET statement should be used instead. The SET statement is
supported by all simulators inside DECKBUI LD. See the VWF Interactive Tools Manual Vol I.
Syntax
%DEFINE [<macro_name> <macro_body>]
Description
%DEFINE i s used for command l i ne substi tuti on. The l abel or ti tl e macro_name shoul d be entered
i nto a tabl e wi th macro_body. Any ti me macro_name appears on a command l i ne as a separate token,
macro_body wi l l be substi tuted. macro_name may al so appear after a $ whi ch forces macro
substi tuti on. To concatenate another stri ng to a macro, ${macro_name} shoul d be used. Thi s
procedure enabl es a stri ng to be cal l ed at any ti me. I t i s si mi l ar to the UNI X csh unal i as, except that i t
can be used anywhere on a command l i ne.
%DEFINE, wi thout any arguments, l i sts the current defi ni ti ons. To make a name nul l , use the %UNDEF
statement. To turn off al l macros i n a l i ne, use the % character; a % turns off macro expansi on from
the % character to the end of a l i ne.
Examples
The fol l owi ng statement i s a redefi ni ti on that wi l l substi tute al l future occurrences of the stri ng
bounds wi th the l i st of mi ns and maxs that fol l ow bounds.
%DEFINE BOUNDS XMIN=0.0 XMAX=5.0 YMIN=0.0 YMAX=20.0
The fol l owi ng statement al l ows you to exi t ATHENA wi th a Q i nstead of typi ng the QUIT statement:
%DEFINE Q QUIT
I f Q has been defi ned as i n the precedi ng exampl e (above), as a macro equal to QUIT, these three
expressi ons of Q wi l l be expanded:
ECHO ${Q} $Q Q
and the output wi l l echo as fol l ows:
QUIT QUIT QUIT
See Also: %UNDEF
DEPOSIT Statement Statements
SILVACO International 7-29
DEPOSIT
DEPOSIT deposi ts a l ayer of materi al .
Note: Unless the ELI TE module is used, all deposition steps in ATHENA are 100% conformal. This
means deposition on all surfaces with a step coverage of 1.0
Syntax
DEPOSIT [SILICON|GAAS|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|
TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST
ALGAAS|INGAAS|SIGE|INP
ALUMINUM|BARRIER][THICK=<n>][NAME.RESIST=<c>][MATERIAL=<c>]
[DIVISIONS=<n>][SPACES=<n>][DY=<n>][YDY=<n>][MIN.DY=<n>]
[C.ANTIMONY=<n>][C.ARSENIC=<n>]
[C.BORON=<n>][C.PHOSPHOR=<n>][C.SILICON=<n>][C.GOLD=<n>]
[C.GERMANIUM=<n>][C.ZINC=<n>][C.SELENIUM=<n>][C.BERYLLIUM=<n>]
[C.MAGNESIUM=<n>][C.CHROMIUM=<n>][C.ALUMINUM=<n>][C.GALLIUM=<n>]
[C.CARBON=<n>]
[MIN.SPACE=<n>][MACHINE=<c>][TIME=<n>]HOURS|MINUTES|SECONDS
[N.PARTICLE=<n>][OUTFILE=<c>][TEMPERATURE][C.FRAC=<n>][C.FINAL=<n>]
[SUBSTEPS=<n>]
Description
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, BARRIER,
TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, PHOTORESIST, ALGAAS,
INGAAS, SIGE, INP and MATERIAL speci fy the materi al to be deposi ted. The MATERIAL=<c>
parameter shoul d onl y be used for user-defi ned materi al s.
NAME.RESIST speci fi es the type of photoresi st.
THICKNESS speci fi es the deposi ted l ayer thi ckness i n mi crons.
Grid Control Parameters
DIVISIONS speci fi es the number of verti cal gri d spaci ngs i n the l ayer. I n some cases i t i s i mportant to
control the number of gri d poi nts i n a conformal l y deposi ted l ayer si nce thi s al so control s the accuracy
of subsequent processes. SPACES i s an al i as for DIVISIONS.
Note: The default for DIVISIONS is 1. This will typically need to be increased for all deposition steps.
If DIVISIONS is set too low to maintain grid integrity in a non-planar deposition ATHENA will attempt to
recover by increasing DIVISIONS automatically. ATHENA will echo the number of DIVISIONS finally
ATHENA Users Manual DEPOSIT Statement
7-30 SILVACO International
used to the run-time output. It is highly recommended that users edit the input file to include the number
calculated by ATHENA.
MIN.SPACE speci fi es a mi ni mum spaci ng between poi nts on the surface of each sub-l ayer i n mi crons.
I ncreasi ng thi s parameter wi l l reduce the number of poi nts on arced deposi ted surfaces.
DY speci fi es the nomi nal spaci ng i n the l ayer.Uni ts are mi crons
YDY speci fi es the depth at whi ch the nomi nal spaci ng wi l l be appl i ed. YDY i s cal cul ated rel ati ve to the
top of the newl y deposi ted l ayer.
MIN.DY speci fi es the mi ni mum spaci ng i n mi crons al l owed between gri d l i nes i n the y di recti on i n the
new materi al . The defaul t i s 0.001 mi crons (10 Angstroms).
Parameters specific to Depositing Doped Layers
C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,
C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,
C.ALUMINUM, C.GALLIUM and C.CARBON speci fy the concentrati on of the i mpuri ty i n the
deposi ted l ayer i n cm
-3
. More than one of these parameters can be used i n a si ngl e deposi ti on l i ne to
defi ne materi al s doped wi th mul ti pl e i mpuri ti es (eg. BPSG).
C.FRAC speci fi es the fracti onal components of the el ements for compound materi al s.
C.FINAL used wi th C.FRAC to speci fy a l i nearl y graded vari ati on i n the fracti onal components.
C.FRAC speci fi es the fracti onal component of the fi rst el ement (i .e for AlGaAs Al i s the fi rst
component) at the bottom of the deposi t and C.FINAL speci fi es the fr acti onal component of the fi r st
el ement at the top of the deposi t. The fr acti onal component of the second component (i .e. for ALGAAS
Ga i s the second component) i s 1 - C.FRAC and 1 - C.FINAL.
Parameters specific to ELITE depositions
TIME the ti me the machi ne i s to be run i f performi ng deposi t usi ng an ELITE machi ne defi ni ti on.
HOURS, MINUTES, and SECONDS speci fi es the uni ts of the TIME parameter.
MACHINE i s the name of the machi ne to be run for ELITE deposi ts.
TEMPERATURE i s for model i ng surface di ffusi on i n ELITE deposi ts.
N.PARTICLE speci fi es the number of parti cl e trajectori es to cal cul ate for the Monte Carl o deposi t
model .
OUTFILE speci fi es the name of the fi l e to be wri tten wi th Monte Carl o parti cl e posi ti ons.
SUBSTEPS speci fi es the number of ti mesteps made for each di vi si on of the deposi t i n the ELITE
modul e.
Conformal Deposition Example
The fol l owi ng statement deposi ts a conformal l ayer of si l i con di oxi de, 1000 Angstroms thi ck, on the
surface of the si mul ati on structure. I t wi l l contai n 4 verti cal gri d poi nts.
DEPOSIT OXIDE THICK=0.1 DIVISIONS=4
DEPOSIT Statement Statements
SILVACO International 7-31
Example Depositing Doped User-defined Material
The fol l owi ng deposi ts a l ayer of a user defi ned materi al BPSG doped wi th boron and phos phorus
DEPOSIT MATERIAL=BPSG THICKNESS=0.1 DIV=6 C.BORON=1e20 C.PHOS=1e20
Grid Control Example
The fol l owi ng statement deposi ts a conformal l ayer of si l i con ni tri de wi th a thi ckness of 0.3m. The
gri d spaci ng at the bottom of the l ayer i s 0.01m and the l ayer wi l l i ncl ude 10 verti cal subl ayers.
DEPOSIT NITRIDE THICK=0.3 DY=0.1 YDY=0.3 DIVIS=10
ELITE Machine Deposition Example
The fol l owi ng statements defi ne a machi ne named MOCVD and use i t to deposi t tungsten wi th a
thi ckness of 0.1m on pl anar ar eas and step cover age of 0.75.
RATE.DEPO MACHINE=MOCVD DEP.RATE=.1 u.m STEP.COV=.75 TUNGSTEN
DEPOSIT MACHINE=MOCVD TIME=1 MINUTE
See Also: RATE.DEPO
ATHENA Users Manual DEVELOP Statement
7-32 SILVACO International
DEVELOP
DEVELOP runs the devel opment modul e i n OPTOLI TH.
Syntax
DEVELOP [MACK|DILL|TREFONAS|HIRAI|KIM|EIB]
[DUMP=<n>][DUMP.PREFIX=<c>]
[TIME=<n>][STEPS=<n>][SUBSTEPS=<n>]
Description
Thi s command runs the devel opment modul e and enabl es the use of the opti on to sel ect a devel opment
model .
MACK, DILL, TREFONAS, HIRAI, KIM and EIB speci fy the devel opment model to be used.
DUMP determi nes whether a structure i s saved after each step of the devel opment i s compl eted.
DUMP.PREFIX speci fi es the pr efi x name for the str uctur e fi l e to be saved.
DUMP and DUMP.PREFIX speci fy that a structure fi l e be output at every devel opment step. The
number of steps wi l l be equal to the number of output fi l es. The fi l es are readabl e wi th the
STRUCTURE statement or can be di spl ayed usi ng TONYPLOT. The names of the fi l es wi l l be of the
form DUMP.PREFIX***.***.str. Where ** i s the current devel opment ti me.
TIME speci fi es the devel opment ti me i n seconds.
STEPS speci fi es the number of ti mesteps used i n the devel opment. The ETCH al gori thm i s cal l ed for
each step.
SUBSTEPS speci fi es the number of ti mesteps used between etches i n the devel opment.
TIME, STEPS, and SUBSTEPS are rel ated parameters that control the stri ng al gori thm i n
devel opment. TIME i s the total devel opment ti me i n seconds. STEPS gi ves the number of ti mes ETCH i s
to be performed. SUBSTEPS control s stri ng movement. Each substep or stri ng movement has a ti me
durati on of TIME/STEP*SUBSTEPS.
Examples
The fol l owi ng exampl e exampl e dumps out fi ve structure fi l es to show the evol uti on of devel opment
usi ng the KIM devel opment model .
DEVELOP KIM DUMP=1 TIME=60 STEPS=5
See Also: RATE.DEVELOP
DIFFUSE Statement Statements
SILVACO International 7-33
DIFFUSE
DIFFUSE runs a ti me temperature step on the wafer and cal cul ates oxi dati on, si l i ci dati on and
di ffusi on of i mpuri ti es.
Syntax
DIFFUSE TIME=<n> TEMPERATURE=<n>
DRYO2|WETO2NITROGEN|AMMONIA|ARGON|
[F.02=<n>|F.H2=<n>|F.H20=<n>|F.N2=<n>|F.HCL=<n>]
[C.ANTIMONY=<n>][C.ARSENIC=<n>][C.BORON=<n>
[C.PHOSPHORUS=<n>][C.SILICON=<n>][C.GOLD=<n>][C.GERMANIUM=<n>
[C.ZINC=<n>][C.SELENIUM=<n>][C.BERYLLIUM=<n>][C.MAGNESIUM=<n>
[C.CHROMIUM=<n>][C.ALUMINUM=<n>][C.GALLIUM=<n>][C.CARBON=<n>]
[PRESSURE=<n>][CONTINUE]
[MOVIE=<c>][DUMP.PREFIX=<c>]
[T.FINAL=<n>][T.RATE=<n>][HCL.PC]
[NO.DIFF][DUMP][DUMP.PREFIX][REFLOW]
[P.DIF.COEF=<c>][AS.DIF.COEF=<c>][SB.DIF.COEF=<c>][B.DIF.COEF=<c>]
[I.DIF.COEF=<c>][V.DIF.COEF=<c>]
[P.SEG.CALC=<c>][AS.SEG.CALC=<c>][SB.SEG.CALC=<c>][B.SEG.CALC=<c>]
[P.ACT.CALC=<c>][AS.ACT.CALC=<c>][SB.ACT.CALC=<c>][B.ACT.CALC=<c>]
Description
Thi s command peci fi es di ffusi on or oxi dati on steps. Any i mpuri ti es present i n the wafer are di ffused. I f
the wafer i s exposed to a gas, a pre-deposi ti on or oxi dati on can be performed.
The oxi dati on and di ffusi on parameters are contai ned i n the associ ated METHOD or OXIDE statements.
Defaul t coeffi ci ents are i n the ATHENAMOD fi l e avai l abl e from the Deckbuild Commands menu under
Models... To change model coeffi ci ents, refer to the appropri ate IMPURITY statement for i nformati on.
Parameter to define the Diffusion Cycle
TEMPERATURE speci fi es the furnace temperature, i n
o
C. Thi s temperature shoul d fal l wi thi n the
range between 800 and 1200
o
C. Outsi de of thi s range, the di ffusi on coeffi ci ents may be i naccurate and
numeri cal di ffi cul ti es may occur duri ng si mul ati on.
TIME speci fi es the amount of ti me for the di ffusi on step i n mi nutes.
T.FINAL speci fi es the fi nal temperature for ramped thermal steps.
T.RATE speci fi es the ramp rate i n
o
C/mi nute for ramped thermal steps.
Parameters to define the Diffusion Ambient
DRYO2, WETO2, NITROGEN, AMMONIA, and ARGON are swi tched Bool ean val ues of gas types
that al l ow you to speci fy the gas present i n the furnace duri ng the di ffusi on step. These gas types are
not affected by the GAS.CONC parameter. Onl y one gas type may be speci fi ed per di ffusi on step. There
i s currentl y no di fference between ni trogen, argon, and ammoni a.
ATHENA Users Manual DIFFUSE Statement
7-34 SILVACO International
HCL.PC speci fi es the percentage of HCl i n the oxi dant gas stream.
F.02, F.H2, F.H20, F.N2, and F.HCL speci fi es the r el ati ve fl ow r ate of the components of oxygen,
hydrogen, water, ni trogen, and HCl i n the ambi ent. I f these parameter s are used the DRYO2, WETO2,
NITROGEN set or HCL.PC shoul d not be speci fi ed.
PRESSURE i s the parti al pressure of the acti ve speci es, i n atmospheres. I t defaul ts to 1 for both wet
and dry oxi dati on.
C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,
C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,
C.ALUMINUM, C.GALLIUM descri bes the i mpuri ti es i n the di ffusi on ambi ent gas i n atoms/
cm
3
.Mul ti pl e i mpuri ty parameters can be defi ned for ambi ents wi th mul ti pl e i mpuri ti es.
Numerical Parameters
CONTINUE speci fi es a conti nui ng di ffusi on step usi ng data from a previ ous DIFFUSE statement..
Speci fyi ng thi s parameter wi l l prevent the ti mestep si ze from bei ng reset. This parameter should only
be used with extreme caution. I t shoul d be used onl y i f the same ambi ent i s present for both di ffusi on
statements.
Parameters related to File Output
DUMP and DUMP.PREFIX speci fy that a structure fi l e be output at every DUMPth ti me step. The
fi l es are readabl e wi th the STRUCTURE statement or can be di spl ayed usi ng TONYPLOT. The names wi l l
be of the form DUMP.PREFIX<time>.str, where <time> i s the current total ti me of the si mul ati on
i n mi nutes.
Parameter to select Diffusion Behavior
NO.DIFF speci fi es that i mpuri ty di ffusi on be negl ected duri ng the cal cul ati on. Thi s can be used
observe oxi dati on or si l i ci dati on geometry wi thout unnecessary ti mesteps rel ated to i mpuri ty di ffusi on
REFLOW speci fi es that a surface tensi on based refl ow of the materi al i s to be performed.
Parameters used in the C-Interpreter Interface
P.DIF.COEF, AS.DIF.COEF, SB.DIF.COEF, B.DIF.COEF, I.DIF.COEF and V.DIF.COEF speci fi es
fi l enames to be read by the C I nterpreter. These are used for changi ng the di ffusi on coeffi ci ent
cal cul ati on for phosphorus, arseni c, anti mony, boron, i ntersti ti al and vacancy di ffusi on coeffi ci ents
respecti vel y. The l atter two are onl y appl i cabl e for the advanced di ffusi on model s. The fi l e name for
model substi tuti on i s set on the DIFFUSE statement wi th the stri ng parameter P.DIF.COEF.
P.SEG.CALC, AS.SEG.CALC, SB.SEG.CALC and B.SEG.CALC speci fi es fi l enames to be read by
the C I nterpreter. These are used for changi ng the segregati on cal cul ati on for phosphorus, arseni c,
anti mony, and boron respecti vel y. For the segregati on cal cul ati on the fi l e name for model substi tuti on
i s set on the DIFFUSE statement wi th the stri ng parameter P.SEG.CALC.
P.ACT.CALC, AS.ACT.CALC, SB.ACT.CALC and B.ACT.CALC speci fi es fi l enames to be read by
the C I nterpreter. These are used for changi ng the acti vati on cal cul ati on for phosphorus, arseni c,
anti mony, and boron respecti vel y. For the acti vati on cal cul ati on the fi l e name for model substi tuti on i s
set on the DIFFUSE statement wi th the stri ng parameter P.ACT.CALC.
DIFFUSE Statement Statements
SILVACO International 7-35
Predeposition Example
The fol l owi ng statement speci fi es a 1000 degree, 30 mi nute bor on pre-deposi ti on.
DIFFUSE TIME=30 TEMP=1000 C.BORON=1.0E20
Oxidation Example
The fol l owi ng statement i nstructs the si mul ator to grow oxi de for 30 mi nutes i n a dry oxygen ambi ent.
DIFFUSE TIME=30 TEMP=1000 DRYO2
Gas Flow Example
The fol l owi ng command performs di ffusi on wi th a mi xed ambi ent wi th rel ati ve components of oxygen,
hydrogen, and HC1 of 10, 10, and .1 respecti vel y.
DIFFUSE TIME=10 TEMP=1000 F.02=10 F.H2=10 F.HCl=.1
Hydrogen and Oxygen are combi ned i n a rati o 2:1 to form the ambi ent WETO2. Any excess hydrogen
i s consi dered i nert. Any excess oxygen i s consi dered as the ambi ent DRYO2. Si nce the total pressure of
the gas fl ow i s defi ned (or defaul ts to one atmosphere) the parti al pressure of WETO2 wi l l be reduced
i f any excess hydrogen or oxygen i s present.
File Output Example
The fol l owi ng commands perform di ffusi on i n dry oxygen ambi ent for 30 mi nutes at 1000
o
C. After
every second ti mestep a structure fi l e i s wri tten wi th a name prefi x TEST. Fol l owi ng the di ffusi on the
TONYPLOT statement pl ots each ti mestep output fi l e i n a manner sui tabl e for creati ng a di ffusi on movi e.
A SYSTEM command i s used to execute a UNI X command pri or to the di ffusi on step to remove al l
TEST*.str fi l es fr om previ ous r uns.
SYSTEM rm -rf TEST*.str
DIFFUSE TIME=30 TEMP=1000 DRYO2 DUMP=2 DUMP.PREFIX=TEST
TONYPLOT -st TEST*.str
See Also: IMPURITY, INTERST, MATERIAL, METHOD, OXIDE, TRAP, VACANCY
ATHENA Users Manual DISLOC.LOOP Statement
7-36 SILVACO International
DISLOC.LOOP
DI SLOC.LOOP defi nes the scal i ng parameters and posi ti on of di sl ocati on l oops.
Syntax
DISLOC.LOOP MIN.LOOP=<n> MAX.LOOP=<n>
BORON | ARSENIC | ANTIMONY | INDIUM | PHOSPHORUS
Description
Thi s statement descri bes the posi ti on of di sl ocati on l oops wi th respect to a subsequent i mpl anted
dopant profi l e. Thi s statement wi l l onl y take effect i f the di sl ocati on l oop model has been swi tched on
wi th the command METHOD I.LOOP.SINK
Di sl ocati on l oops are used as i ntersti ti al si nks whose recombi nati on rate may be determi ned wi th the
INTERSTITIAL DAMALPHA=<n> command.
MIN.LOOP and MAX.LOOP defi ne the upper and l ower bounds of the dopant concentrati ons where
the l oops are pl aced.
BORON, INDIUM, ARSENIC, ANTIMONY, PHOSPHORUS fl ags defi ne whi ch dopant the
di sl ocati on l oop concentrati on wi l l be scal ed to.
Dislocation Loop Generation Example
The fol l owi ng exampl e swi tches on the l oop model and then pl aces l oops i n the posi ti on where i ndi um
concentrati ons l i e between 1e16 and 1e15 cm-3.
METHOD I.LOOP.SINK
DISLOC.LOOP MIN.LOOP=1e15 MAX.LOOP=1e16 INDIUM
IMPLANT INDIUM DOSE=1e15 ENERGY=45
See also: METHOD, CLUSTER, INTERSTITIAL, VACANCY, DIFFUSE, IMPLANT
ECHO Statement Statements
SILVACO International 7-37
ECHO
ECHO speci fi es a stri ng pri nter and desk cal cul ator.
Syntax
ECHO <string>
Description
The ECHO statement merel y pri nts the stri ng gi ven to i t. Thi s i s useful for pl aci ng comments i n an
output fi l e. The statement attempts to parse the stri ng to a l egal real number i f possi bl e. I t has a
regul ar expressi on parser bui l t-i n. Thi s al l ows ECHO to be used as a desk cal cul ator.
Examples
The fol l owi ng command wi l l send the stri ng Athena I s My Favori te Process Si mul ator to standard
output.
ECHO Athena Is My Favorite Process Simulator
The fol l owi ng command wi l l pri nt 4096.
ECHO (2^3^4)
The fol l owi ng command wi l l pr i nt 8.373, the sol uti on to the ari thmeti c expr essi on.
ECHO ( 15.0 - 12.0 * EXP( 4.0 - 2.0 / 6.0 ) )
ATHENA Users Manual ELECTRODE Statement
7-38 SILVACO International
ELECTRODE
ELECTRODE defi nes el ectrodes and names for ATLAS or other devi ce si mul ati on.
Syntax
ELECTRODE NAME=<c> [X=<n> Y=<n> | BACKSIDE | LEFT | RIGHT]
Description
Thi s statement defi nes a whol e materi al regi on as an el ectrode.
NAME gi ves a name to the el ectrode that can be pl otted or referenced i n TONYPLOT or ATLAS.
Note: ATLAS contains syntax that makes use of the common electrical names for highly preferred
terminals. These are anode, cathode, emitter, base, collector, gate, source, drain, bulk and
substrate.
LEFT speci fi es that the top l eft regi on of the structure wi l l be defi ned as an el ectrode.
RIGHT speci fi es that the top ri ght regi on of the structure wi l l be defi ned as an el ectrode.
BACKSIDE speci fi es that a fl at (zero hei ght) el ectrode wi l l be pl aced on the bottom of the si mul ati on
structure. Thi s i s the one excepti on to whol e regi ons bei ng defi ned as el ectrodes. I f a metal regi on i s
present on the bottom of the structure thi s parameter shoul d not be used and the XY coordi nates used
i nstead.
X speci fi es the hori zontal l ocati on or x-coordi nate of the regi on whi ch wi l l be defi ned as an el ectrode.
Y speci fi es the verti cal l ocati on or y-coordi nate of the el ectrode bei ng defi ned. I f no val ue of Y i s
speci fi ed, the top of the structure i s assumed.
Metal Region Electrode Definition Example
The fol l owi ng gi ves the name source to the metal or pol ysi l i con regi on at l ocati on x=1 mi cron on the
top of the current structure.
ELECTRODE X=1.0 NAME=SOURCE
Substrate Definition Example
The fol l owi ng gi ves the name well to a fl at el ectrode al ong the bottom edge of the current structure
There i s no metal requi red at thi s l ocati on.
ELECTRODE BACKSIDE NAME=WELL
See Also: STRUCTURE
EPITAXY Statement Statements
SILVACO International 7-39
EPITAXY
EPI TAXY speci fi es an epi taxi al deposi ti on pr ocess step.
Syntax
EPITAXY TEMP=<n>[PRESS=<n>][T.FINAL=<n>][PRESSURE=<n>]
[C.ANTIMONY][C.ARSENIC][C.BORON][C.PHOSPHOR][C.SILICON=<n>]
[C.GOLD=<n>][C.GERMANIUM=<n>][C.ZINC=<n>][C.SELENIUM=<n>]
[C.CARBON=<n>][C.GALLIUM=<n>]
[C.BERYLLIUM=<n>][C.MAGNESIUM=<n>][C.CHROMIUM=<n>][C.ALUMINUM=<n>]
[TIME=<n>][THICKNESS=<n>][RATE=<n>][DIVISIONS=<n>]
[DY=<n>][MIN.DY=<n>][YDY=<n>]
Description
Thi s statement si mul ates the epi taxi al deposi ti on of si l i con. Thi s model i s l i mi ted to si l i con on si l i con
appl i cati ons and shoul d not be used when other materi al s are present. The model i s i nherentl y 1D and
so i t i s not sui tabl e for sel ecti ve epi taxi al deposi ti on processes.
TEMP defi nes the temperature of the epi taxi al deposi ti on.
TIME, THICKNESS, and RATE speci fy the parameters of the epi taxi al process. TIME speci fi es the
ti me i n mi nutes. THICKNESS speci fi es the thi ckness i n mi crons. RATE speci fi es the growth rate i n
mi crons/mi nute. Rather than bei ng trul y mutual l y excl usi ve, two of these commands must be used to
uni quel y i denti fy both the thi ckness and the temperatureti me cycl e.
PRESS defi nes the pressure of the epi taxi al deposi ti on process. Defaul t i s 1 atmosphere.
T.FINAL speci fi es the fi nal temperature for ramped epi taxi al steps.
Doping Related Parameters
C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,
C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,
C.ALUMINUM, C.CARBON and C.GALLIUM speci fy the concentrati on of i ndi vi dual i mpuri ti es
per cubi c centi meter i n the epi taxi al l ayer.Mul ti pl e i mpuri ty statements can be used
Gridding Parameters
DIVISIONS control s the number of verti cal gri d poi nts i n the resul ti ng epi taxi al l ayer. Thi s i s an
opti onal parameter si nce i t wi l l be generated automati cal l y by defaul t and i s rel ated to the surface
gri d spaci ng of the ori gi nal si mul ati on structure before epi taxy.
DY speci fi es the nomi nal spaci ng i n mi crons i n the epi taxi al l ayer.
YDY speci fi es the depth at whi ch the nomi nal spaci ng wi l l be appl i ed. YDY i s cal cul ated rel ati ve to the
top of the newl y grown epi taxi al l ayer.
MIN.DY speci fi es the mi ni mum spaci ng al l owed between gri d l i nes i n the y di recti on i n the new
materi al . The defaul t i s 0.001 mi crons (10 Angstroms).
Deposition Rate Example
The fol l owi ng statement wi l l si mul ate the growth of boron doped si l i con on top of si l i con at a rate of 1
m per mi nute. The deposi t thi ckness i s ti me x rate=10 mi crons.
ATHENA Users Manual EPITAXY Statement
7-40 SILVACO International
EPITAXY TIME=10 TEMP=1150 C.BORON=5E14 RATE=1
Time and Temperature Example
The fol l owi ng statement wi l l deposi t 6m of epi taxi al si l i con on top of si l i con over 10 mi nutes.
Phosphorus i s out-di ffused duri ng the processi ng. The number of verti cal gri d poi nts i n the compl eted
epi taxy l ayer i s set wi th the DIVISIONS parameter. The syntax i s si mi l i ar to that of the DEPOSIT
statement:
EPITAXY THICK=6 TIME=10 TEMP=1180 C.PHOS=1.5E14 DIVISIONS=20
Non-uniform Grid Control Example
The fol l owi ng statement performs epi taxy wi th a non-uni form verti cal gri d spaci ng. The verti cal gri d
spaci ng wi l l be .5 m at a di stance of 5 m bel ow the fi nal surface. The epi taxi al l ayer wi l l be
subdi vi ded i nto 40 subl ayers:
EPITAXY THICK=10 TIME=30 TEMP=1100 DY=.5 YDY=5.0 DIVISIONS=40
ETCH Statement Statements
SILVACO International 7-41
ETCH
ETCH - si mul ates an etch process.
Note: ATHENA provides two different etch simulation methods. The first is geometrical etching
available within any ATHENA module, the second is physical etching available only in ELI TE .
Syntax
ETCH SILICON|GAAS|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|TUNGSTEN|
TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST|ALGAAS|INGAAS|SIGE
INP|ALUMIN|BARRIER|INDIUM|MATERIAL=<c>
[LEFT|RIGHT|ABOVE|BELOW|START| CONTINUE|DONE|DRY|ALL][X=<n>]
[Y=<n>][THICK=<n>][P1.X=<n>][P1.Y=<n>] [P2.X=<n>][P2.Y=<n>]
[INFILE=<c>][TOP.LAYER][NOEXPOSE]
[MACHINE=<c>][TIME=<n>][HOURS|MINUTES|SECONDS]
[DT.FACT=<n>] [DT.MAX=<n>][DX.MULT=<n>]
[MC.REDEPO] [MC.SMOOTH = <n>] [MC.DT.FACT = <n>] {MC.MODFNAME = <c>]
Description
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, BARRIER, GAAS, PHOTORESIST, ALGAAS,
INGAAS, SIGE, INP and MATERIAL speci fy the materi al to be etched. I f a materi al i s speci fi ed,
onl y that materi al i s etched even i f other materi al s l i e wi thi n the etch regi on. I f no materi al i s
speci fi ed, al l materi al s i n the etch regi on are removed.The MATERIAL=<c> parameter shoul d onl y be
used for user-defi ned materi al s.
Parameters used for Geometrical Etching.
ALL speci fi es that al l of the speci fi ed materi al i s removed.
DRY i ndi cates that the resul ti ng surface wi l l repl i cate the exposed surface and wi l l si mpl y be l owered
by a fi xed depth of THICKNESS mi crons bel ow the exposed surface.
THICKNESS speci fi es the thi ckness to be etched for the dr y etch type.
INFILE speci fi es that the etch profi l e wi l l be taken from the fi l ename speci fi ed by the INFILE
parameter. The speci fi ed fi l e must have the fol l owi ng format:
X
1
,Y
1
X
2
,Y
2
X
3
,Y
3
...
X
n
,Y
n
ATHENA Users Manual ETCH Statement
7-42 SILVACO International
Thi s wi l l etch the regi on encl osed by the boundary coordi nates wi thi n the fi l e. Any number of
coordi nates may be defi ned wi thi n the fi l e. Thi s command i s often useful for i nputti ng data from
di gi ti zed experi mental profi l es or external programs. The cl osi ng l i ne i s automati cal l y drawn from the
fi nal coordi nate poi nt to the i ni ti al poi nt.
LEFT, RIGHT, ABOVE, and BELOW provi de a qui ck means of etchi ng wi th a trapezoi dal cross
secti on. The etch regi on wi l l be to the speci fi ed si de (l eft/ri ght/above/bel ow) of the l i ne speci fi ed by the
coordi nates gi ven i n P1.X, P1.Y and P2.X, P2.Y.
P1.X, P1.Y, P2.X, and P2.Y al l ow the speci fi cati on of a l i ne for left/right/above/below
etchi ng.The P1 parameters are al ways requi red i f left/right/above/below are used. The P2
parameters are requi red when the etch angl e i s non-verti cal .
START, CONTINUE, and DONE speci fy an arbi trari l y compl ex regi on to be etched. Several l i nes
can be combi ned to speci fy the several poi nts that make up the regi on. See the exampl es secti on bel ow.
X and Y speci fy a poi nt i n the start/continue/done mode of etch regi on speci fi cati on.
TOP.LAYER speci fi es that onl y the top l ayer of the etched mater i al shoul d be etched.
NOEXPOSE speci fi es that the new surface i s not exposed for subsequent oxi dati on or deposi ti on after
geometri cal etch. Thi s parameter shoul d be used for removi ng a part of the structure from the bottom
or si de of si mul ati on.
Parameters used only with physical etching in the ELITE module.
MACHINE i s the name of the machi ne that i s to be run.
TIME i s the ti me the machi ne i s to be run.
HOURS, MINUTES, and SECONDS speci fi es the uni ts of the TIME parameter.
Parameters used only with RIE, WET.ETCH, and PLASMA models.
DT.FACT i s used wi th ELITE type etch cal cul ati ons. By defaul t, the movement of a stri ng node i s
l i mi ted to l ess than or equal to one quarter of the medi an segment l ength. Thi s i s a good compromi se
between si mul ati on speed and the danger of l oop formati on. The opti mi zati on factor DT.FACT must
not exceed .5, but can be decreased i f necessary for more accuracy.
DT.MAX i s used to l i mi t ti mesteps si ze. By defaul t, the upper l i mi t for the maxi mum ti mestep i s one
tenth of the total etch ti me speci fi ed. Thi s i s a good compromi se between cal cul ati on accuracy and
cal cul ati on ti me. However, someti mes i t i s useful to adapt thi s val ue to the speci fi c si mul ati on
probl em. Al l owi ng the ti me steps to become greater gi ves a hi gher si mul ati on speed but the accuracy
may suffer. For smal l er ti me steps the si mul ati on speed wi l l decrease but the accuracy may be greater.
DX.MULT i s the accuracy mul ti pl i er for ELITE etches. The di screti zati on si ze used for the etch
cal cul ati on wi l l be mul ti pl i ed by DX.MULT. For i mproved accuracy at the cost of extra si mul ati on ti me,
decrease the val ue of DX.MULT.
Parameters used only with MC.PLASMA model.
MC.REDEPO speci fi es that redeposi ti on of pol ymer shoul d be si mul ated. Defaul t i s true.
MC.SMOOTH speci fi es l evel of smoothi ng of the sur face.
MC.DT.FACT speci fi es ti me step control for Monte Carl o etchi ng and redeposi ti on.
MC.MODFILE speci fi es name of the C-I nterpreter fi l e wi th user-defi ned Monte Carl o etchi ng and
redeposi ti on model s.
ETCH Statement Statements
SILVACO International 7-43
Simple Geometrical Etch Example
The fol l owi ng command etches al l the ni tri de to the l eft of a verti cal l i ne l ocated at x=0.5:
ETCH NITRIDE LEFT P1.X=0.5
Arbitrary Geometrical Shape Etch Example
The fol l owi ng set of commands etch the oxi de i n the square defi ned at (0,0), (1,0), (1,1), and (0,1):
ETCH OXIDE START X=0.0 Y=0.0
ETCH CONTINUE X=1.0 Y=0.0
ETCH CONTINUE X=1.0 Y=1.0
ETCH DONE X=0.0 Y=1.0
Care shoul d be taken when usi ng thi s styl e of syntax that the l i st of coordi nates forms a regul ar
pol ygon. The cl osi ng l i ne from the l ast coordi nate pai r to the i ni ti al poi nt i s automati cal l y added.
Anisotropic Geometrical Etch Example
The fol l owi ng command fi nds the exposed surface and l owers i t strai ght down 0.1 mi crons; thi s l i ne
wi l l be the new surface:
ETCH DRY THICK=0.1
Physical Etch Example
The fol l owi ng sequence defi nes an etch machi ne named PLASMA1 that performs reacti ve i on etchi ng of
si l i con. The machi ne i s appl i ed to etch the current structure for 10 mi nutes:
RATE.ETCH MACHINE=PLASMA1 SILICON U.M RIE ISOTROPIC=0.1 DIRECT=0.9
ETCH MACHINE=PLASMA1 TIME=10 MINUTES
Note: The program can be sensitive to grid placement. It often helps to prepare the initial grid by
having a vertical grid line exactly at the etch coordinate for geometric etches.
See Also: RATE.ETCH
ATHENA Users Manual EXPOSE Statement
7-44 SILVACO International
EXPOSE
EXPOSE runs the exposure modul e of OPTOLI TH.
Syntax
EXPOSE [INFILE=<c>][PERPENDICUL|PARALLEL][X.CROSS|Z.CROSS]
[CROSS.VALUE=<n>][DOSE=<n>][X.ORIGIN=<n>
[NA=<n>][FLATNESS=<n>][NUM.REFL=<n>]
[FRONT.REFL=<n>][BACK.REFL=<n>][ALL.MATS=<n>]
[POWER.MIN=<n>][MULT.EXPOSE]
Description
Thi s command defi nes the parameters associ ated wi th and performs two di mensi onal exposure.
INFILE i s the name of an i nput fi l e that contai ns a user aeri al i mage cross secti on data fi l e.Thi s fi l e
has the form:
<wavelength in microns>
<number of data pairs>
<x location in structure> <relative image intenstity>
PERPENDICUL and PARALLEL speci fy TE mode or TM mode, respecti vel y. PERPENDI CULAR i s
the defaul t.
X.CROSS and Z.CROSS speci fy that the cross secti on i s paral l el to the x-axi s (z=constant) and
paral l el to the z-axi s (x=constant), respecti vel y. X.CROSS i s the defaul t.
CROSS.VALUE speci fi es the x or z coor di nates (i n mi cr ometer s) of the cr oss secti on of the aer i al
i mage. The defaul t wi l l be centered i n the i mage wi ndow.
DOSE speci fi es the exposur e dose i n mJ/cm
2
.
X.ORIGIN l ocates the beam rel ati ve (i n mi crometers) to the structure. Thi s al l ows the aeri al i mage to
be shi fted i f necessary. Zero i s the defaul t val ue.
FLATNESS speci fi es the accuracy of the change i n surface topography i n degrees. A val ue of zero
speci fi es that al l gri dpoi nts wi l l be cal cul ated. The defaul t val ue i s 0.25. I n any case the l i mi ts 0
FLATNESS 1 shoul d be mai ntai ned.
NUM.REFL speci fi es the number of refl ecti ons to be consi dered.
FRONT.REFL speci fi es that front surface refl ecti on shoul d be consi dered i n the cacl ul ati on. The
defaul t i s no front refl ecti on.
BACK.REFL speci fi es the back surface refl ecti on. The defaul t i s no back refl ecti on.
ALL.MATS speci fi es that i ntensi ty be di spl ayed i n al l materi al s. Defaul t i s photoresi st onl y.
NA = 0 speci fi es the use of the verti cal propagati on model . Otherwi se nonverti cal propagati on i s the
defaul t.
MULT.EXPOSE i s used to make mul ti pl e exposures. MULT.EXPOSE i s speci fi ed on the second
EXPOSE command for addi ti on of exposures. I f MULT.EXPOSE i s not speci fi ed previ ous exposures wi l l
be erased.
POWER.MIN sets the mi ni mum power accounted for i n mul ti pl e refl ecti ons. POWER.MIN i s used i n a
mul ti pl i cati ve format; i .e.; i f power attenuati on due to 10 refl ecti ons i s l ess than POWER.MIN i t wi l l not
be counted for cal cul ati on.
EXPOSE Statement Statements
SILVACO International 7-45
Examples
The fol l owi ng statement l oads a cross secti on of an aeri al i mage that can be i nput by the user. I t then
runs the exposure modul e. The number of refl ecti ons i ncreases cal cul ati on ti me when i t i s set to a
val ue greater than one:
EXPOSE INFILE=CROSS.SECT NUM.REFL=3
The fol l owi ng command runs the exposure modul e for the Z.CROSS secti on of a two di mensi onal aeri al
i mage that has been previ ousl y generated. The x val ue of the cross secti on i s 0.1:
EXPOSE Z.CROSS CROSS.VAL=0.1
Note: The DEFOCUS parameter on the IMAGE statement must be used to do defocus exposure
calculations. The image command must be used in conjunction with the expose command for a
defocussed bulk image.
See Also: INITIALIZE, IMAGE
ATHENA Users Manual EXTRACT Statement
7-46 SILVACO International
EXTRACT
EXTRACT - parameter extracti on command
The EXTRACT command i s used to anal yse the current structure or a previ ousl y saved fi l e. I t can
extract i mportant parameters such as materi al thi ckness, juncti on depth and peak dopi ng l evel s. I T
al so i ncl udes el ectri cal extracti ons such as sheet resi stance, threshol d vol tage and CV curves.
Note: The EXTRACT statement is supported under DECKBUI LD and is fully documented in the VWF
Interactive Tools Manual Volume I.
FOREACH Statement Statements
SILVACO International 7-47
FOREACH
FOREACH speci fi es the command l oopi ng faci l i ty.
Syntax
FOREACH(NAME)(LIST)
(COMMANDS)
END
Description
Thi s command i s used to speci fy i nput l oops. For i s equi val ent to FOREACH. As the l oop i s executed,
NAME wi l l consecuti vel y take on each val ue i n LIST and exi t the l oop after assumi ng the l ast val ue.
COMMANDS wi l l be executed once for each val ue i n LIST. NAME i s set to a val ue i n LIST usi ng the shel l
defi ne functi on. NAME may be changed through macro substi tuti on as previ ousl y di scussed under the
Addi ti onal Shel l Features secti on of Chapter 1.
LIST i s a set of stri ngs separated by commas or spaces. The val ues i n LIST may be del i mi ted by ei ther
commas or spaces. LIST may al so take the fol l owi ng numeri cal operator form:
START TO END STEP VAL
where START i s a numeri cal start val ue, END i s the l ast val ue, and VAL i s the amount to i ncrement at
each i terati on.
Examples
The fol l owi ng statement wi l l i ncrement val from 1.0 to 10.0 i n steps of 0.5. Thi s l oop wi l l be executed
19 ti mes.
FOREACH VAL ( 1.0 TO 10.0 STEP 0.5 )
ECHO VAL
END
Note: Command line continuation using the backslash character \ indicator is not supported in the
FOREACH statement.
Note: This statement is not supported within the VWF Automation Tools. The Automation Tools contain
aseparate and more powerful capabilities for defining input parameter variations.
See Also: SET
ATHENA Users Manual GO Statement
7-48 SILVACO International
GO
GO - starts the si mul ator. Each ATHENA i nput fi l e shoul d begi n wi th a GO statement.
Note: The GO command is executed by DECKBUI LD and documented in the VWF Interactive Tools
Manual Volume I
Examples
Two useful features of the GO command are shown here.
Thi s command i ni ti al i ses ATHENA wi th a speci fi ed versi on number
go athena simflags=-V 4.3.0.R
Thi s command i ni ti al i zes ATHENA wi th a model fi l e <install>/lib/athena/<version>/common/
athenamod.97a
go athena simflags=-modfile 97a
Note: If DECKBUI LD encounters a GO statement where neither the version or model file is changed,
ATHENA will not quit and restart.
HELP Statement Statements
SILVACO International 7-49
HELP
HELP defi nes onl i ne syntax i nformati on uti l i ty.
Syntax
HELP <command>
Description
HELP l i sts the parameters of the speci fi ed statement and provi des a short descri pti on of each. I f no
statement name i s gi ven, HELP l i sts al l statements and gi ves an i ntroductory hel p message.
Examples
The fol l owi ng wi l l pri nt a l i st of val i d ATHENA commands to the user s screen:
HELP
The fol l owi ng wi l l pri nt a descri pti on of the DIFFUSE command and i ts parameters:
HELP DIFFUSE
ATHENA Users Manual ILLUM.FILTER Statement
7-50 SILVACO International
ILLUM.FILTER
ILLUM.FILTER speci fi es the i l l umi nati on source shape and i l l umi nati on source fi l teri ng i n
OPTOLI TH..
Syntax
ILLUM.FILTER [CIRCLE|SQUARE|GAUSSIAN|ANTIGAUSS|SHRINC]
[GAMMA=<n>][RADIUS=<n>][ANGLE=<n>][SIGMA=<n>]
[IN.RADIUS=<n>][OUT.RADIUS=<n>][PHASE=<n>]
[TRANSMIT=<n>][CLEAR.FIL]
Description
Thi s statement speci fi es the fol l owi ng i l l umi nati on source opti ons as wel l as i l l umi nati on source
fi l teri ng.
CIRCLE, SQUARE, GAUSSIAN, ANTIGAUSS, and SHRINC defi ne or change the shape of the exi t
pupi l of the i l l umi nati on system. SHRINC can be used to defi ne the i l l umi nati on system onl y, not
annul ar fi l ters.
GAMMA defi nes or changes the GAMMA val ue for GAUSSIAN or ANTIGAUSS source transmi ttance.
GAMMA i s a parameter that defi nes the truncati on of the GAUSSI AN by the pupi l . I n the l i mi t of
GAMMA0, the source wi l l be uni form.
RADIUS speci fi es the radi us of a si ngl e source i f the SHRINC i l l umi nator concept i s speci fi ed. Thi s
parameter must be entered i n fracti ons of uni ty.
ANGLE speci fi es the angul ar l ocati on for the SHRINC i l l umi nator.
SIGMA defi nes or changes the fi l l i ng factor for the combi nati on of the i l l umi nati on and projecti on
systems. The val ue of SIGMA i s expected to vary, but i t wi l l not be reset. Al so speci fi es the radi us of a
si ngl e source i f the SHRINC i l l umi nator concept i s speci fi ed. Thi s parameter must be entered i n
fracti ons of uni ty (assumi ng a uni t pupi l radi us).
IN.RADIUS and OUT.RADIUS defi ne or change the i ntensi ty transmi ttance and phase
transmi ttance of an annul ar zone i nsi de the exi t pupi l of the i l l umi nati on system. Thi s qual i fi er i s
used to si mul ate spati al fi l teri ng techi ques. IN.RADIUS and OUT.RADIUS are used to defi ne an
annul ar zone i n the exi t pupi l havi ng the pupi l transmi ttance equal to TRANSMIT and produci ng the
phase angl e equal to PHASE. Radi us val ues are speci fi ed i n fracti ons of uni ty, and phase i s speci fi ed i n
degrees. Note that the annul ar zones shoul d not overl ap. The outer radi us of an i nner zone must be
smal l er than the i nner radi us of an outer zone.
PHASE speci fi es the phase shi ft i n degrees produced by the i l l umi nati on source fi l ter (-180
o
PHASE
180
o
).
TRANSMIT speci fi es the i ntensi ty transmi ttance produced by the i l l umi nati on fi l ter (0 TRANSMI T
1).
CLEAR.FIL resets the i l l umi nati on source fi l ter l i st.
ILLUM.FILTER Statement Statements
SILVACO International 7-51
Examples
The fol l owi ng exampl e defi nes a SHRINC i l l umi nati on source where the quadrupl e ci rcul ar
i l l umi nati on sources are l ocated at 45 to the x-axi s wi th the center at a r adi us of 0.2 fr om the or i gi n
and a ci rcl e radi us of SIGMA=0.2.
ILLUM.FILTER SHRINC RADIUS=.2 SIGMA=.2 ANGLE=45
See also: IMAGE, ILLUMINATION, PROJECTION, PUPIL.FILTER, ABERRATION, LAYOUT
ATHENA Users Manual ILLUMINATION Statement
7-52 SILVACO International
ILLUMINATION
ILLUMINATION speci fi es the basi c i l l umi nati on parameters i n OPTOLI TH.
Syntax
ILLUMINATION [I.LINE|G.LINE|H.LINE|DUV.LINE|
LAMBDA=<n>][X.TILT=<n>][Z.TILT=<n>]
[INTENSITY=<n>]
Descriptions
ILLUMINATION speci fi es the wavel ength and i ntensi ty of the sour ce and the X, Z ti l t of the opti cal
system.
I.LINE, G.LINE, H.LINE, and DUV.LINE speci fy the wavel ength of the i l l umi nati on to be used.
LAMBDA defi nes or changes the source wavel ength. Onl y monochromati c sources are assumed for
si mul ati on, that i s, onl y one wavel ength can be speci fi ed. The wavel ength i s expressed i n mi crons.
X.TILT and Z.TILT speci fy the ti l t of the i l l umi nati on system wi th respect to the opti cal axi s of the
pr ojecti on system. Al l val ues ar e to be enter ed i n degrees.
INTENSITY defi nes or changes the absol ute val ue (usual l y set to one) of the compl ex ampl i tude, i .e.,
the i ntensi ty i n the mask or reti cl e pl ane.
Examples
The fol l owi ng statement defi nes i .l i ne i l l umi nati on wi th X and Z ti l t of 0.1 and an i ntensi ty of 1.
ILLUMINATION I.LINE X.TILT=0.1 Z.TILT=0.1 INTENSITY=1
See Also: IMAGE, PROJECTION, ILLUM.FILTER, PUPIL.FILTER, ABERRATION, LAYOUT
IMAGE Statement Statements
SILVACO International 7-53
IMAGE
I MAGE cal cul ates a one or two di mensi onal aeri al i mage.
Syntax
IMAGE [OPAQUE|CLEAR][DEFOCUS=<n>][INFILE=<c>]
[WIN.X.LO=<n>][WIN.Z.LO=<n>]
[WIN.X.HI=<n>][WIN.Z.HI=<n>]
[DX=<n>][DZ=<n>][N.PUPIL=<n>]
[X.POINTS=<n>][Z.POINTS=<n>]
[ONE.DIM][MULT.IMAGE][X.CROSS|Z.CROSS]
Description
Thi s statement cal cul ates a 2D aeri al i mage and sets parameters that control the accuracy, i nput, and
output of the i magi ng modul e. The IMAGE statement accepts l ayout i nformati on created by
MaskViews.
INFILE i s the name of the mask data fi l e from MaskVi ews. I t contai ns coordi nates of rectangul ar
mask features as wel l as the transmi ttance and phase of each feature. Thi s fi l e name usual l y ends
wi th the extensi on .sec.
OPAQUE and CLEAR speci fy the type of mask to be used. The background wi l l be opaque i f OPAQUE
i s sel ected, whi l e the mask features wi l l be cl ear. The background wi l l be cl ear i f CLEAR i s sel ected, and
the mask features wi l l be opaque.
DEFOCUS i s a user speci fi ed defocus parameter (i n mi crometers). I f < 0, above the resi st. I f > 0,
bel ow the resi st surface.
WIN.X.LO, WIN.X.HI, WIN.Z.LO, and WIN.Z.HI set the mi ni mum and maxi mum x and z val ues (i n
mi crometers) that defi ne the i mage wi ndow. I f unspeci fi ed, defaul t val ues from the mask fi l e wi l l be
used.
DX speci fi es the mesh resol uti on i n mi crometers for the i mage wi ndow i n x. I f DX i s not speci fi ed,
X.POINTS and Z.POINTS wi l l be used.
DZ speci fi es the mesh resol uti on i n mi crometers for the i mage wi ndow i n z. The defaul t i s DZ=DX.
X.POINTS and Z.POINTS are the number of x and z coordi nate poi nts i n the i mage wi ndow,
respecti vel y. These parameters are used onl y i f DX i s not speci fi ed. Defaul t val ue i s 10 for both
coordi nates.
N.PUPIL defi nes or changes the number of mesh poi nts i n the projector s exi t pupi l used i n i magi ng
si mul ati ons. The val ue of N.PUPI L sets the number of mesh poi nts al ong the exi t pupi l s radi us.
Larger val ues provi de better accuracy. The defaul t setti ng shoul d be adequate for accuracy. N.PUPIL
al so sets the si ze of the mask or i mage cel l for i magi ng si mul ati ons. Fi nal l y, N.PUPIL affects the
di screti sati on of the source. Thi s means that i f a very fi ne source di screti sati on i s requi red, N.PUPIL
shoul d be set to a l arger val ue. Note that computati on ti me grows l i nearl y wi th the number of pupi l
mesh poi nts and source poi nts used i n the si mul ati on.
MULT.IMAGE speci fi es that the preceedi ng and current i mages wi l l be added. Any number of i mages
may be added as l ong as the IMAGE command contai ns thi s bool ean.
X.CROSS and Z.CROSS speci fy i f the one di mensi onal i mage i s paral l el to the x-axi s or z-axi s,
respecti vel y.
ONE.DIM use a one di mensi onal i mage modul e that i mages a l i ne drawn across a 2D l ayout.. Thi s i s
the best method when the i mage wi l l be used for subsequent EXPOSE statements.
ATHENA Users Manual IMAGE Statement
7-54 SILVACO International
Examples
Thi s statement l oads a mask named MASK.SEC and speci fi es x resol uti on i n the i mage wi ndow of
DX=0.1 mi crometers. I t then runs the i magi ng modul e.
IMAGE INFILE=MASK.SEC DX=0.1
See Also: ILLUMINATION, PROJECTION,ILLUM.FILTER, PUPIL.FILTER, ABERRATION, LAYOUT, EXPOSE
IMPLANT Statement Statements
SILVACO International 7-55
IMPLANT
IMPLANT speci fi es an i on i mpl antati on process step.
Syntax
IMPLANT ANTIMONY|ARSENIC|BORON|BF2|PHOSPHORUS|SILICON|ZINC|SELENIUM|
MAGNESIUM|BERYLLIUM|CHROMIUM|ALUMINUM|GALLIUM|CARBON|GERMANIUM|INDIUM
[GAUSS|PEARSON|MONTE|FULL.LAT|BCA][ANY.PEARSON][CRYSTAL|AMORPHOUS]
DOSE=<n>ENERGY=<n>[TILT=<n>][ROTATION=<n>][FULLROTATIO][X.DISCR=<n>]
[PRINT.MOM][DAMAGE][PLUS.ONE|FREE.DAM]
[DAM.FACTOR=<h>|FREE.FACTOR=<n>] [MAX.DAMAGE=<n>]
[LAT.RATIO1][LAT.RATIO2][S.OXIDE=<n>]
[MATCH.DOSE|RP.SCALE|MAX.SCALE][SCALE.MOM]
[N.ION=<n>][MCSEED=<n>][TEMPERAT=<n>][THRESHOLD=<n>][SMOOTH=<n>]
[PERIODIC|REFLECT|VACUUM][REC.FRAC=<n>][BEAMWIDTH=<n>]
[HOBLER][PMAX.HOBLER=<n>][XNL.HOBLER=<n>][F.HOBLER=<n>]
[FLUORINE][TRAJECTOR=<n>][TRAJ.FILE=<c>][IMPCT.POINT=<n>]
Description
Thi s statement si mul ates i on i mpl antati on usi ng di fferent anal yti cal and Monte Carl o model s.
Model Selection Parameters.
GAUSS, PEARSON, FULL.LAT, MONTE, and BCA speci fy the i mpl ant model that i s bei ng used.
GAUSS sel ects a Gaussi an di stri buti on, PEARSON sel ects the Pearson-I V di stri buti on or where
avai l abl e dual Pearson-I V di stri buti ons. FULL.LAT i s a superset of Pearson that speci fi es ful l l ateral
moments wi l l be used. MONTE acti vates the Monte Carl o i mpl ant modul e. BCA acti vates the Bi nary
Col l i si on Approxi mati on Monte Carl o model . The model sel ecti on and avai l abi l i ty of parameters for al l
model s are descri bed i n Chapter.3. Defaul t i s PEARSON.
CRYSTAL and AMORPHOUS speci fy whether or not the si l i con l atti ce structure i s to be taken i nto
account duri ng i mpl ant steps. The statements are mutual l y excl usi ve and CRYSTAL i s true by defaul t.
For i mpl ants though thi ck screen materi al s i t i s often necessary for the user to expl i ci tl y speci fy
AMORPHOUS to avoi d i ncorrect channel i ng profi l es.
For anal yti cal i mpl ant model s, these parameters sel ect whi ch set of tabl es are used for
si l i con i mpl ant ranges. The CRYSTAL model uses the SVDP tabl es where avai l abl e and i s
the defaul t.
For MONTE or BCA model s these parameters control whether the cystal l i ne l atti ce structure
i s consi dered or not.
Parameters applicable for all implant models.
ALUMINUM, ANTIMONY, ARSENIC, BERYLLIUM, BF2, BORON, CHROMIUM, GALLIUM,
CARBON, GERMANIUM, INDIUM, MAGNESIUM, PHOSPHORUS, SELENIUM, SILICON,
and ZINC speci fy the i mpuri ty to be i mpl anted.
ATHENA Users Manual IMPLANT Statement
7-56 SILVACO International
DOSE speci fi es the dose of the i mpl ant. Dose i s cal cul ated i n a pl ane normal to the i mpl ant
di recti on.The uni ts are i n cm
-2
.
FULL.DOSE speci fi es that the i mpl anted dose i s adjusted to compensate for the ti l t angl e. Thi s type
of dose speci fi cati on i s often used for hi gh ti l t i mpl ants.
Adjusted Dose = DOSE/cos(TILT) .
ENERGY speci fi es the i mpl ant energy i n keV.
TILT speci fi es the ti l t wi th respect to the verti cal of the i mpl antati on i on beam. The uni ts are degrees.
The defaul t i s 7 degrees.
ROTATION speci fi es the angl e of r otati on of the i mpl ant r el ati ve to the pl ane of the si mul ati on. The
uni ts are degrees.The defaul t i s 30 degrees.
FULLROTATIO speci fi es that the i mpl ant be performed at al l rotati on angl es.
PLUS.ONE (al i as UNIT.DAMAGE or FREE.DAM), and DAM.FACTOR, (al i as FREE.FACTOR)
defi ne the i mpl ant damage cal cul ati on. UNIT.DAMAGE speci fi es that the i ntersti ti al profi l e shoul d be a
scal ed ver si on of the dopi ng pr ofi l e fr om the i mpl ant. DAM.FACTOR speci fi es the scal i ng factor to be
used for the UNIT.DAMAGE model . At an depth the i ntersti ti al concentrati on from the UNIT.DAMAGE
model wi l l equal to the i mpl anted i on concentrati on mul ti pl i ed by DAM.FACTOR. User defi ned i mpl ant
damage profi l es can al so be speci fi ed by a C-I nterpreter functi on (see MOMENTS statement).
PRINT.MOM pri nts out moments for al l i on/materi al combi nati ons used i n the anal yti cal model . I n
case of Monte Carl o si mul ati on i t pri nts out moments cal cul ated from the coordi nates of i on i n the
standard structure fi l e and can be extracted by the EXTRACT functi on
Parameters applicable only for analytical implant models.
X.DISCR speci fi es the wi dth of sl i ces al ong the di r ecti on of the i on beam used to cal cul ate the
i mpl anted profi l e. The val ue used i s scal ed rel ati ve to the l ateral straggl i ng of the current i mpl ant. By
defaul t, a sl i ce wi dth of between 0.1 and 0.2 of the average l ateral straggl e wi l l be used. Thi s
parameter al l ows the user to overri de the i nternal sel ecti on of di screti zati on al ong the i mpl ant front. I f
the val ue of X.DISCR i s decreased, si mul ati on accuracy wi l l i ncrease and si mul ati on ti me wi l l
i ncrease.
LAT.RATIO1 speci fi es a factor by whi ch al l l ateral standard devi ati ons for the fi rst Pearson
di stri buti on shoul d be mul ti pl i ed.Deaful t i s 1.0.
LAT.RATIO2 speci fi es a factor by whi ch al l l ateral standard devi ati ons for the second Pearson
di stri buti on woul d be mul ti pl i ed. Defaul t i s 0.2.
Note: The LAT.RATIO* parameters provide simple scaling of the default lateral straggle. The
MOMENTS statement should be used for more complete lateral standard deviation modifications.
S.OXIDE speci fi es screen oxi de parameter for the SVDP i mpl ant model . Defaul t i s 0.001 mi crons. The
scr een oxi de thi ckness i s not determi ned from the structure and must be speci fi ed by the user. See the
I mpl ant Model s secti on of Chapter 3 for more detai l s and the on-l i ne exampl es for how thi s parameter
can be set automati cal l y.
MATCH.DOSE, RP.SCALE (al i as RP.EFF), and MAX.SCALE speci fy the method for i mpl ant
cal cul ati ons i n mul ti -materi al structures (see Mul ti -materi al Scal i ng Techni ques i n the I mpl ant
Model s secti on). Defaul t i s MATCH.DOSE.
SCALE.MOM speci fi es that moment scal i ng al gori thm to be used wi th sel ected mul ti l ayer i mpl ant
model .
IMPLANT Statement Statements
SILVACO International 7-57
ANY.PEARSON speci fi es no restri cti ons on the combi nati ons of al l owed skewness and kurtosi s. Thi s
i s true by defaul t as requi red for the SVDP model s. See the I mpl ant Model s secti on for detai l s on
potenti al probl ems wi th thi s setti ng. ATHENA versi ons earl i er than 4.0 had thi s parameter set to
fal se by defaul t.
Parameters Applicable for Both Monte Carlo and BCA Implant Models.
MCSEED speci fi es a seed for the random number generator used for the Monte Carl o cal cul ati on.
N.ION speci fi es the number of i on trajectori es to be cal cul ated for the Monte Carl o method.
TEMPERAT speci fi es the temperature of the substrate duri ng i mpl antati on.
BEAMWIDTH speci fi es the i mpl ant beamwi dth i n degrees. When the BEAMWIDTH angl e i s speci fi ed
the TILT angl e i s vari ed between TILT +/- BEAMWIDTH/2.0. Each i on wi l l have an angl e somewhere i n
thi s range deci ded by a random number generator. Di stri buti on of the i ons i s uni form across the
defi ned angul ar di stri buti on. Correct speci fi cati on of BEAMWIDTH i s general l y requi red for accurate
zero degree i mpl ant ranges.
IMPCT.POINT speci fi es (onl y i n the Monte Carl o method) that the i on beam enters the surface i n the
poi nt wi th l ateral coordi nate x = (l eft+I MPCT.POI NT*L), where l eft i s the x-coordi nate of the l eft
boundary of the structure and L i s the l ength of the structure. Thi s parameter whoul d be used for
cal cul ati on of the poi nt-source 2D di stri buti on and spaci al moments usi ng Monte Carl o method.
Parameters applicable only for Monte Carlo implant model.
DAMAGE speci fi es that damage (or poi nt defect) formati on shoul d be cal cul ated duri ng Monte Carl o
i mpl ant.
MAX.DAMAGE i s maxi mum l evel of damage, above whi ch amorphi zati on takes pl ace. I t i s
recommended that thi s parameter be speci fi ed usi ng the MAX.DAMAGE parameter on the MATERIAL
statement. Thi s al l ows to speci fy separate maxi mum damage threshol d for each crystal l i ne materi al .
THRESHOLD speci fi es the damage threshol d for the Monte Carl o i mpl ant cal cul ati on. I t i s
recommended that thi s parameter be speci fi ed usi ng the DAM.THRESH parameter on the MATERI AL
statement. Thi s al l ows to speci fy separate damage threshol d for each crystal l i ne materi al .
REC.FRAC Secondary r ecoi l i n Monte Car l o i mpl antati on model has been i mpl emented. The model i s
i nvoked by speci fyi ng REC.FRAC=<number> together wi th the DAMAGE fl ag. The model cal cul ates the
trajectory of secondary i ons generated by the col l i si on between the pri mary i on and crystal l atti ce
atom. REC.FRAC control s the fracti on of the secondary i ons generated by pri mary i ons to be
si mul ated. The fracti on i s chosen randoml y. For each si mul ated secondary i on generated by the
pri mary i ons, a cascade of secondary i on generati on i s si mul ated. I f the number of a cascade exceeds
100, a warni ng message wi l l be pri nted, whi l e si mul ati on conti nues. I n current i mpl ementati on,
secondary i ons can come from Si l i con/GaAs/I nP materi al s, and materi al Si Ge wi l l be the same as
Si l i con and materi al s Al GaAs/I nGaAs as GaAs. At the col l i si on si tes, a vacancy wi l l be generated. At
the stoppi ng si tes of the secondary i ons, an i ntersti ti al wi l l be generated.
HOBLER, PMAX.HOBLER, XNL.HOBLER and F.HOBLER represent the Hobler el ectroni c
stoppi ng model [150] and i ts parameters, ori gi nal l y used for Boron i n Si crystal Monte Carl o i mpl ants.
Now i t can be used for thi s case by speci fyi ng HOBLER on the IMPLANT command. I t can al so be used
for Si wi th any i mpuri ty by speci fyi ng HOBLER on the I MPLANT command. PMAX.HOBLER i s used wi th
the Hobl er model and has a defaul t val ue = 2.35. XNL.HOBLER i s used wi th the Hobl er model and
has a defaul t val ue = 0.4. F.HOBLER i s used wi th the Hobl er model and has a defaul t val ue= 0.8.
PERIODIC, REFLECT, and VACUUM speci fy the boundary condi ti ons for Monte Carl o-based
i mpl antati on. PERIODIC means that the i ons whi ch get out through a si de boundary of the si mul ati on
area appear back i n the correspondi ng poi nt of the opposi te si de boundary. Thi s condi ti on i s defaul t for
1D and cyl i ndri cal modes. REFLECT means that i ons i nstead of di sappeari ng through a si de boundary
ATHENA Users Manual IMPLANT Statement
7-58 SILVACO International
just bounce back i nto the structure. VACUUM means that i ons crossi ng a si de boundary never return
back and are counted as transmi tted i ons.
SMOOTH speci fi es that a speci al Gaussi an convol uti on smoothi ng to be appl i ed to the Monte Carl o
resul ts. SMOOTH mul ti pl i ed by esti mated standard devi ati on of the whol e profi l e serves as the standard
devi ati on for the Gaussi an formul a.
FLUORINE speci fi es that the fl uori ne concentrati on be cal cul ated by the Monte Carl o model duri ng
BF2 i mpl ant.Note that subsequent processi ng such as Fl uori ne di ffusi on i s not currentl y supported
TRAJ ECTOR=<n> speci fi es that the trajectory of each nth i ons path through the structure shoul d be
save i n the TRAJ.FILE duri ng the Monte Carl o cal cul ati on. When DAMAGE i s al so speci fi ed trajectori es
of al l knocked-on substrate atoms wi l l be al so saved.
TRAJ .FILE i s a speci al fi l e where trajectori es to be saved for subsequent pl otti ng usi ng LI NE
functi on of TonyPl ot. Defaul t i s traj.str.
Analytical Implant Example
The fol l owi ng exampl e speci fi es that a 100keV i mpl ant of phosphorus to be done wi th a dose of 1.0 e14
and wi th a ti l t angl e of 15 degrees to the surface normal . The Pearson model i s to be used to cal cul ate
the dopi ng profi l e:
IMPLANT PHOSPH DOSE=1E14 ENERGY=100 TILT=15
SVDP Boron Implant Example
Thi s exampl e shows the syntax for a zero ti l t, 50keV boron i mpl ant through 5nm of screen oxi de. The
oxi de i s defi ned by S.OXIDE and thi s defi ni ti on i s i ndependent of any actual oxi de i n the structure
i tsel f:
IMPLANT BORON DOSE=1E13 ENERGY=50 TILT=0 S.OXIDE=0.005
Monte Carlo Implant Example
The fol l owi ng exampl e speci fi es that a 100keV i mpl ant of phosphorus to be done wi th a dose of 1.0e14
Monte Carl o method to be used wi th crystal l i ne structure of substrate i gnored. Each 10th trajectory
wi l l be stored i n the fi l e PHTRAJ, whi ch can be used to vi sul i ze them usi ng Tonypl ot.
IMPLANT PHOSPH DOSE=1E14 ENERGY=100 MONTE AMORPH TRAJECT=10 TRAJ.FILE=PHTRAJ
Crystalline Monte Carlo Implant Example
Thi s exampl e speci fi es a 300keV boron i mpl ant at zero degrees ti l t and rotati on. Accurate model i ng of
such i mpl ants i s onl y possi bl e i n the BCA model . Si nce i on channel i ng i s hi ghl y dependent on the ti l t
angl e i t i s i mportant to i ncl ude the beamwi dth to avoi d over esti mati on of the i mpl ant range.
IMPLANT BORON DOSE=1E13 ENERGY=300 BCA TILT=0 ROTATION=0 BEAMWIDTH=1
Implant Damage Example
The fol l owi ng exampl e statement i mpl ants phosphorus and i nvokes the uni t damage model . The
UNIT.DAMAGE model creates an i ntersti ti al profi l e scal ed to the i mpl ant dopi ng profi l e. DAM.FACTOR
i s used here to speci fy that the i ntersti tal concentrati on wi l l be ten ti mes l ess than the dopi ng
throughout the depth of the i mpl ant profi l e
IMPLANT PHOSPHORUS DOSE=1E14 ENERGY=50 UNIT.DAMAGE DAM.FACTOR=0.1
IMPURITY Statement Statements
SILVACO International 7-59
IMPURITY
IMPURITY sets the coeffi ci ents of i mpuri ty ki neti cs.
Note: This statement supercedes the older syntax using separate statements for each impurity type.
The ARSENIC, ANTIMONY, BORON and PHOSPHORUS statements should no longer be used.
Syntax
IMPURITYI.ALUMINUM|I.ANTIMONY|I.ARSENIC|I.BERYLLIUM|I.BORON|I.CARBON
I.CHROMIUM|I.GALLIUM|I.GERMANIUM|I.INDIUM|I.PHOSPHOR
I.MAGNESIUM|I.SILICON|I.SELENIUM|I.ZINC[DONOR|ACCEPTOR]
SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|TUNGSTEN|TITANIUM
PLATINUM|WSIX|TISIX|PTSIX|ALGAAS|INGAAS|SIGE|INP|GAAS|GAS|MATERIAL=<c>
[DIX.0=<n>][DIX.E=<n>][DIP.0=<n>][DIP.E=<n>]
[DIM.0=<n>][DIM.E=<n>][DIMM.0=<n>][DIMM.E=<n>][DVX.0=<n>][DVX.E=<n>]
[DVM.0=<n>][DVM.E=<n>][DVMM.0=<n>][DVMM.E=<n>]
[CTN.0=<n>][CTN.E=<n>] [SS.CLEAR][SS.TEMP=<n>][SS.CONC=<n>]
[/SILICON|/GAAS|/OXIDE|/OXYNITR|/NITRIDE|/GAS|/POLYSILICO|
/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|
/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>]
[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][GB.DIX.0=<<n>>]
[GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>][GB.TAU=<n>]
[AT.NUMBER=<n>][AT.MASS=<n>]
[DIF.CALC=<c>][ACT.CALC=<c>][SEG.CALC=<c>
[CPERC][FPERC.0][FPERC.E][ROUI.0][ROUI.E][ROUV.0][ROUV.E][DII.0]
[DII.E][FI.0=<n>][FI.E=<n>] [act.factor]
Description
Thi s statement al l ows val ues to be speci fi ed for the coeffi ci ents of i mpuri ty di ffusi on, transport,
segregati on, or other properti es.
I.ALUMINUM, I.ANTIMONY, I.ARSENIC, I.BERYLLIUM, I.BORON,
I.CHROMIUM,I.CARBON, I.GALLIUM, I.GERMANIUM, I.PHOSPHOR, I.MAGNESIUM,
I.SILICON, I.SELENIUM, and I.ZINC speci fy the i mpuri ty.
DONOR or ACCEPTOR speci fy the nature of the i mpuri ty i n the gi ven materi al .
SILICON, GAAS, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, GAS, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIAL
speci fy the materi al for whi ch the i mpuri ty coeffi ci ents wi l l be set. The parameter
MATERIAL=<string> al l ows the user to sel ect a l abel l ed user-defi ned materi al
Onl y one materi al parameter may be speci fi ed per statement, and that statement wi l l appl y onl y i n
the materi al l i sted. . The materi al parameter i s used to i ndi cate the fi rst materi al for defi ni ng
i nterface parameters such as segregati on and transport.
ATHENA Users Manual IMPURITY Statement
7-60 SILVACO International
AT.NUMBER and AT.MASS speci fy the atomi c number and atomi c mass of the i mpuri ty respecti vel y.
Thi s parameters are used i n Monte Carl o or BCA i mpl ant cal cul ati ons.
Diffusion Parameters
DIX.0 and DIX.E speci fy the di ffusi on coeffi ci ent for the i mpuri ty di ffusi ng wi th neutral defects.
DIX.0 i s the pre-exponenti al constant and DIX.E i s the acti vati on energy.
DIP.0 and DIP.E speci fy the di ffusi on coeffi ci ent for the i mpuri ty di ffusi ng wi th si ngl e negati ve
defects. DIP.0 i s the pre-exponenti al constant, and DIP.E i s the acti vati on energy.
DIM.0 and BDIM.E speci fy the di ffusi on coeffi ci ent for the i mpuri ty di ffusi ng wi th si ngl e negati ve
defects. DIM.0 i s the pre-exponenti al constant, and DIM.E i s the acti vati on
DIMM.0 and DIMM.E al l ow the speci fi cati on of the i mpuri ty di ffusi ng wi th doubl y negati ve
i ntersti ti al s. DIMM.0 i s the pre-exponenti al constant and DIMM.E i s the acti vati on energy.
DVX.0 and DVX.E al l ow the speci fi cati on of the i mpuri ty di ffusi ng wi th neutral vacanci es. DVX.0 i s
the pre-exponenti al constant and DVX.E i s the acti vati on ener gy.
DVM.0 and DVM.E al l ow the speci fi cati on of the i mpuri ty di ffusi ng wi th si ngl e-negati ve vacanci es.
DVM.0 i s the pre-exponenti al constant and DVM.E i s the acti vati on ener gy.
DVMM.0 and DVMM.E al l ow the speci fi cati on of the i mpuri ty di ffusi ng wi th doubl e-negati ve
vacanci es. DVMM.0 i s the pre-exponenti al constant and DVMM.E i s the acti vati on energy.
FI.0 and FI.E are the fracti onal i ntersti ti al cy parameters whi ch determi nes whether the i mpuri ty
di ffuses through i nteracti on wi th i ntersti ti al s or vacanci es. Once the expressi on for total FI i s
eval uated from these coeffi ci ents the val ue of total FI can vary between 0 and 1. A val ue of 1
corresponds to movement onl y vi a i ntersti ti al s and a val ue of 0 corresponds to movement vi a a
vacancy mechani sm.
Activation Model Parameters
SS.CLEAR, SS.TEMP and SS.CONC are the parameters for sol i d sol ubi l i ty data. SS.CLEAR cl ears
the currentl y stored sol i d sol ubi l i ty data for the speci fi ed i mpuri ty. SS.TEMP and SS.CONC add a si ngl e
temperature and an associ ated sol i d sol ubi l i ty concentrati on poi nt to those al ready stored for the
i mpuri ty.
CTN.0 and CTN.E speci fy the cl usteri ng coeffi ci ents for the i mpuri ty. CTN.0 i s the pre-exponenti al
coeffi ci ent and CTN.E i s the acti vati on energy. By defaul t these par ameter s are onl y used for arseni c.
I f the statement METHOD CLUSTER.S4 i s used then the parameters can be appl i ed to al l i mpuri ti es.
ACT.FACTOR speci fi es parameter for concemtrati on dependent sol i d sol ubi l i ty acti vati on model .
Interface Transport Parameters
/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL speci fy materi al 2. The stri ng parameter /MATERIAL al l ows the user to sel ect a user-
defi ned materi al for materi al 2. Materi al 2 i s used to i ndi cate the second materi al for defi ni ng
i nterface parameters such as segregati on and transport coeffi ci ents.
SEG.0 and SEG.E al l ow the computati on of the equi l i bri um segregati on concentrati ons. SEG.0 i s the
pre-exponenti al constant and SEG.E i s the acti vati on energy.
TRN.0 and TRN.E al l ow the speci fi cati on of the transport vel oci ty across the i nterface gi ven. The
uni ts are i n cm/s. TRN.0 i s the pre-exponenti al constant and TRN.E i s the acti vati on energy.
IMPURITY Statement Statements
SILVACO International 7-61
Polysilicon Diffusion Parameters
GB.DIX.0 and GB.DIX.E speci fi es grai n boundary parameters for the advanced pol ysi l i con di ffusi on
model . GB.DIX.0 i s the pre-exponenti al factor of grai n boundary di ffusi vi ty, and GB.DIX.E i s the
acti vati on energy for grai n boundary di ffusi vi ty (eV).
GB.SEG.0 speci fi es entropy factor of grai n boundary segregati on coeffi ci ent used i n the advanced
pol ysi l i con di ffusi on model .
GB.SEG.E speci fi es the acti vati on energy of grai n boundary segregati on coefi ci ent used i n the
advanced pol ysi l i con di ffusi on model .
GB.TAU speci fi es the grai n boundary ti me constant used i n the advanced pol ysi l i con di ffusi on model .
CNET Diffusion Model Parameters
The fol l owi ng parameters are appl i cabl e to the use of the CNET Model . See METHOD for the use of thi s
model .
CPERC percol ati on concentrati on (uni t:cm
3
, defaul t: 2.5x10
20
).
FPERC.0 pre-factor of percol ati on enhancement factor (uni t: 1, defaul t: 2.0x10
4
).
FPERC.E acti vati on energy of percol ati on enhancement factor (uni t:eV, defaul t: 0.0).
ROUI.0 pre-factor of pai r di ffusi vi ty rati o rel ated to i ntersti ti al s (uni t: 1, defaul t: 1.0).
ROUI.E acti vati on energy of pai r di ffusi vi ty rati o rel ated to i ntersti ti al s (uni t: eV, defaul t: 0.0).
ROUV.0 pre-factor of pai r di ffusi vi ty rati o rel ated to vacanci es (uni t: 1, defaul t: 1.0).
ROUV.E acti vati on energy of pai r di ffusi vi ty rati o rel ated to vacanci es (uni t: eV, defaul t: 0.3).
DII.0 pre-factor of i ntri nsi c di ffusi vi ty (uni t: cm
2
/sec, defaul t: 3.19).
DII.E acti vati on energy of i ntri nsi c di ffusi vi ty (uni t: eV, defaul t: 3.66).
Examples
The fol l owi ng statement changes the neutral i ntersti ti al di ffusi vi ty component of phosphorous i n
si l i con.
IMPURITY I.PHOSPHORUS SILICON DIX.0=3.85 DIX.E=3.85
The fol l owi ng statement changes the segregati on parameters at the si l i con-si l i con di oxi de i nterface.
The concentrati on of phosphorous i n si l i con wi l l be 30 ti mes the concentrati on of phosphorous i n oxi de
at equi l i bri um.
IMPURITY I.PHOSPHORUS SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7
The fol l owi ng syntax sets the temperature dependent i mpuri ty acti vati on of I ndi um i n si l i con:
IMPURITY I.INDIUM SILICON SS.TEMP=800 SS.CONC=<VAL1> SS.CLEAR
IMPURITY I.INDIUM SILICON SS.TEMP=900 SS.CONC=<VAL2>
IMPURITY I.INDIUM SILICON SS.TEMP=950 SS.CONC=<VAL3>
etc.....
ATHENA Users Manual IMPURITY Statement
7-62 SILVACO International
Note: The transport and segregation coefficients TRN.0, TRN.E, SEG.0, and SEG.E are known to
be inaccurate for some values of concentration, some material combinations, and some temperature
ranges. If the simulation is inaccurate, these coefficients should be considered for calibration.
See Also: ANTIMONY, ARSENIC, BORON, PHOSPHORUS, INTERSITITIAL, VACANCY
INITIALIZE Statement Statements
SILVACO International 7-63
INITIALIZE
I NI TI ALI ZE speci fi es the i ni ti al starti ng materi al and background dopi ng l evel s.
Syntax
INITIALIZE[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON
ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TSIX|PTSIX|GAAS
ALGAAS|INGAAS|SIGE|INP|PHOTORESIST|MATERIAL=<c>]
[BORON|PHOSPHORUS|AMTIMONY|ARSENIC]
[INFILE=<c>][STRUCTURE|INTENSITY]
[ONE.D|TWO.D|][LAYOUT.FILE=<c>]
[X.LOCAT=<n>][X=<n>][CONCENTRAT=<n>][RESISTIVITY=<n>
[ORIENTATION=<n>][LINE.DATA][INTERVAL.R=<n>][SCALE=<n>]
[FLIP.Y][NO.IMPURITY][SPACE.MULT=<n>]
[CYLINDRICAL][ROT.SUB=<n>]
[C.ANTIMONY=<n>][C.ARSENIC=<n>][C.BORON=<n>][C.PHOSPHOR=<n>]
[C.SILICON=<n>][C.GOLD=<n>][C.GERMANIUM=<n>][C.ZINC=<n>]
[C.SELENIUM=<n>][C.BERYLLIUM=<n>][C.MAGNESIUM=<n>][C.CHROMIUM=<n>]
[C.ALUMINUM=<n>][C.CARBON=<n>][C.GALLIUM=<n>][C.FRAC=<n>]
[DEPTH.STR=<n>] [WIDTH.STR=<n>]
Description
Thi s command sets up the mesh from ei ther a rectangul ar speci fi cati on or from a previ ous structure
fi l e. The statement al so i ni ti al i zes the background dopi ng concentrati on i n al l regi ons.
Material Related Parameters
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, PHOTORESIST, ALGAAS, INGAAS, SIGE,
INP, and MATERIAL speci fi es the materi al to be i ni ti al i zed.The MATERIAL=<c> parameter shoul d
onl y be used for user-defi ned materi al s.
ORIENTATION speci fi es the substrate ori entati on. Onl y 100, 110 and 111 are recogni zed; the defaul t
i s 100.
ROT.SUB speci fi es the substrate rotati on to a 100 pl ane. By defaul t verti cal si dewal l s i n a 100
substrate are consi dered 100 al so. Defaul t i s -45 degrees.
C.FRAC speci fi es the composi ti on fracti on for compound materi al s such as Si Ge, Al GaAs.
Dopant Related Parameters
ANTIMONY, ARSENIC, BORON, and PHOSPHORUS speci fi es the type of i mpuri ty that forms the
background dopi ng.Onl y one of these parameters may be used. I f these parameters appear then one of
CONC or RESISTIVITY must al so appear.
ATHENA Users Manual INITIALIZE Statement
7-64 SILVACO International
CONCENTRAT speci fi es the background concentrati on i n cm
-3
.
RESISTIVITY speci fi es the resi sti vi ty i n ohms.cm of the i ni ti al substrate materi al .Thi s can be used
as an al ternati ve to CONC.
C.ANTIMONY, C.ARSENIC, C.BORON, C.PHOSPHOR, C.SILICON, C.GOLD,
C.GERMANIUM, C.ZINC, C.SELENIUM, C.BERYLLIUM, C.MAGNESIUM, C.CHROMIUM,
C.ALUMINUM, C.CARBON, and C.GALLIUM speci fy an al ternati ve way to set the i mpuri ty
concentrati on. Mul ti pl e parameters may be used to defi ne compensated dopi ng i n the starti ng
materi al .
NO.IMPURITY speci fi es that the cal cul ati on be performed wi thout i mpuri ti es. No i mpuri ti es wi l l be
i ntroduced duri ng the si mul ati on. Thi s speeds cal cul ati on and al l ows qui ck anal ysi s of oxi dati on
deposi t, and etch resul ts.
Dimensionality of Simulation
ONE.D, TWO.D, AUTO set whether the run wi l l be i n 1D, 2D or the di mensi onal i ty automati cal l y
deter mi ned from the process fl ow. AUTO i s the defaul t.. I f ONE.D i s used to sel ect a 1-D cal cul ati on. The
cal cul ati on wi l l be performed at a l ocati on i ndi cated by the parameter X.LOCAT. TWO.D sel ects that
al l process steps wi l l be done i n a ful l two di mensi onal cal cul ati on. I f nei ther i s speci fi ed or AUTO is
used, ATHENA performs 1D cal cul ati on unti l a two-di mensi onal cal cul ati on i s requi red. Thi s i s
typi cal l y at the fi rst ETCH statement whi ch does not remove materi al across the whol e wi dth of the
structure.
X.LOCAT speci fi es the posi ti on wi thi n the defi ned 2D mesh for performi ng 1D si mul ati on.
Initialize from a File
INFILE speci fi es a fi l e name for readi ng; thi s fi l e must contai n a previ ousl y saved structure or
i ntensi ty di stri buti on (see the STRUCTURE statement).
STRUCTURE and INTENSITY speci fy whi ch type of fi l e i s to be i ni ti al i zed. STRUCTURE i s the
defaul t.
Grid and Structure Related Parameters
SPACE.MULT speci fi es a gl obal spaci ng mul ti pl i er to be appl i ed to the spaci ngs defi ned on the
previ ousl y speci fi ed LINE statements.
INTERVAL.R i s the maxi mum rati o between the di stances of adjoi ni ng mesh l i nes. The defaul t i s 1.5.
LINE.DATA speci fi es that l ocati ons of mesh l i nes be pri nted duri ng executi on.
SCALE al l ows an i ncomi ng mesh to be scal ed. The defaul t i s 1.0.
FLIP.Y i s a Bool ean parameter whi ch di ctates that the mesh shoul d be mi rrored about the x axi s.
CYLINDRICAL speci fi es that the boundary condi ti ons shoul d be set for cyl i ndri cal l y symetri c
structure. I n thi s case the axi s of rotati on i s X=0.0 and no negati ve x coordi nates are al l owed.
DEPTH.STR and WIDTH.STR speci fy the depth and wi dth of the i ni ti al substrate structure
di mensi on for use wi th the Process Adapti ve Meshi ng modul e
Example starting from a file
The fol l owi ng statement reads i n a previ ousl y saved structure from the fi l e TEST.STR .
INITIALIZE INFILE=TEST.STR
INITIALIZE Statement Statements
SILVACO International 7-65
Example using an oxide substrate
The fol l owi ng statement fi ni shes a rectangul ar mesh and sets up the mesh wi th a boron dopi ng
concentrati on of 110
15
cm
-3
.
INITIALIZE OXIDE C.BORON=1E15
Initialization with Adaptive Mesh Example
The fol l owi ng exampl e i l l ustrates an i ni ti al structure that wi l l be .8 m wi de and 1 m deep. The base
mesh wi l l be generated automati cal l y accordi ng to the BASE.PAR and BASE.MESH parameters i n the
model card or i n the begi nni ng of the i nput deck of thi s si mul ati on.
INIT C.ARSENIC=2E16 WIDTH.STR=.8 DEPTH.STR=1
See Also: BOUNDARY, LINE, REGION, STRUCTURE, BASE.MESH
ATHENA Users Manual INTERSTITIAL, VACANCY Statement
7-66 SILVACO International
INTERSTITIAL, VACANCY
INTERSTITIAL sets coeffi ci ents of i ntersti ti al di ffusi on, recombi nati on and generati on.
VACANCY sets coeffi ci ents of vacancy di ffusi on, recombi nati on and generati on.
Note: These two statements are compl etel y equi val ent. Al l parameters that exi st on the
INTERSTITIAL statement are also on the VACANCY statement.
INTERSTITIAL|VACANCY SILICON|OXIDE|OXYNITRIDE|NITRIDE
POLYSILICON|ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|GAAS
WSIX|TISIX|PTSIX|GAS|PHOTORES
ALGAAS|INGAAS|SIGE|INP|MATERIAL=<c>
[/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|/ALGAAS|/INGAAS|
/SIGE|/INP|/ALUMINUM|/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/
TISIX|PTSIX|
/GAAS|/GAS|/MATERIAL=<c>)][D.0=<n>][D.E=<n>][KR.0=<n>][KR.E=<n>]
[CSTAR.0=<n>][CSTAR.E=<n>][KTRAP.0=<n>][KTRAP.E=<n>]
[NEU.0=<n>][NEU.E=<n>][NEG.0=<n>][NEG.E=<n>
[DNEG.0=<n>][DNEG.E=<n>][POS.0=<n>][POS.E=<n>
[DPOS.0=<n>][DPOS.E=<n>][ANTIMONY|ARSENIC
BORON|PHOSPHORUS][TIME.INJ][GROWTH.INJ][RECOMB]
[KSURF.0=<n>][KSURF.E=<n>][KRAT.0=<n>][KRAT.E=<n>]
[KPOW.0=<n>][KPOW.E=<n>][VMOLE=<n>][[GPOW.0=<n>
[GPOW.E=<n>][A.0=<n>][A.E=<n>][T0.0=<n>][T0.E=<n>]
[TPOW.0=<n>][TPOW.E=<n>][REC.STR=<n>][INJ.STR=<n>]
[THETA.O=<n>][THETA.E=<n>][/THETA.O=<n>][/THETA.E=<n>]
[WET02|DRY02]
[DAMALPHA=<h>] [IVFACTOR=<n>][ISURFACT=<n>][IIFACTOR=<n>]
Description
These two equi val ent commands speci fi es val ues for coeffi ci ents of the i ntersti ti al conti nui ty equati on.
The statement al l ows coeffi ci ents to be speci fi ed for each of the materi al s. ATHENA has tuned defaul t
val ues onl y for si l i con and the i nterfaces wi th si l i con. Si nce pol ysi l i con has not been characteri zed as
extensi vel y as si l i con, i ts defaul t parameters are those of si l i con.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX GAAS, GAS, PHOTORESIST, ALGAAS,
INGAAS, SIGE, INP and MATERIAL are the parameters representi ng the materi al s that may be
used i n conjuncti on wi th poi nt defects. Onl y one materi al parameter may be speci fi ed per statement,
and that statement wi l l appl y onl y i n the materi al l i sted. The parameter MATERIAL=<string> al l ows
the user to sel ect a user-defi ned materi al . The materi al parameter i s used to i ndi cate the fi rst materi al
for defi ni ng i nterface parameters such as segregati on and transport.
INTERSTITIAL, VACANCY Statement Statements
SILVACO International 7-67
Defect Diffusion
D.0 and D.E speci fy the di ffusi on coeffi ci ent of the i nter sti ti al s. The uni ts are i n cm /s.
CSTAR.0 and CSTAR.E al l ow the speci fi cati on of the total equi l i bri um concentrati on of i ntersti ti al s
i n i ntri nsi cal l y-doped condi ti ons.
ANTIMONY, ARSENIC, BORON and PHOSPHORUS speci fy the dopant for whi ch i ntersti ti al
coupl i ng parameters wi l l be defi ned.
NEU.0, NEU.E, NEG.0, NEG.E, DNEG.0, DNEG.E, POS.0, POS.E, DPOS.0 and DPOS.E speci fy
the rel ati ve concentrati on of i ntersti ti al s i n the vari ous charge states (neutral , negati ve, doubl e
negati ve, posi ti ve, doubl e posi ti ve) under i ntri nsi c dopi ng condi ti ons The defaul t val ues are 5 x 10
22
and 2.36eV [13].
Interface Parameters
/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /POLYSILICO, /ALUMINUM, /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /GAAS, /GAS, /ALGAAS, /INGAAS, /SIGE, /
INP and /MATERIAL speci fy materi al 2. Onl y one materi al may be speci fi ed per statement. The
stri ng parameter /MATERI AL al l ows the user to sel ect a user-defi ned materi al for materi al 2.
Materi al 2 i s used to i ndi cate the second materi al for defi ni ng i nterface parameters such as
segregati on and transport
TIME.INJ , GROWTH.IN and RECOMB speci fy the type of reacti ons occurri ng at the speci fi ed
i nterface. The TIME.INJ parameter means that a ti me dependent i njecti on model shoul d be chosen.
The GROWTH.INJ parameter ti es the i njecti on to the i nterface growth vel oci ty. The RECOMB parameter
i ndi cates a fi ni te surface recombi nati on vel oci ty.
Defect Recombination
KR.0 and KR.E al l ow the speci fi cati on of the bul k recombi nati on rate. The uni ts are measured i n
cm
3
/s.
KSURF.0, KSURF.E, KRAT.0, KRAT.E, KPOW.0 and KPOW.E al l ow the speci fi cati on of the surface
recombi nati on vel oci ty.
IVFACTOR, IIFACTOR, ISURFACT speci fy I /V Bi mol ecul ar recombi nati on rati os i n HIGH.CONC
model .
KTRAP.0 and KTRAP.E al l ow the speci fi cati on of the trap reacti on rate. At present, i t i s very di ffi cul t
to extract exact val ues for these parameters. The defaul t val ues assume that the trap reacti on i s
l i mi ted by the i ntersti ti al concentrati on. The trap coeffi ci ent i s essenti al l y i nfi ni ty.
DAMALPHA speci fi es the defect recombi nati on rate i n the di sl ocati on l oop regi on.
Defect Generation
VMOLE, THETA.0, THETA.E, GPOW.0 and GPOW.E al l ow the speci fi cati on of generati on that i s
dependent on the growth rate of the i nterface.
REC.STR and INJ .STR are useful for experi menti ng wi th new model s for recombi nati on or i njecti on
at i nterfaces. Three macros are defi ned for use: T, the ti me i n seconds, and X and Y, the coordi nates. I f
these are speci fi ed, they are used i n pl ace of any other model . For exampl e, the statement:
INTERST SILICON /OXIDE INJ.STR = (10.0E4*EXP( T / 10.0 ))
descri bes an i njecti on at the si l i con oxi de i nterface that exponenti al l y decays i n ti me.
The rati o between the number of atoms consumed and the number of atoms i njected i s gi ven by the
fol l owi ng equati on:
ATHENA Users Manual INTERSTITIAL, VACANCY Statement
7-68 SILVACO International
R = THETA.0EXP 7-1
where R i s the rati o.
WETO2, DRYO2 speci fy whether the parameters THETA.0, THETA.E are for wet oxi dati on or dry
oxi dati on. The defaul t i s DRYO2.
THETA.0 speci fi es the val ue of THETA.0 for the fi rst materi al .
THETA.E speci fi es the val ue of THETA.E for the fi rst materi al .
/THETA.0 speci fi es the val ue of THETA.0 for the second materi al .
/THETA.E speci fi es the val ue of THETA.E for the second materi al .
A.0, A.E, T0.0, T0.E, TPOW.0 and TPOW.E al l ow an i njecti on model wi th a fl exi bl e ti me dependency.
CNET Model Parameters
NEU.0 pre-factor of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of gi ven
neutral i mpuri ty /defect pai r (uni t: 1, defaul t:1.0).
NEU.E acti ve energy of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of
gi ven neutral i mpuri ty /defect pai r (uni t: eV, defaul t:1.0).
NEG.0 pre-factor of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of gi ven
negati ve i mpuri ty /defect pai r (uni t: 1, defaul t:0.0).
NEG.E acti ve energy of proudct of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of
gi ven negati ve i mpuri ty /defect pai r (uni t: eV, defaul t:0.0).
DNEG.0 pre-factor of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of gi ven
doubl e negati ve i mpuri ty /defect pai r (uni t: 1, defaul t:0.0).
DNEG.E acti ve energy of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of
gi ven doubl e negati ve i mpuri ty /defect pai r (uni t: eV, defaul t:0.0).
POS.0 pre-factor of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of gi ven
posi ti ve i mpuri ty /defect pai r (uni t: 1, defaul t:0.0).
POS.E acti ve energy of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of
gi ven posi ti ve i mpuri ty /defect pai r (uni t: eV, defaul t:0.0).
DPOS.0 pre-factor of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of gi ven
doubl e posi ti ve i mpuri ty /defect pai r (uni t: 1, defaul t:0.0).
DPOS.E acti ve energy of product of equi l i bri um neutral I /V concentrati on and reacti on coeffi ci ent of
gi ven doubl e posi ti ve i mpuri ty /defect pai r (uni t: eV, defaul t:0.0).
Basic Example
The fol l owi ng statement speci fi es the si l i con di ffusi on and equi l i bri um val ues for i ntersti ti al s.
INTERST SILICON DI.0=5.0E-7 D.E=0.0 CSTAR.0=1.0E13 CSTAR.E=0.0
Defect Injection during Oxidation Example
The fol l owi ng statement speci fi es the oxi de - si l i con i nterface i njecti on for DRYO2 ambi ent i s to be
computed usi ng the oxi de growth vel oci ty and wi th 1% of consumed si l i con i njected as i ntersti ti al s.
THETA

E
kT
-----------------------
,
_
INTERSTITIAL, VACANCY Statement Statements
SILVACO International 7-69
INTERST SILICON /OXIDE GROWTH VMOLE=5.0E22 \
THETA.0=0.01 THETA.E=0.0
Surface Recombination Example
The fol l owi ng statement speci fi es that the surface recombi nati on vel oci ty at the ni tri de si l i con
i nterface i s 3.5 10
-3
cm/s.
INTER SILICON /NITRIDE KSURF.0=3.5E-3 KSURF.E=0.0 KRAT.0=0.0
CNET Model Example
The fol l owi ng statements speci fy that there are equal numbers of negati ve and neutral charged
i ntersti ti al s i n i ntri nsi c dopi ng.
INTERST SILICON NEU.0=1.0 NEG.0=1.0 POS.0=0.0 \
DNEG.0=0.0 DPOS.0=0.0
INTERST SILICON NEU.E=0.0 NEG.E=0.0 POS.E=0.0 \
DNEG.E=0.0 DPOS.0=0.0
General Comments
Note: The absolute equivalence of INTERSTITIAL and VACANCY statement syntax is done without
regard to the physical meaning. For example, vacancy injection during oxidation could be defined
although default parameters are zero
Note: The models used here are involved in ongoing research. Many of the parameters have unknown
dependencies on stress, temperature, starting silicon material, stacking fault density.
See Also: IMPURITY, TRAP, VACANCY
ATHENA Users Manual LAYOUT Statement
7-70 SILVACO International
LAYOUT
LAYOUT descri bes manual i nput of mask features for OPTOLI TH.
Syntax
[X.LOW=<n>][Z.LOW=<n>][X.HIGH=<n>][Z.HIGH=<n>]
[X.TRI=<n>][Z.TRI=<n>][HEIGHT=<n>][WIDTH=<n>]
[X.CIRCLE=<n>][Z.CIRCLE=<n>][RADIUS=<n>]
[ROT.ANGLE=<n>][PHASE=<n>][TRANSMIT=<n>][LAY.CLEAR=<n>]
Description
Thi s command i s used to enter mask coordi nates for OPTOLI TH. The LAYOUT statement provi des an
al ternati ve to creati ng l ayout fi l es wi th MASKVI EWs. Many LAYOUT statements can be used i n
sequence to defi ne compl ete mask patterns.
X.LOW speci fi es the mi ni mum x coordi nate of the rectangul ar feature.
Z.LOW speci fi es the mi ni mum z coordi nate of the rectangul ar feature.
X.HIGH speci fi es the maxi mum x coordi nate of therectangul ar feature.
Z.HIGH speci fi es the maxi mum z coordi nate of the rectangul ar feature.
X.TRI speci fi es the x coordi nate of the ri ght angl e corner of the tri angul ar feature.
Z.TRI speci fi es the z coordi nate of the ri ght angl e corner of the tri angul ar feature.
HEIGHT speci fi es the hei ght of the ri ght angl e tri angl e feature.
WIDTH speci fi es the base wi dth of the r i ght angl e tri angl e featur e.
X.CIRCLE speci fi es the x coordi nate of the center of the ci rcul ar feature.
Z.CIRCLE speci fi es the z coordi nate of the center of the ci rcul ar feature.
RADIUS speci fi es the radi us of the ci rcul ar feature.
ROT.ANGLE speci fi es the angl e of r otati on of the feature (-180ROT.ANGLE180) wi th respect to
the x-axi s. The defaul t val ue i s 0. The center of rotati on i s at the center of the rectangl e and at the
ri ght angl e corner of the tri angl e, respecti vel y.
PHASE speci fi es the phase shi ft pr oduced by the featur e (-180PHASE180). The defaul t val ue i s 0.
TRANSMIT speci fi es the i ntensi ty transmi ttance of the feature (0TRANSMIT1). The defaul t val ue
i s uni ty.
LAY.CLEAR speci fi es that the currentl y defi ned l ayout shoul d be del eted pri or to the executi on of the
new l ayout defi ni ti on.
Examples
The fol l owi ng statement descri bes a mask feature that i s 2 mi crons i n the x di mensi on and 0.4 mi crons
i n the z di recti on and rotated by 45 wi th respect to the x-axi s.
LAYOUT X.LO=-1 X.HI=1 Z.LO=-0.2 Z.HI=0.2 ROT.ANGLE=45 \
TRANSMIT=1
See Also: IMAGE, ILLUMINATION, PROJECTION, ILLUM.FILTER, PUPIL.FILTER, ABERRATION, and the VWF Interactive
Tools manual
LINE Statement Statements
SILVACO International 7-71
LINE
LINE speci fi es a mesh l i ne duri ng gri d defi ni ti on.
Syntax
LINE X|Y LOCATION=<n>[SPACING=<n>][TAG=<c>]
Description
Thi s statement defi nes the posi ti on and spaci ng of mesh l i nes. Al l LINE statements shoul d come
before the REGION and BOUNDARY statements, whi ch shoul d i n turn be fol l owed by an INITIALIZE
statement.
X and Y speci fy whether a mesh l i ne i s hori zontal or verti cal .
LOCATION speci fi es the l ocati on al ong the chosen axi s (i n mi crons) at whi ch the l i ne shoul d be
posi ti oned. The x coordi nate i ncreases from l eft to ri ght; the y coordi nate i ncreases progressi ng from
top to bottom goi ng i nto the substrate. Thi s i s the opposi te of normal Cartesi an y-axi s progressi on
whi ch i ncreases goi ng upward.
SPACING speci fi es the l ocal gri d spaci ng (i n mi crons). ATHENA adds mesh l i nes to the ones gi ven
accordi ng to the fol l owi ng reci pe. Each user l i ne has a spaci ng, be i t speci fi ed by the user or i nferred
from the nearest nei ghbor. These spaci ngs are then smoothed out so no adjacent i nter val s have a r ati o
greater than the val ue gi ven by INTERVAL.R on the INITIALIZE statement (defaul t i s 1.5). New gri d
l i nes are then i ntroduced so that the l i ne spaci ng vari es geometri cal l y from one end of the i nterval to
the other. Refer to the exampl e bel ow.
TAG l abel s l i nes for l ater reference by BOUNDARY and REGI ON statements. The tag l abel may be
any word.
Examples
I n the fol l owi ng speci fi cati ons, there are 3 user-speci fi ed x l i nes and 2 user-speci fi ed y l i nes. Spaci ng of
the x l i nes i s fi ner i n the center than at the edges. After processi ng, ATHENA produces a mesh wi th x
l i nes at 0.0, 0.42, 0.69, 0.88, 1.0,1.12, 1.31, 1.58, 2.0. Around the center, the spaci ng i s 0.12,
approxi matel y what was requested. At the edge, the spaci ng i s 0.42, because that was as coarse as the
l i ne spaci ng coul d get wi thout havi ng an i nterval rati o greater than 1.5. I f the i nterval rati o i s set to 9,
then we woul d have one i nterval of 0.9 and one i nterval of 0.1 on each si de. I n thi s exampl e, speci fyi ng
a spaci ng of 1 woul d produce an x l i ne at 0.0 and 1.0.
LINE X LOC=0 SPA=1 TAG=LEFT
LINE X LOC=1 SPA=0.1
LINE X LOC=2 SPA=1 TAG=RIGHT
LINE Y LOC=0 SPA=0.02 TAG=SURF
LINE Y LOC=3 SPA=0.5 TAG=BACK
ATHENA Users Manual Statements
7-72 SILVACO International
Note: It is difficult to predict how many lines are going to be generated in each interval. The initial mesh
specification is quite important to the success of the simulation. Use the geometric mode, invoked by
specifying the NO.IMP parameter on the INITIALIZE statement to perform a fast simulation without
impurities to determine if the grid spacings are appropriate.
See Also:INITIALIZE, REGION, BASE.MESH, BASE.PAR
MASK Statement Statements
SILVACO International 7-73
MASK
MASK deposi ts and patterns photoresi st vi a the MASKVI EWS i nterface.
Syntax
MASK NAME=<c> [REVERSE] [DELTA=<n>]
Description
MASK i s used to i nterface to Si l vacos general purpose l ayout edi tor, MASKVI EWS. When a mask
statement i s speci fi ed, ATHENA wi l l deposi t photoresi st and pattern i t by etchi ng. The etched pattern
i s determi ned by the cut l i ne sel ected i n MASKVI EWS. See the VWF I NTERACTI VE TOOLS manual for a
compl ete descri pti on of thi s feature.
NAME speci fi es the name of the l ayer that defi nes the photoresi st patterni ng. Mask names must
appear i nsi de of doubl e quotes. Thi s name must correspond to a mask name contai ned i n the l ayout
fi l e i nvoked vi a DECKBUI LD. The mask names are case sensi ti ve and cannot be abbrevi ated.
REVERSE speci fi es that the mask pol ari ty shoul d be reversed or that negati ve type photoresi st
shoul d be model ed.
DELTA speci fi es an offset i n mask si ze. The offset corresponds to a change i n CD (cri ti cal di mensi on)
of the mask. Each edge of the mask i s moved by a di stance DELTA to enl arge or contract the mask
feature.
Examples
The fol l owi ng statment deposi ts photoresi st on the top of the si mul ati on structure and etches i t wi th
the patter n pr escr i bed by the MaskVi ews l ayout. The l ayout fi l e must be speci fi ed vi a the MaskVi ews
i nterface as descri bed i n the VWF I nteracti ve Tool s manual or the Chapter 2 tutori al .
MASK NAME="CONT"
See Also: STRIP
ATHENA Users Manual MATERIAL Statement
7-74 SILVACO International
MATERIAL
MATERI AL sets the coeffi ci ents for materi al s.
Syntax
MATERIAL[IMPL.SCALE=<n>](SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON
TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST
ALGAAS|INGAAS|SIGE|INP|ALUMINUM
MATERIAL=<c>)[WETO2|DRY02][NI.0=<n>][NI.E=<n>]
[NI.POW=<n>][EPS=<n>][VISC.0=<n>][VISC.E=<n>][VISC.X=<n>]
[YOUNG.M=<n>][POISS.R=<n>][LCTE=<c>][INTRIN.SIG=<n>]
[DENSITY=<n>][AT.NUM.1=<n>][AT.NUM.2=<n>]
[AT.NUM.3=<n>][AT.NUM.4=<n>][AT.MASS.1=<n>]
[AT.MASS.2=<n>][AT.MASS.3=<n>][AT.MASS.4=<n>]
[ABUND.1=<n>][ABUND.2=<n>][ABUND.3=<n>][ABUND.4=<n>]
[MAX.DAMAGE=<n>][DAM.THRESH=<n>][IMPL.SCALE]
[GB.VOL.RATI=<n>][GB.SEG=<n>][GRAIN.SIZE=<n>][GB.ENERGY=<n>]
[GB.DIX.0=<n>][G.DIX.E=<n>]
[REFLOW][GAMMA.REFLO=<n>]
[CR.0][CR.E] [NO.FLIP]
Description
Thi s statement speci fi es val ues for coeffi ci ents of the i ntri nsi c concentrati on and rel ati ve permi tti vi ty
for al l the materi al s.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, PHOTORESIST, ALUMINUM,
TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP
and MATERIAL i ndi cate the materi al type to whi ch the remai nder of the parameters appl y. The
MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
WETO2 and DRYO2 speci fy whether the parameters are for wet or dry oxi dati on. When oxi de i s
speci fi ed, i t al so necessary to speci fy how the oxi de wi l l be formed and i t i s done wi th these parameters
i n the MATERIAL statement
NI.0, NI.E, and NI.POW speci fy the dependenci es of the i ntri nsi c el ectron concentrati on as a functi on
of temperature. Note thi s val ue i s not used i n EXTRACT el ectri cal cal cul ati ons
EPS speci fi es the rel ati ve di el ectri c permi tti vi ty of the materi al . Thi s val ue i s used to cal cul ate el ectri c
fi el d i n semi conductors. Note thi s val ue i s not used i n EXTRACT el ectri cal cal cul ati ons.
Parameters related to Material Stress and Viscosity
VISC.0, VISC.E, and VISC.X are the parameters speci fyi ng vi scosi ty. VISC.0 i s the pr e-exponenti al
coeffi ci ent, i n g/(cms); VI SC.E i s the acti vati on energy, i n eV; VISC.X i s the i ncompressi bi l i ty factor.
YOUNG.M i s Youngs modul us for the materi al i n dyne cm
-2
. Thi s parameter i s used i n stress
cal cul ati ons and al so wi th the compress model for oxi dati on . (Al so see METHOD LIFT.POLY)
POISS.R i s Poi ssons rati o for the materi al . Thi s parameter i s used i n stress cal cul ati ons.
MATERIAL Statement Statements
SILVACO International 7-75
LCTE gi ves the l i near coeffi ci ent of thermal expansi on as a functi on of temperature (T). I t i s
expressed as a fracti on rather than a percentage.
INTRIN.SIG speci fi es the i ni ti al uni form stress state of a materi al , such as a thi n fi l m of ni tri de
deposi ted on the substrate. I t can be speci fi ed as a functi on of temperature by usi ng an expressi on and
the vari abl e T (expressed i n K).
Parameters related to Material structure during IMPLANT
DENSITY speci fi es the densi ty of the materi al i n gm/cm
3
.
AT.NUM.1, AT.NUM.2, AT.NUM.3, and AT.NUM.4 speci fy the atomi c numbers of the consti tuent
atoms of the materi al .
AT.MASS.1, AT.MASS.2, AT.MASS.3, and AT.MASS.4 speci fy the atomi c masses of the consti tuent
atoms of the materi al i n atomi c mass uni ts.
ABUND.1, ABUND.2, ABUND.3, and ABUND.4 speci fy the rel ati ve fracti on of the consti tuent
atoms of the materi al .
Note: At least one parameter from each of the four lines above are required to define materials for
Monte Carlo implants
MAX.DAMAGE speci fi es the maxi mum amount of damage that can be caused by a Monte Carl o
I mpl ant. Uni ts are cm
-3
. The defaul t i s 1.0e22cm
-3
DAM.THRES speci fi es the energy threshol d of damage generati on duri ng a Monte Carl o i mpl ant.
Uni ts are eV. The defaul t i s 25eV.
IMPL.SCALE speci fi es a scal i ng factor for anal yti cal i mpl ant ranges. Thi s i s used al ong wi th a
materi al name to scal e the i mpl ant parameters for that materi al . The parameters RANGE, STD.DEV,
SRANGE and SSTD.DEV for the materi al wi l l be mul ti pl i ed by IMPL.SCALE for any subsequent
i mpl ant.
Parameters related to the Polysilicon Diffusion Model
The current i mpl ementati on al l ows onl y a si ngl e scal ar val ue of as-deposi ted pol ysi l i con grai n si ze.
Two sets of physi cal parameters need to be set up to enabl e proper di ffusi on:
1. parameters rel ated to the pol ysi l i con materi al , are set up i n MATERIAL statement
2. parameters rel ated to the speci fi c i mpuri ty, are set up wi th the IMPURITY statement
GB.VOL.RATIO speci fi es the vol ume fracti on of grai n boundari es to total materi al vol ume whi ch
gi ves the rel ati ve magni tude of the two concentrati on components (uni t: Vgb/Vtot, defaul t: 0.1).
GRAIN.SIZE speci fi es the i ni ti al grai n si ze (uni t: m, defaul t: 0.2) (g0 i n Equati on 3-54).
GB.SEG speci fi es the densi ty of segregati on si tes at the grai n boundary (Equati on 3-53).
GB.ENERGY speci fi es the grai n boundary energy whi ch accounts for the grai n si ze evol uti on duri ng
recrystal l i zati on (uni t: eV/cm
2
, defaul t: 1.0), ( i n Equati on 3-54).
GB.DIX.0 speci fi es the grai n boundary si l i con sel f-di ffusi vi ty (uni t: cm
2
/sec, defaul t: 1.0e-12), (see
Equati on 3-55).
GB.DIX.E speci fi es the acti vati on ener gy of the gr ai n boundary si l i con sel f-di ffusi vi ty (uni t: eV,
defaul t: 0.0), (see Equati on 3-55).
ATHENA Users Manual MATERIAL Statement
7-76 SILVACO International
Parameter related to REFLOW calculations
REFLOW speci fi es that the materi al wi l l fl ow when a DIFFUSE step i ncl udi ng REFLOW is defi ne
GAMMA.REFLO speci fi es the surface tensi on parameter used i n the refl ow cal cul ati on.Uni ts are
dyne/cm. Note that the materi al vi scoci ty (VISC.* parameters) wi l l al so affect the rate of refl ow.
Parameters related to the CNET Models
CR.0, CR.E set the capture cross secti ons for poi nt defect recombi nati on i n the CNET di ffusi on
model s. These parameters are the pre-factor and acti vati on energy respecti vel y. Uni ts are Angstroms
and eV, defaul ts are 2.35 and 0.0.
Parameters related to the grid control
NO.FLIP speci fi es that tri angl e fl i ppi ng procedure shoul d not be appl i ed to the speci fi ed materi al .
Examples
The fol l owi ng statement speci fi es the si l i con rel ati ve permi tti vi ty.
MATERIAL SILICON EPS=11.9
The fol l owi ng statement speci fi es the ni tri de coeffi ci ent of ther mal expansi on as a functi on of absol ute
temperature T. Thus, at 0K the coeffi ci ent i s .0003%/K. The i ni ti al stress i n the ni tri de fi l m i s 1.4
10
10
dyne cm
-2
and Youngs modul us for the ni tri de fi l m i s 3.0 10
12
dyne cm
-2
.
MATERIAL NITRIDE LCTE=(3E-6 + 2*1E-10 * T) \
INTRIN.SIG=1.4E10 YOUNG.M=3E12
The fol l owi ng statement defi nes some pr oper ti es of a mater i al cal l ed BPSG. The mater i al i s composed
of si l i con, oxygen, boron, and phosphorus wi th fracti on composi ti on .3, .6, .05, and .05, respecti vel y.
Monte Carl o I mpl ants coul d be performed i nto thi s materi al based on thi s defi ni ti on.
MATERIAL MATERIAL=BPSG AT.NUM.1=14 AT.NUM.2=8 AT.NUM.3=5 \
AT.NUM.4=15 AT.MASS.1=28.086 AT.MASS.2=16 AT.MASS.3=10.8 \
AT.MASS.4=31 ABUND.1=.3 ABUND.2=.6 ABUND.3=.05 ABUND.4=.05
See Also: OXIDE, STRESS, DIFFUSE
METHOD Statement Statements
SILVACO International 7-77
METHOD
METHOD Sel ects numeri cal methods and model s for di ffusi on and oxi dati on.
Syntax
METHOD VACANCIES|INTERSTIT|ARSENIC|PHOSPHORUS|INDIUM
ANTIMONY|BORON|OXIDANT|VELOCITY|TRAPS|GOLD|PSI|PAC|
|BERYLLIUM|SELENIUM|SILICON|MAGNESIUM|ZINC
[REL.ERROR=<n>][ABS.ERROR=<n>][INIT.TIME=<n>]PDINIT.TIME
TRBDF|FORMULA][LOWTHER]
[FILL][PERIMETER=<n>][MIN.FILL][MIN.FREQ=<n>]
[GAUSS|CG][BACK=<n>][BLK.ITLIM=<n>][TIME|ERR|NEWTON]
[DIAG|KNOT|FULL.FAC][FERMI|TWO.DIM|STEADY|FULL.CPL
POWER][I.LOOP.SINK] [CLUSTER.DAM][HIGH.CONC]
[ERFC|ERFG|ERF1|ERF2|VERTICAL|COMPRESS|VISCOUS]
[GRID.OXIDE=<n>][GRIDINIT.OX=<n>][SKIP.SIL][OXIDE.GDT=<n>]
[REDO.OXIDE=<n>][OXIDE.EARLY=<n>][OXIDE.LATE=<n>]
[OXIDE.REL=<n>][T.DEFECT=<n>][TRUNC.DEF=<n>]
[GLOOP.IMAX=<n>][GLOOP.EMIN=<n>][GLOOP.EMAX=<n>]
[FE.RELERR=<n>][FE.ABSERR=<n>][TD.RELERR=<n>]
[TD.ABSERR=<n>][ST.RELERR=<n>][ST.ABSERR=<n>][FU.RELERR=<n>]
[FU.ABSERR=<n>][VERBOSE][GRIDINIT.OXIDE=<n>]
[GRID.SILICI=<n>][GRIDINIT.SI=<n>
[LIFT.POLY][LIFT.OXIDE][LIFT.NITRID][OX.OBFIX=<n>]
[SILICIDE][SLCD.RELERR][SLCD.ABSERR]
[LOWTHER][POLY.DIFF][FLIP.FACTOR=<n>]
[ADAPT][DEPO.SMOOTH]ETCH.SMOOTH][DIFF.SMOOTH][STEP.SMOOTH]
[IMPLANT.MES=<n>]
[PAIR.DEFEC][CLUSTER.DEFEC][CHARGE.DEFEC][DIFFSVTY.DEFEC]
[RECOM.DEFEC][PERCO.DEFEC] [CNET.MODEL]
[CLUSTER.S4]
[OX.THRESH=<n>] [MIN.TEMP=<n>]
[ILFEM] [ILF.INITT=<n>] [ILF.TOLERAN=,n.] [ILF.STPTOL=<n>]
Description
Thi s statement i s used to set fl ags to sel ect the vari ous mathemati cal al gori thms that wi l l be used to
produce the si mul ati on and to sel ect the desi red di ffusi on and oxi dati on model compl exi ty. Appropri ate
defaul ts for the numeri cal parameters are i ncl uded i n the fi l e (athenamod) so i t may be onl y necessary
to speci fy the desi red di ffusi on and oxi dati on model . The numeri cal methods used i n ATHENA for the
sol uti on of the di ffusi on equati ons are descr i bed i n [12].
ATHENA Users Manual METHOD Statement
7-78 SILVACO International
Parameters related to DIFFUSION models
FERMI, TWO.DIM, STEADY, and FULL.CPL speci fy the type of di ffusi on equati ons to be sol ved
wi th parti cul ar regard to the poi nt defect model s. (see Poi nt Defect Di ffusi on). The FERMI parameter
speci fi es that the defects are assumed to be a functi on of the Fermi l evel onl y. The TWO.DIM parameter
speci fi es that a ful l ti me dependent transi ent si mul ati on shoul d be performed. The STEADY parameter
speci fi es that the defects are assumed to be i n a steady state. The FULL.CPL parameter speci fi es that
ful l coupl i ng between defects and dopants shoul d be i ncl uded. The defaul t i s FERMI.
Note: A complete description of the use of these diffusion models for typical applications can be found
in the Tutorial Chapter of this manual.
CLUSTER.DAM speci fi es that the Stanford (311) cl uster model i s enabl ed al l owi ng a scal ed profi l e of
(311) cl usters duri ng a subsequent i mpl ant. Thi s model shoul d be used onl y when FULL.CPL i s al so
speci fi ed I t further causes a transi ent di ssol uti on of the (311) cl usters l eadi ng to bul k i ntersti ti al
i njecti on. The CLUSTER statement i s used to set parameters for thi s model .
Note: For correct operation METHOD CLUSTER.DAM FULL.CPL must be set before the IMPLANT
statement that generates the (311) clusters.
HIGH.CONC speci fi es that extra dopant concentrati on dependent poi nt defect recombi nati on model
terms are enabl ed. The parameters: ISUFACT, IVFACT and ITFACT on the INTERSTITIAL
command are used when METHOD HIGH.CONC i s enabl ed.
I.LOOP.SINK, V.LOOP.SINK speci fi es that a di sl ocati on l oop band may be speci fi ed duri ng a
subsequent i mpl ant and that the l oops may behave as an i ntersti ti al or vacancy si nk duri ng di ffusi on.
The command DISLOC.LOOP i s used to set parameters for thi s model .
POLY.DIFF speci fi es that the two-stream pol ysi l i con di ffusi on model shoul d be used. See the Model s
Chapter and MATERIAL statement. To operate accuratel y thi s model must be set before the deposi ti on
of the pol ysi l i con materi al .
POWER speci fi es a si mpl er di ffusi on model appropri ate for l arge scal e devi ces. Thi s model has si mpl e
temperature based di ffusi on coeffi ci ents wi th no cal cul ati on of poi nt defects. Dopant segregati on i s
al so negl ected i n thi s model .
CLUSTER.S4 speci fi es that a dopant cl usteri ng model for acti vati on shoul d be used for all
i mpuri ti es. Thi s i s fal se by defaul t, i mpl yi ng that the cl usteri ng model i s used onl y for Arseni c whi l e
al l other dopant speci es use a si mpl e sol i d sol ubi l i ty model .
LOWTHER speci fi es that Lowther s fl ux di screti zati on techni que be used. For a gi ven gri d,
LOWTHER=t i s about 30% sl ower than LOWTHER=f, but i t i s more accurate i n the di ffused profi l es.
For a gi ven accuracy, LOWTHER offers a gi rd that i s 2-3 ti mes coarser for di ffusi on model i ng whi ch
transl ates i nto an overal l speed i mprovement of better than 5X for di ffusi on steps. Thi s parameter i s
true by defaul t.
MIN.TEMP speci fi es the mi ni mum temperature for whi ch i mpuri ty di ffusi on i s consi dered. At
temperatures bel ow MIN.TEMP the i mpuri ti es are consi dered i mmobi l e. The defaul t i s 700C. Wi th
cauti on, thi s parameter may be set to a l ower val ue for certai n di ffusi on steps.
METHOD Statement Statements
SILVACO International 7-79
Parameters related to OXIDATION models
ERFC, ERFG, ERF1, ERF2, VERTICAL, COMPRESS, and VISCOUS are oxi dati on model s (see
the secti on on Oxi dati on Model s). The ERFC parameter i ndi cates that a si mpl e error functi on
approxi mati on to a bi rds beak shape shoul d be used. The ERF1 and ERF2 model s are anal yti c
approxi mati ons to the bi rds beak from the l i terature (see the OXIDE statement). The ERFG model
chooses whi chever of ERF1 or ERF2 i s most appropri ate. Al l erf model s are appl i cabl e onl y to the
si mpl est case of oxi dati on to the ri ght of the mask edge. Al l rel evant parameters i n the OXIDE
statement must be explicitly specified when usi ng any of the ERF* model s.
The VERTICAL model i ndi cates that growth i s enti rel y verti cal . The COMPRESS model regards the
oxi de as a compressi bl e l i qui d. The VISCOUS model treats the oxi de as an i ncompressi bl e vi scous
l i qui d. Oxi de i s actual l y bel i eved to be i ncompressi bl e, but the compressi bl e model runs faster. The
defaul t i s the COMPRESS model
Note: For Hints on the use of the different oxidation models see the Tutorial Chapter
Note: Use of the VERTICAL model is not recommended in ATHENA
OX.THRESH speci fi es that the oxi dati on threshol d model i s enabl ed. Thi s does not al l ow oxi dati on
when the concentrati on of oxi dant drops bel ow a cri ti cal threshol d val ue set by MIN.OXIDANT on the
OXIDE statement.
SKIP.SIL i s a Bool ean parameter whi ch control s the computati on of stress i n si l i con. SKIP.SIL
defaul ts to true. stress whi ch can be computed onl y when the VISCOUS oxi de model i s used. The
si l i con substrate i s treated as an el asti c sol i d subject to the tensi ons generated by the oxi de fl ow.
I ndi scri mi nate use i s not recommended. The si l i con gri d i s usual l y much l arger than the oxi de gri d,
and stress computati on i s correspondi ngl y more l engthy.
LIFT.POLY, LIFT.OXIDE, and LIFT.NITRID speci fi es that the pol ysi l i con, oxi de, and ni tri de
materi al s can be l i fted by oxi dati on or si l i ci dati on processes. These are true by defaul t, but can be set
to fal se to el i mi nate the l i fti ng porti on of the cal cul ati on for geometri es where l i fti ng i s not expected to
occur.
REDO.OXIDE To save ti me, the oxi de fl ow fi el d need not be computed every ti me the di ffusi on
equati on for i mpuri ti es i s sol ved. The parameter, REDO.OXIDE, speci fi es the per centage of the ti me
requi red to oxi di ze the thi ckness of one gri d l ayer whi ch shoul d el apse before resol vi ng the fl ow fi el d.
Usual l y REDO.OXIDE i s much l ess than OXIDE.GDT, whi ch i s an upper bound on how l ong the
sol uti on shoul d wai t. I t i s mai nl y i ntended to excl ude sol vi ng oxi dati on at each and every one of the
fi rst few mi l l i second ti me steps when defects are bei ng tracked.
Parameters Related to Timestep Control
INIT.TIME speci fi es the i ni ti al ti mestep val ue. The defaul t i s 0.1 seconds.
PDINIT.TIME speci fi es the i ni ti al ti me step for poi nt defect di ffusi on. Poi nt defects are hel d fi xed for
the fi rst ti mestep. The defaul t i s 10
-5
seconds.
T.DEFECT speci fi es ti me i n seconds for whi ch poi nt defect i njecti on wi l l be negl ected duri ng an
oxi dati on. The defaul t i s 5 seconds.
OXIDE.GDT l i mi ts the ti mestep duri ng oxi dati on to a fracti on (OXIDE.GDT) of the ti me r equi red to
oxi di ze the thi ckness of one gri d l ayer (GRID.OXIDE). The ti mestep may be l i mi ted by oxi dati on as
ATHENA Users Manual METHOD Statement
7-80 SILVACO International
wel l as by di ffusi on and the val ue of OXIDE.GDT wi l l l i mi t the ti mestep i f i t i s more stri ngent than the
l i mi ts i mposed by di ffusi on. OXIDE.GDT <<1 i s recommended to i mprove resol uti on of oxi di zi ng
di ffusi ons. The defaul t i s 0.25.
TRBDF and FORMULA speci fy the ti me i ntegrati on method to be used. The TRBDF parameter
i ndi cates that a combi nati on trapezoi dal rul e/backward di fference shoul d be used. The error i s
esti mated usi ng Mi l nes devi ce. The FORMULA method al l ows you to speci fy the ti me step di r ectl y as a
functi on of ti me (t), previ ous ti me step (dt) and gri d ti me (gdt). Thi s opti on i s pri mari l y for
testi ng. The TRBDF method i s the defaul t. The ti mestep methods have been taken from [28] and [19].
Parameters related to Solution Numerics
Note: The following parameters relate to solutions using the traditional numerical method and not the
ILFEM method described below.
VACANCIES, INTERSTIT, ARSENIC, PHOSPHORUS, ANTIMONY, PAC, BERYLLIUM,
SELENIUM, SILICON, MAGNESIUM, ZINC, BORON, OXIDANT, VELOCITY, TRAPS, GOLD,
and PSI are the parameters to speci fy a si ngl e i mpuri ty or potenti al . The error bound (tol erance)
parameters are speci fi c for each i mpuri ty.
REL.ERR i ndi cates the preci si on wi th whi ch the i mpuri ty sol uti on must be sol ved. I n general , the
actual error wi l l be l ess than hal f of the i ndi cated error. The defaul ts are 0.01 for al l i mpuri ti es except
the potenti al , whi ch i s sol ved to 0.001. I f thi s parameter i s used an i mpuri ty shoul d al so be speci fi ed.
ABS.ERR speci fi es the error tol erance absol ute val ue. For dopants, the absol ute error defaul ts to 1.0
10
9
. For defects, the absol ute error defaul ts to 1.010
5
. For the potenti al , the error defaul ts to 1.010
-6
.
I f thi s parameter i s used an i mpuri ty shoul d al so be speci fi ed.
FE.RELERR and FE.ABSERR speci fi es the rel ati ve error and absol ute error for the FERMI model .
TD.RELERR and TD.ABSERR speci fi es the rel ati ve error and absol ute error for the TWO.DIM
model .
ST.RELERR and ST.ABSERR speci fi es the rel ati ve error and absol ute error for the STEADY model .
FU.RELERR and FU.ABSERR speci fi es the rel ati ve error and absol ute error for the FULL.CPL
model .
SILICIDE, SLCD.RELERR, and SLCD.ABSERR defi nes the absol ute and rel ati ve error cri teri a for
si l i ci dati on cal cul ati ons.The SILICIDE parameter i s a bool ean to sel ect that these errors are met for
convergence. I t i s true by defaul t.
MIN.FILL and MIN.FREQ al l ows users to speci fy a mi ni mum fi l l . I t defaul ts to true. Thi s i s a hi ghl y
recommended opti on si nce i t can reduce the matri x si zes by a factor of two or more, and operati on
speed i s a functi on of the si ze of the matri x. MIN.FREQ i s a parameter whi ch control s the frequency of
the mi ni mum fi l l reorderi ngs. I t i s onl y parti al l y i mpl emented and has no effect on the cal cul ati on.
GAUSS and CG al l ows the user to speci fy the type of i terati on performed on the l i near system as a
whol e. CG speci fi es that a conjugate resi dual shoul d be used. The CG al gori thm i s descri bed i n [30].
BACK speci fi es the number of back vector s that can be used i n the CG outer i ter ati on. The defaul t i s
three and the maxi mum possi bl e val ue i s si x.
Note: A higher value of BACK will give faster convergence at the cost of more memory usage.
METHOD Statement Statements
SILVACO International 7-81
BLK.ITLIM i s the maxi mum number of bl ock i terati ons that can be taken. The bl ock i terati on wi l l
fi ni sh at thi s poi nt i ndependent of convergence.
TIME, ERROR, and NEWTON speci fi es the frequency wi th whi ch the matri x shoul d be factored. The
defaul t i s TIME. The TIME parameter speci fi es that the matri x shoul d be factored twi ce per ti me step.
Thi s opti on takes advantage of the si mi l ari ty i n the matri x across a ti me i ntegrati on. The ERROR
parameter i ndi cates that the matri x shoul d be factored whenever the error i n that bl ock i s decreasi ng.
The NEWTON parameter forces factori zati on at every NEWTON step.
DIAG, KNOT, and FULL.FAC speci fi es the amount of fi l l to be i ncl uded i n the factori zati on of the
matri x. FULL.FAC i ndi cates that the enti re amount of fi l l i s to be computed. The DIAG parameter
i ndi cates that onl y the di agonal bl ocks shoul d be factored i n the matri x. The KNOT parameter i s
i nacti ve. DIAG i s the defaul t parameter, al though under certai n condi ti ons (one-di mensi onal stri pes),
FULL.FAC wi l l perform better.
OXIDE.EARLY, OXIDE.LATE, and OXIDE.REL shoul d not normal l y be modi fi ed. They rel ate to
i nternal numeri cal mechani sms, and are descri bed here onl y for the sake of compl eteness. A node
whose spaci ng decreases proporti onal l y by more than OXIDE.LATE i s marked for removal . Al so, i f any
nodes are bei ng removed, then al l nodes greater than OXIDE.EARLY are removed.For earl i er node
removal (fewer obtuse tri angl es), try OXIDE.LATE=0.3 and OXIDE.EARLY=0.1. Though not l ogi cal , i t
i s harml ess for OXIDE.EARLY to be greater than OXIDE.LATE. The OXIDE.REL parameter i s the
percentage error i n vel oci ti es for the nonl i near vi scous model . The defaul t i s 1.010
-2
(that i s, a 1.0
percent error). OXIDE.REL can be i ncreased for a faster sol uti on.
TRUNC.DEF speci fi es that defect concentrati ons that become negati ve due to numeri cal di ffi cul ti es
be forced to a posi ti ve val ue.
Parameters related to Grid Control during Oxidation
Many gri d rel ated probl ems duri ng oxi dati on are rel ated to the i ni ti al oxi de deposi ti on. See the OXIDE
statement for more about i ni ti al oxi des.
GRID.OXIDE=<n> i s the desi red thi ckness, i n mi crons, of gri d l ayers to be added to the growi ng
oxi de. I t has an effect on ti me steps (refer to OXIDE.GDT). The defaul t for thi s parameter i s 0.1
mi crons.
GRIDINIT.OX speci fi es the i ni ti al oxi de spaci ng (i n mi crons) whi ch wi l l be used i n the fi el d regi on.
The defaul t for thi s parameter i s 0.1 mi crons.
GRID.SILICI speci fi es the maxi mum si l i ci de gri d spaci ng (i n mi crons). The defaul t for thi s parameter
i s 0.1 mi crons.
GRIDINIT.SI speci fi es the i ni ti al si l i ci de spaci ng (i n mi crons) whi ch wi l l be used i n the fi el d regi on.
The defaul t for thi s parameter i s 0.1 mi crons.
GLOOP.EMIN, GLOOP.EMAX, and GLOOP.IMAX control s l oop detecti on duri ng gri d
mani pul ati on. The defaul t val ue i s GLOOP.IMAX = 170. Loop detecti on checks for i ntrusi ons and
extrusi ons i n the boundary. The i ntrusi on-fi xi ng al gori thm i s tri ggered by angl es greater than
GLOOP.IMAX. A l arger val ue means that more extreme i ntrusi ons can devel op and i ncreases the
possi bi l i ty of a tangl ed gri d. A smal l er val ue l eads to earl i er i ntrusi on-fi xi ng; too smal l a val ue wi l l
l ead to i naccuracy due to premature i nterventi on. Si mi l ar concerns appl y to the other parameters. The
val ues are a compromi se between safety and accuracy. The extrusi on-fi xi ng al gori thm i s al ways
tri ggered by angl es greater than GLOOP.EMAX. I t may be tri ggered by l esser extrusi ons, anythi ng
greater than GLOOP.EMIN, i f the extrusi on i s a si ngl e-tri angl e error i n the boundary. The defaul t val ue
i s GLOOP.EMIN=130. Nei ther of these parameters shoul d be l ess than 90, because the rectangul ar
edges of the si mul ati on space woul d be smoothed.
OX.OBFIX speci fi es the cosi ne squared of the worst angl e al l owed duri ng oxi dati on.
ATHENA Users Manual METHOD Statement
7-82 SILVACO International
FLIP.FACTOR i s a parameter that al l ows the user to control the cri teri a for fl i ppi ng the di agonal of a
pai r of tr i angl es dur i ng oxi dati on. I t i s a measur e of the obtuseness of the angl es of the opposi te nodes
of a pai r of tri angl es. The defaul t val ue i s 1.10
-6
and i t i s uni t-l ess.
FILL speci fi es that voi ds formed duri ng oxi dati on shoul d be fi l l ed.
Parameters related to Grid Control during ETCH
ETCH.EPS sets a tol erance on the gri d movement duri ng ETCH statements. Thi s parameter i s defi ned
i n rel ati ve uni ts. The defaul t i s 10
-6
whi ch corresponds to about 10 Angstroms. Reduci ng thi s number
wi l l al l ow sub-10A etches to be exact. However the possi bi l i ty of smal l tri angl es bei ng created duri ng
etches i s hi gh i f the parameter i s set too l ow. Thi s parameter shoul d not be set to zero.
Parameters used in the Adaptive Meshing Module
ADAPT speci fi es that the adapti ve meshi ng shoul d be performed on the IMPLANT, DIFFUSE or
EPITAXY statements (defaul t fal se).
DEPO.SMOOTH speci fi es that the mesh smoothi ng shoul d be performed after each DEPOSIT
statement.
ETCH.SMOOTH speci fi es that the mesh smoothi ng shoul d be performed after each ETCH statement.
DIFF.SMOOTH speci fi es that the mesh smoothi ng shoul d be performed after each DIFFUSE
statement.
STEP.SMOOTH speci fi es that the mesh smoothi ng shoul d be performed after each ti me step on each
DIFFUSE statement.
IMPLANT.MES speci fi es whi ch adapti ng al gori thm to use on IMPLANT statements, currentl y
IMPLANT.MES = 0 corresponds to Uni v. of Fl ori das al gori thm. Thi s i s the defaul t.
Parameters related to the CNET models
The fol l owi ng parameters rel ate to Mathi ots di ffusi on model s. These model s focus on the appl i cati on
of hi gh concentrati on di ffusi on effects. [ref: Model i ng of dopant di ffusi on i n si l i con: an effecti ve
di ffusi vi ty approach i ncl udi ng poi nt-defect coupl i ngs Dani el Mathi ot, MCNC and Serge Marti n of
CNET., Journ. Appl . Phys. 15th Sept 1991.]
CNET.MODEL i s used to i nvoke al l the CNET model s si mul taneousl y (defaul t: fal se). Thi s shoul d
onl y be used i f FULL.CPL i s al so speci fi ed. Use of the CNET model s requi res the i ni ti al i zati on of
several defaul t parameters. A fi l e cal l ed cnetmod i s suppl i ed to do thi s. See the CNET exampl es
suppl i ed wi th the program for i nstructi ons on thi s fi l e. Refer to the MATERIAL statement to control the
capture cross secti on for poi nt defect recombi nati on. (MATERIAL CR.0=2.35 and CR.E=0)
Note: Although each of the CNET models can be turned on individually using the syntax listed below, it
is not recommended that you do this. All CNET models should be turned on together using METHOD
FULL.CPL CNET.MOD.
PAIR.DEFEC i s used to sel ect i mpuri ty-defect pai r model whi ch accounts for non-negl i gi bl e i mpuri ty-
defect pai r concentrati on at hi gh dopant rati os (defaul t: fal se). To control thi s model use the fol l owi ng
parameters on the IMPURITY l i ne: ROUI.0=1 ROUI.E=0 ROUV.0=1 ROUV.E=1.
METHOD Statement Statements
SILVACO International 7-83
CLUSTER.DEFEC i s used to sel ect stati c cl usteri ng model (defaul t: fal se) to sel ect model to account
for charge states of dopant-defect pai rs (defaul t: fal se). Here, the vari ous charge state rel ated coupl i ng
terms are defi ned by defi ni ng the rati os of coupl ed i mpuri ty-defect pai rs wi th equi l i bri um neutral
defect concentrati ons. The user i s referred to the INTERSTITIAL and VACANCY commands for the use
of the parameters: NEU.0, NEU.E, NEG.0, NEG.E, DNEG.0, DNEG.E, POS.0, POS.E,
DPOS.0, DPOS.E Further, the fracti onal i ntersti ti al cy (the degree by whi ch di ffusi on medi ated by
l ocal i ntersti ti al concentrati ons) i s gi ven as temperature dependent val ues on the IMPURITY l i ne as:
FI.0=<n> FI.E=<n>.
DIFFSVTY.DEFEC i s used to sel ect a model to account for i ntri nsi c di ffusi vi ty as di sti nct from the
i mpuri ty-defect pai rs (defaul t: fal se). To control thi s model , use the I ntri nsi c Di ffusi vi ty parameters on
the IMPURITY l i ne: DII.0 and DII.E (defaul ts are i mpuri ty dependent).
RECOM.DEFEC i s used to sel ect a model to account for i mpuri ty assi sted I /V recombi nati on (defaul t:
fal se).
PERCO.DEFEC i s used to sel ect a model to account for percol ati on effect of hi gh phosphorus/boron
di ffusi on (defaul t: fal se). To control thi s model , use the fol l owi ng parameters on the IMPURITY l i ne:
IMPURITY CPERC=2.5e20 FPERC.0=2e4 FPERC.E=0
Some CNET model parameters are set wi th the INTERSTITIAL and VACANCY.
Parameters related to the ILFEM Numerical Method
The fol l owi ng parameters rel ate to the I mpl i ci t Li near Fi ni te El ement Method (I LFEM) of sol vi ng
di ffusi on equati ons. Thi s method i s i mpl emented i nto ATHENA as an al ternati ve to sol uti on methods
used i n ori gi nal SUPREM-I V. The mai n advantages of the I LFEM are hi gher speed and better
convergency for many appl i cati ons. I LFEM uses Object-Ori ented software archi tecture whi ch al l ows
much better prototypi ng and i mpl ementati on of advanced physi cal model s i nto ATHENA.
ILFEM speci fi es that the I LFEM modul e be used i n al l subsequent di ffusi on steps. I LFEM can be
used for i ner t di ffusi ons wi th FERMI, TWO.DIM, FULL.CPL and CLUSTER.DAM.
Note: If the ILFEM module cannot be used for current structure or for specified process conditions, it will
issue a warning explaining the reason. The program then reverts to the traditional method for the current
DIFFUSE statement.
ILF.INITT speci fi es an i ni ti al ti mestep for the I LFEM sol uti on method. Defaul t i s 1.0*10
-5
sea.
ILF.TOLERAN speci fi es l i near sol ver tol erance (resi dual ) for the I LFEM sol uti on method. Defaul t i s
1.0*10
-4
.
ILF.STPTOL control s the sel ecti on of ti me steps. The smal l er val ue of I LF.STPTOL the smal l er ti me
steps wi l l be used by di ffusi on sol ver.
Example setting tolerances
The fol l owi ng statement speci fi es that the arseni c equati on shoul d be sol ved wi th a r el ati ve er r or of
1% and concentrati ons bel ow 1 x 10
9
can be i gnored.
METHOD ARSEN REL.ERR=0.01 ABS.ERR=1.0E9
ATHENA Users Manual METHOD Statement
7-84 SILVACO International
Example setting numerical techniques
The fol l owi ng statement speci fi es that mi ni mum fi l l reorderi ng shoul d be done and that the enti re
system shoul d be sol ved usi ng a conjugate resi dual techni que wi th three back vectors. The i ni ti al ti me
step shoul d be 0.1 seconds and ti me shoul d be i ntegrated usi ng the TRBDF parameter. The FERMI
model shoul d be used for di ffusi on and the COMPRESS model for the oxi de growth.
METHOD MIN.FILL CG BACK=3 INIT.TI=0.1 TRBDF FERMI COMPRESS
Example setting diffusion model for power devices
The fol l owi ng step speci fi es that a si mpl e di ffusi on model shoul d be used appropri ate for power
el ectroni c devi ces.
METHOD POWER
DIFFUSION TEMP=1000 TIME=300 NITROGEN
Example setting the CNET Model
The fol l owi ng statement speci fi es the tr ue use of the ful l set of CNET model extensi ons to the
FULL.CPL model shoul d be used. I n thi s case, a speci al model fi l e wi th the defaul t coeffi ci ents for the
CNET model shoul d be sourced i mmedi atel y after the model defi ni ti on:
METHOD FULL.CPL CNET.MOD
SOURCE CNET.MOD
Example setting diffusion models for RTA
The fol l owi ng statement i nvokes al l <311> cl uster model s for RTA si mul ati on. I t must be set before the
IMPLANT statement that generates the cl uster damage.
METHOD NEWTON FULL.CPL CLUSTER.DAM I.LOOP.SINK HIGH.CONC BACK=6
IMPLANT ....
DIFFUSE ....
Example setting and disabling the ILFEM method
The fol l owi ng sequence demonstrates the syntax for setti ng the numeri cal method I LFEM and then
the syntax for di sabl i ng thi s method and reverti ng to the tradi ti onal numeri cs for di ffusi on sol uti ons:
# set the ILFEM method
METHOD ILFEM
DIFFUSE ...
# disable the ILFEM method
METHOD ILFEM=f
DIFFUSE ...
MOMENTS Statement Statements
SILVACO International 7-85
MOMENTS
MOMENTS control s moments speci fi cati on for anal yti cal i mpl ant model s.
Syntax
MOMENTS
[SVDP_TABLES|STD_TABLES|USR_SVDP_TAB|USR_STD_TAB]
[USER_TABLE=<c>][IGNORE_MOM]
[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|PHOTORESIST|BARRIER
ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS|ALGAAS|INGAAS|
SIGE|INP|MATERIAL=<c>]
I.ARSENIC|I.PHOSPHOR|I.BORON|I.ANTIMONY|I.BF2|I.SILICON|I.GERMANIUM
I.ZINC|I.SELENIUM|I.BERYLLIUM|I.MAGNESIUM|I.CHROMIUM|I.ALUMINUM|
I.GOLD|I.GALLIUM|I.CARBON|I.INDIUM
[DOSE=<n>][ENERGY=<n>]
[RANGE=<n>][STD.DEV=<n>][GAMMA=<n>][KURTOSIS=<n>
[LSTD.DEV][LGAMMA][LKURTOSIS] [SKEWXY]
[SRANGE=<n>][SSTD.DEV=<n>][SGAMMA=<n>][SKURTOSIS=<n>]
[LSSTD.DEV][LSGAMMA][LSKURTOSIS][DRATIO=<n>]
[DAMAGEMOD.FN]
Description
Thi s statement i s used for setti ng user-defi ned i mpl ant moments. These moments can then be vari ed
for better data fi ts ei ther by setti ng moments for an i ndi vi dual i mpl ants or readi ng a compl ete i mpl ant
range tabl e.
Parameters used to select Moment Tables
USER_TABLE=<c> speci fi es the fi l e whi ch contai ns user-defi ned l ook-up i mpl ant parameter tabl es.
SVDP_TABLES speci fi es that the SI MS Veri fi ed Dual Pearson (SVDP) moments tabl es wi l l be used
wi th dual Pearson i mpl ant model . Defaul t i s true. (See Implantation Models i n Chapter 3 for more
detai l s.)
STD_TABLES speci fi es that UT_TABLES are i gnored and standard tabl es are used wi th the
subsequent i mpl ant statements.
USR_SVDP_TAB speci fi es that user-defi ned moments fi l e (see parameter USER_TABLE) wi l l be used
and that format of thi s fi l e i s the same as SVDP_TABLES.
USR_STD_TAB speci fi es the user-defi ned moments fi l e (see parameter USER_TABLE) wi l l be used
wi th standard format. See <install.area>/lib/athena/<version>/common/userimp for a
templ ate.
Implant Definition Parameters
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, PHOTORESIST, BARRIER,
ALUMINUM, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,
ATHENA Users Manual MOMENTS Statement
7-86 SILVACO International
INGAAS, SIGE, INP and MATERIAL speci fi es the materi al to be used. Onl y one i s al l owed. The
MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
I.ARSENIC, I.PHOSPHOR, I.BORON, I.ANTIMONY, I.BF2, I.SILICON, I.GERMANIUM,
I.ZINC, I.SELENIUM, I.BERYLLIUM, I.MAGNESIUM, I.CHROMIUM, I.ALUMINUM,
I.GOLD, I.GALLIUM, I.CARBON, and I.INDIUM speci fi es the i mpuri ty to be used. Onl y one i s
al l owed.
DOSE i s an i nci dent i on dose (/cm
2
).
ENERGY sets the i nci dent i on energy (keV).
Parameters used for specification of Spacial Moments
RANGE (RP) sets the projected range (mi crons).
STD.DEV (DRP) sets the standard devi ati on (mi crons).
GAMMA (SKEWNESS) sets the thi rd moment. Defaul t i s 0.0.
KURTOSIS sets the fourth moment. Defaul t i s 3.0.
LSTD.DEV(LDRP) sets the l ateral standard devi ati on (mi crons).
SKEWXY sets the mi xed thi rd moment.
KURTXY sets the l ateral mi xed fourth moment.
KURTT sets the l ateral fourth moment. Defaul t i s 3.0.
SRANGE (SRP) sets the projected range for second Pearson (mi crons).
SSTD.DEV(SDRP) sets the standard devi ati on for second Pearson (mi crons).
SGAMMA (SSKEW) sets the thi rd moment for second Pearson functi on. Defaul t i s 0.0.
SKURTOSIS sets the fourth moment for second Pearson functi on. Defaul t i s 3.0.
LSSTD.DEV(LSDRP) sets the l ateral standard devi ati on for second Pearson (mi crons).
SSKEWXY sets the mi xed thi rd moment for second Pearson. Defaul t i s 0.0.
SKURTXY sets the mi xed fourth moment for second Pearson. Defaul t i s 0.0
SKUPTT sets the l ateral fourth moment for second Pearson. Defaul t i s 3.0.
DRATIO speci fi es the dose r ati o R i n the doubl e Pearson functi on.
Reset Parameters
IGNORE_MOM speci fi es that al l previ ous MOMENTS statements wi l l be i gnored.
Implant Damage Parameters
DAMAGEMOD.FN speci fi es the name of the C-l anguage fi l e whi ch control s damage model s as a
functi on of dopi ng l evel s. Thi s fi l e i s used on subsequent IMPLANT statements by the C-i nterpreter.
MOMENTS Statement Statements
SILVACO International 7-87
Examples
The MOMENTS statement i s used to defi ne user moments through a conveni ent command l anguage.
The fol l owi ng exampl e sets the moments for boron i mpl antati on i nto the user-defi ned materi al
SAPPHIRE.
MOMENTS MATERIAL=SAPPHIRE I.BORON DOSE=1.6e12 ENERGY=25 \
RANGE=0.098 STD.DEV=0.045 GAMMA=-0.04 KURTOSIS=3.5
See Also: IMPLANT
ATHENA Users Manual OPTICAL Statement
7-88 SILVACO International
OPTICAL
OPTICAL sets the opti cal parameters of materi al s for OPTOLI TH.
Syntax
OPTICAL[SILICON|GAAS|OXIDE|OXYNITRIDE|NITRIDE
POLYSILICON|ALUMINUM|PHOTORESIST|
ALGAAS|INGAAS|SIGE|INP|
TUNGSTEN|TITANIUM|PLATIMUM|WSIX
TISIX|PTSIX|MATERIAL=<c>][NAME.RESIST=<c>]
[LAMBDA=<n>][I.LINE|G.LINE|H.LINE|DUV.LINE]
[REFRAC.REAL=<n>][REFRAC.IMAG=<n>]
Description
Thi s command sets the opti cal parameters, refl ecti ve i ndex, and exti ncti on coeffi ci ent or
REFRAC.REAL and REFRAC.IMAG for each materi al at a parti cul ar wavel ength. I f photoresi st i s used,
NAME.RESIST must al so be speci fi ed. These statements can be put i nto the athenamod fi l e so that
they are automati cal l y l oaded each ti me ATHENA i s run.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, PHOTORESIST,
TUNGSTEN, TITANIUM, PLATINUM, WSIX, TSIX, GAAS, PTSIX, ALGAAS, INGAAS, SIGE,
INP and MATERIAL speci fi es the materi al to be used.The MATERIAL=<c> parameter shoul d onl y be
used for user-defi ned materi al s.
NAME.RESISTspeci fi es the name of the photoresi st.
I.LINE, G.LINE, H.LINE, DUV.LINE, and LAMBDA speci fi es the l i ne or the wavel ength i n
mi crometers. WAVELENGTH i s an al i as for LAMBDA.
REFRAC.REAL speci fi es the real component of the refracti ve i ndex.
REFRAC.IMAG speci fi es the i magi nary component of the r efr acti ve i ndex.
Examples
The OPTICAL statement i s used to l oad refracti ve i ndex val ues i nto ATHENA for each wavel ength. A
typi cal statement l ooks as fol l ows:
OPTICAL SILICON WAVELENGTH=.365 REFRAC.REAL=4.5 REFRAC.IMAG=5.2
User-defi ned materi al s can be entered i n the fol l owi ng format:
OPTICAL MATERIAL=XXX WAVELENGTH=.365 REFRAC.REAL=1.4 \
REFRAC.IMAG=.3
See Also: EXPOSE, IMAGE
OPTION Statement ELITE Models
SILVACO International 7-89
OPTION
OPTION l evel of run-ti me output.
Syntax
OPTION [QUIET|NORMAL|VERBOSE|DEBUG|WARNING]
Description
Thi s statement speci fi es the l evel of i nformati on sent to the tty termi nal wi ndow of DECKBUI LD.
QUIET, NORMAL, VERBOSE. DEBUG, and WARNING determi nes the amount of i nformati on
that i s output to the user about errors, CPU ti mes, behavi or of the al gori thms. The defaul t i s QUIET.
The VERBOSE and DEBUG modes are i ntended mai nl y for debuggi ng by devel opers.
Examples
The fol l owi ng statement sets the r outi ne output to i ncl ude mor e i nfor mati on.
OPTION NORMAL
See Also: VWF Interactive Tools manual
ATHENA Users Manual OXIDE Statement
7-90 SILVACO International
OXIDE
OXIDE speci fi es coeffi ci ents for use duri ng oxi dati on steps.
Syntax
OXIDE ORIENT=<n>
DRY02|WET02
[LIN.L.0=<n>][LIN.L.E=<n>][LIN.H.0=<n>][LIN.H.E=<n>
[L.BREAK=<n>][L.PDEP=<n>][PAR.L.0=<n>][PAR.L.E=<n>]
[PAR.H.0=<n>][PAR.H.E=<n>][P.BREAK=<n>][P.PDEP=<n>]
[THINOX.0=<n>][THINOX.E=<n>][THINOX.L=<n>][HCL.PC=<n>]
[HCLT=<n>][HCLP=<n>][HCL.PAR=<n>][HCL.LIN=<n>][BAF.DEP]
[BAF.EBK=<n>][BAF.PE=<n>][BAF.PPE=<n>][BAF.NE=<n>]
[BAF.NNE=<n>][BAF.K0=<n>][BAF.KE=<n>]
[STRESS.DEP|ORI.DEP][ORI.FAC=<n>][VC=<n>][VR=<n>][VD=<n>]
[VT=<n>][DLIM=<n>][GAMMA][SILICON|OXIDE|OXYNITRIDE
NITRIDE|POLYSILICON|TUNGSTEN|TITANIUM|PLATINUM|WSIX|GAAS
ALGAAS|INGAAS|SIGE|INP|TISIX|PTSIX|MATERIAL=<c>]
[/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|/TUNGSTEN
/TITANIUM|/PLATINUM|/WSIX|/TISIX|GAAS|/ALGAAS|/INGAAS|/SIGE|/INP
/PTSIX|/GAS|/MATERIAL=<c>][ALPHA=<n>][HENRY.COEFF=<n>]
[THETA=<n>][DIFF.0=<n>][DIFF.E=<n>][SEG.0=<n>]
[SEG.E=<n>][TRN.0=<n>][TRN.E=<n>][INITIAL=<n>]
[SPREAD=<n>][MASK.EDGE=<n>][NIT.THICK=<n>][ERF.Q=<n>]
[ERF.DELTA=<n>][ERF.LBB=<n>][ERF.H=<n>]
[SPLIT.ANGLE=<n>] [MIN.OXIDANT=<n>]
Description
Al l parameters rel ati ng to oxi dati on are speci fi ed here. Oxi dati on model s are speci fi ed i n the METHOD
statement. Al l oxi dati on model s are descri bed i n the Oxi dati on Model s secti on wi th hi nts i n the
Tutori al Chapter. To properl y set val ues for most coeffi ci ents, i t i s necessary to know whether wet or
dry oxi dati on i s i ntended, and to know the substrate ori entati on.
Note: If a required parameter is omitted (e.g., orientation when a linear rate coefficient is being
specified), then the statement is ignored without warning.
Oxide Growth Rate Parameters
DRYO2, WETO2 speci fi es the type of oxi dati on to whi ch speci fi ed coeffi ci ents appl y. Requi red for
everythi ng except for one-di mensi onal coeffi ci ents and the vol ume rati o.
OXIDE Statement Statements
SILVACO International 7-91
LIN.L.0, LIN.L.E, LIN.H.0, LIN.H.E, L.BREAK, and L.PDEP speci fi es the l i near rate coeffi ci ents
(B/A). A doubl y acti vated Arrheni us model i s assumed. L.BREAK i s the temperature breakpoi nt
between the l ower and hi gher ranges, i n degrees Cel si us. LIN.L.0 i s the prefactor i n mi crons/mi n,
and LIN.L.E i s the acti vati on energy i n eV for the l ow temperature range. LIN.H.0 and LIN.H.E are
the correspondi ng hi gh temperature numbers. L.PDEP i s the exponent of the pressure dependence.
The val ue gi ven i s taken to appl y to <111> ori entati on and l ater adjusted by ORI.FAC accordi ng to the
substrate or i entati on pr esent.
PAR.L.0, PAR.L.E, PAR.H.0, PAR.H.E, P.BREAK and P.PDEP speci fi es the parabol i c rate
coeffi ci ents (B).
ORIENT i s the substrate ori entati on to whi ch the coeffi ci ents speci fi ed appl y, requi red for ori entati on
factor (see bel ow) and thi n oxi de coeffi ci ents. Onl y 100, 110, and 111 are recogni zed. The defaul t i s 100.
ORI.FAC i s the r ati o of B/A on the speci fi ed ori entati on to the ori entati on.
ORI.DEP speci fi es whether the l ocal ori entati on at each poi nt on the surface shoul d be used to
cal cul ate B/A. The defaul t i s true. I f i t i s fal se, the substrate ori entati on i s used at al l poi nts.
THINOX.P i s the thi n oxi de model pressure dependence.
THINOX.0, THINOX.E, and THINOX.L speci fi es coeffi ci ents for the thi n oxi de model pr oposed by
Massoud [14] THINOX.0 i s the prefactor i n mi crons/mi n, THINOX.E i s the acti vati on ener gy i n eV, and
THINOX.L i s the characteri sti c l ength i n mi crons.
HCL.PC, HCLT, HCLP, HCL.PAR, and HCL.LIN i s where the numeri cal parameter, HCL.PC, i s the
percentage of HCl i n the gas stream. I t defaul ts to zero (0). The HCl dependence of the l i near and
parabol i c coeffi ci ents i s obtai ned from a l ook-up tabl e speci fi ed i n the model fi l e. The tabl e rows are
i ndexed by HCl percentage. The row entri es can be speci fi ed wi th the parameter HCLP, whi ch i s an
array of numeri cal val ues, surrounded by doubl e quotes and separated by spaces or commas. The
col umns are i ndexed by temperature. The col umn entri es can be speci fi ed wi th the parameter HCLT,
whi ch i s an array of numeri cal val ues, surrounded by doubl e quotes and separated by spaces or
commas. The dependence of B/A can be speci fi ed wi th the parameter HCL.LIN, whi ch i s an array of
numeri cal val ues, surrounded by doubl e quotes and separated by spaces or commas. The number of
entri es i n HCL.LIN must be the product of the number of entri es i n HCLP and HCLT. The dependence of
B can be speci fi ed wi th the parameter HCL.PAR, whi ch i s an array of numeri cal val ues, surrounded by
doubl e quotes and separated by spaces or commas.The number of entri es i n HCL.PAR must be the
product of the number of entri es i n HCLP and HCLT.
BAF.DEP, BAF.EBK, BAF.PE, BAF.PPE, BAF.NE, BAF.NNE, BAF.K0, and BAF.KE rel ates to the
dopi ng dependence of the oxi dati on rate. The dopi ng dependence i s turned on when BAF.DEP i s true
(defaul t).
STRESS.DEP, VC, VR, VD, VT, and DLIM control s the stress dependence of oxi dati on, whi ch i s onl y
cal cul ated under the VISCOUS model . The parameter, STRESS.DEP, turns on the dependence. The
parameter, VC, i s the acti vati on vol ume of vi scosi ty. The parameter, VR, i s the acti vati on vol ume of
the reacti on rate wi th respect to normal stress. The parameter, VT, i s the acti vati on vol ume of the
reacti on rate wi th respect to tangenti al stress. The parameter, VD, i s the acti vati on vol ume of oxi dant
di ffusi on wi th respect to pressure. The parameter, DLIM, i s the maxi mum i ncrease of di ffusi on
permi tted under tensi l e stress.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, GAAS, GAS, ALGAAS, INGAAS, SIGE, INP and MATERIAL
defi nes materi al 1. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s
/SILICON, /OXIDE<, /OXYNITRIDE, /NITRIDE, /POLYSILICO, /GAAS, /GAS, /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL defi nes materi al 2. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned
materi al s
ATHENA Users Manual OXIDE Statement
7-92 SILVACO International
DIFF.0, DIFF.E, SEG.0, SEG.E, TRN.0, and TRN.E speci fi es the di ffusi on coeffi ci ents of oxi dant i n
materi al 1, and the boundary coeffi ci ents (transport and segregati on) from materi al 1 to materi al 2
as defi ned above. DIFF.0 i s the di ffusi vi ty prefactor i n cm
2
/s, DIFF.E i s the energy i n eV. The
transport coeffi ci ent represents the gas-phase mass transfer coeffi ci ent i n terms of concentrati ons i n
the sol i d at the oxi de-gas i nterface, the chemi cal surface- reacti on rate constant at the oxi de-si l i con
surface, and a regul ar di ffusi ve transport coeffi ci ent at other i nterfaces. The segregati on coeffi ci ent i s
1 at the oxi de-gas i nterface, i nfi ni ty at the oxi de-si l i con i nterface, and a regul ar segregati on coeffi ci ent
at other i nterfaces.
Note: Oxidant in materials other than oxide is allowed to diffuse and segregate, but its concentration is
then ignored (no oxynitridation, for instance).
Note: The diffusion and transport coefficients in oxide to gas and silicon are derived from the Deal-
Grove coefficients, so these parameters are ignored if read from input statements. The analytic models
use the oxide thickness to compute the growth rate. The non-analytic oxide models dont account for the
thin oxide correction in dry oxygen.
HENRY.COEFF (Henrys coeffi ci ent) i s the sol ubi l i ty of oxi dant i n materi al 1 measured i n cubi c
centi meters (cm
3
) at one atmosphere. THETA i s the number of oxygen atoms i ncorporated i n a cubi c
centi meter of oxi de. I n the case of dry oxi dati on, i t i s equal to THETA and i n the case of wet oxi dati on i t
i s equal to 2 THETA. Usual l y the Deal -Grove coeffi ci ents shoul d be changed i nstead of HENRY.COEFF.
THETA speci fi es the concentr ati on of O
2
atoms i ncorporated i n the materi al expressed i n cm
-3
.
ALPHA speci fi es the vol ume expansi on rati o between materi al s 1 and 2.
MIN.OXIDANT speci fi es the mi ni mum oxi dant concentrati on for oxi dati on to occur. Thi s parameter
i s acti ve onl y i f METHOD OX.THRESH i s used.
Parameters related to Grid Control
INITIAL speci fi es the thi ckness of the nati ve (i ni ti al ) oxi de at the start of oxi dati on step. The defaul t
i s 2 nm (20A). I f the any surface of the str uctur e i s bare, an oxi de l ayer of thi s thi ckness i s deposi ted
before oxi dati on begi ns.
Note: The oxidation algorithm requires selective deposition of a native oxide onto all exposed silicon or
polysilicon areas prior to oxidation. Grid problems can result in complex structures. These problems can
be resolved by adjusting INITIAL or by using the DEPOSIT statement to create the native oxide.
SPLIT.ANGLE governs the mi ni mum angl e at whi ch the oxi de wi l l spl i t open one more gri d spaci ng
when oxi di zi ng at a tri pl e poi nt (i .e. where si l i con, oxi de, and ni tri de coi nci de together at a poi nt). The
defaul t for the spl i t angl e i s 22.5 degrees. The SPLIT.ANGLE parameter for tri pl e poi nt oxi dati on i s
materi al dependent. Speci fy the oxi di zi ng materi al wi thout a / and the second materi al wi th a /
usi ng the fol l owi ng format: OXIDE SPLIT.ANGLE=35 SILICON /NITRIDE. There are onl y thr ee
possi bl e combi nati ons and they are: SILICON /NITRIDE, SILICON /POLY, and POLY /NITRIDE.
Thi s may be used to control l ateral encroachment duri ng oxi dati on.
OXIDE Statement Statements
SILVACO International 7-93
Parameters related to the Analytical Oxidation Models (ERF*)
SPREAD and MASK.EDGE are used onl y i n the error-functi on approxi mati on to a bi rds beak shape.
SPREAD i s the rel ati ve l ateral to verti cal extensi on, whi ch defaul ts to 1. The fi tti ng parameter makes
the erfc bi rds beak l ook real i sti c. MASK.EDGE i s the posi ti on of the mask edge i n mi crons, and
defaul ts to negati ve i nfi ni ty. Oxi de grows to the ri ght of the mask edge.
ERF.Q, ERF.DELTA, ERF.LBB, ERF.H, and NIT.THICK appl y to the erfg model [11].
ERF.Q and ERF.DELTA are the DELTA and Q parameters for the erfg model . Normal l y, these do not
need to be changed, but they are avai l abl e i f necessary.
ERF.LBB i s the l ength of the bi rds beak and appl i es to the erfg model onl y. I t can be speci fi ed as an
expr essi on i n Eox (the fi el d oxi de thi ckness (m)), eox (the pad oxi de thi ckness (m)), Tox (the
oxi dati on temper ature (Kel vi n)), and en (the ni tr i de thi ckness, m). The publ i shed expressi on can be
found i n the model s fi l e. Speci fyi ng ERF.LBB=EOX, for i nstance, woul d gi ve a l ateral spread equal to
the fi el d thi ckness, si mi l ar to the Hee-Gook Lee model wi th a spr ead of one.
ERF.H i s the r ati o of the ni tri de l i fti ng to the fi el d oxi de thi ckness. I t cor r esponds to the Gui l l emot H
parameter except that i t i s normal i zed to the fi el d oxi de thi ckness. I t i s speci fi ed as an expressi on of
Eox, eox, Tox, en.
NIT.THICK speci fi es the ni tri de thi ckness to substi tute for the parameter EN.
Note: The ERFG model uses both oxide and nitride thickness. These values are not inferred from the
structure. Instead, the nitride thickness is user-specified in the OXIDE statement and the oxide thickness
is computed by adding the total oxide grown and the initial user-specified oxide thickness. If the
structure has more than 20 angstroms (the default) of native oxide on it when diffusion begins, that
thickness must be specified by the user. Beware of this when continuing a diffusion by any means (e.g.,
after reading in a previous structure). One exception is that diffuse continue=t will correctly
simulate this condition.
Examples
The fol l owi ng modi fi es the parabol i c oxi dati on rates for {100} si l i con i n a dry oxygen ambi ent.
OXIDE DRY ORI=100 PAR.L.0=283.333 PAR.L.E=1.17
Note: If a required parameter is omitted (e.g., orientation when a linear rate coefficient is being
specified), then the statement is ignored without warning.
The fol l owi ng set the nati ve oxi de thi ckness at 10 Angstr oms:
OXIDE INITIAL=0.001
The fol l owi ng defi nes that stress-dependent oxi dati on rates wi l l be used wi th the vi scous oxi dati on
model :
METHOD VISCOUS
OXIDE STRESS.DEP=t
See also: DIFFUSE, METHOD
ATHENA Users Manual PAUSE Statement
7-94 SILVACO International
PAUSE
PAUSE wai t and execute statement.

Note: This command has been superseded by the PAUSE button in DECKBUI LD.
ATHENA Users Manual PHOSPHORUS Statement
7-95 SILVACO International
PHOSPHORUS
PHOSPHORUS sets the coeffi ci ents of phosphorus di ffusi on and segregati on.
Note: This statement is obsolete. The IMPURITY statement with I.PHOS parameter should be used
instead.
Syntax
PHOSPHORUS SILICON|OXIDE|OXYNITRIDE|NITRIDE
POLYSILICON|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX
GAAS|GAS|ALGAAS|INGAAS|SIGE|INP
MATERIAL=<c>][DIX.0=<n>][DIX.E=<n>][DIM.0=<n>
[DIM.E=<n>][DIMM.0=<n>][DIMM.E=<n>][DVX.0=<n>][DVX.E=<n>
[DVM.0=<n>][DVM.E=<n>][DVMM.0=<n>][DVMM.E=<n>]
[SS.CLEAR][SS.TEMP=<n>][SS.CONC=<n>]
[/SILICON|/GAAS|/OXIDE|/OXYNITR|/NITRIDE|/GAS|/POLYSILICO|
/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|
/ALGAAS|/INGAAS|/SIGE|/INP|/MATERIAL=<c>
[SEG.0=<n>][SEG.E=<n>][TRN.0=<n>][TRN.E=<n>]
[GB.DIX.0=<n>][GB.DIX.E=<n>][GB.SEG.0=<n>][GB.SEG.E=<n>]
[GB.TAU=<n>][DONOR|ACCEPTOR]
Description
Thi s statement al l ows val ues to be speci fi ed for the coeffi ci ents of phosphorus di ffusi on and
segregati on.
SILICON, GAAS, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, GAS, TUNGSTEN,
TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIAL
speci fi es the materi al for whi ch the i mpuri ty coeffi ci ents wi l l be set.
Onl y one materi al parameter may be speci fi ed per statement, and that statement wi l l appl y onl y i n
the mater i al l i sted. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s. The
materi al parameter i s used to i ndi cate the fi rst materi al for defi ni ng i nterface parameters such as
segregati on and transport. The materi al parameter can al so be used to sel ect a materi al to whi ch bul k
parameters such as di ffusi on coeffi ci ents wi l l appl y.
DONOR or ACCEPTOR speci fi es the nature of the i mpuri ty i n the gi ven materi al .
DIX.0 and DIX.E speci fi es the di ffusi on coeffi ci ent for the i mpuri ty di ffusi ng wi th neutral defects.
DIX.0 i s the pre-exponenti al constant and DIX.E i s the acti vati on energy.
DIM.0 and DIM.E speci fi es the di ffusi on coeffi ci ent for the i mpuri ty di ffusi ng wi th si ngl e negati ve
defects. DIM.0 i s the pre-exponenti al constant, and DIM.E i s the acti vati on
ATHENA Users Manual PHOSPHORUS Statement
7-96 SILVACO International
DIMM.0 and DIMM.E al l ows the speci fi cati ons of the phosphorus di ffusi ng wi th doubl y negati ve
i ntersti ti al s. DI MM.0 i s the pre-exponenti al constant and DIMM.E i s the acti vati on energy.
DVX.0 and DVX.E al l ows the speci fi cati ons of the phosphorus di ffusi ng wi th neutral vacanci es. DVX.0
i s the pre-exponenti al constant and DVX.E i s the acti vati on ener gy.
DVM.0 and DVM.E al l ows the speci fi cati ons of the phosphorus di ffusi ng wi th si ngl e-negati ve
vacanci es. DVM.0 i s the pre-exponenti al constant and DVM.E i s the acti vati on energy.
DVMM.0 and DVMM.E al l ows the speci fi cati ons of the phosphorus di ffusi ng wi th doubl e-negati ve
vacanci es. DVMM.0 i s the pre-exponenti al constant and DVMM.E i s the acti vati on ener gy.
SS.CLEAR cl ears the currentl y stored sol i d sol ubi l i ty data.
SS.TEMP and SS.CONC adds a si ngl e temperature and an associ ated sol i d sol ubi l i ty concentrati on
poi nt to those al ready stored.
/SILICON, /GAAS, /OXIDE, /OXYNITRIDE, /NITRIDE, /GAS, /POLYSILICO, /TUNGSTEN, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL speci fi es materi al 2. The /MATERIAL=<c> parameter shoul d onl y be used for user-defi ned
materi al s. Materi al 2 i s used to i ndi cate the second materi al for defi ni ng i nterface parameters such as
segregati on and transport coeffi ci ents.
SEG.0 and SEG.E al l ows the computati on of the equi l i bri um segregati on concentrati ons. SEG.0 i s the
pre-exponenti al constant and SEG.E i s the acti vati on energy.
TRN.0 and TRN.E al l ows the speci fi cati on of the transport vel oci ty across the i nterface gi ven. The
uni ts are i n cm/s. TRN.0 i s the pre-exponenti al constant and TRN.E i s the acti vati on energy.
GB.DIX.0 and GB.DIX.E speci fi es grai n boundary parameters for the advanced pol ysi l i con di ffusi on
model . GB.DIX.0 i s the pre-exponenti al factor of grai n boundary di ffusi vi ty, and GB.DIX.E i s the
acti vati on energy for grai n boundary di ffusi vi ty (eV).
GB.SEG.0 speci fi es entropy factor of grai n boundary segregati on coeffi ci ent used i n the advanced
pol ysi l i con di ffusi on model .
GB.SEG.E speci fi es the acti vati on energy of grai n boundary segregati on coeffi ci ent used i n the
advanced pol ysi l i con di ffusi on model .
GB.TAU speci fi es the grai n boundary ti me constant used i n the advanced pol ysi l i con di ffusi on model .
Examples
The fol l owi ng statement changes the neutr al i nter sti ti al di ffusi vi ty component of phosphor ous i n
si l i con.
IMPURITY I.PHOS SILICON DIX.0=3.85 DIX.E=3.85
The fol l owi ng statement changes the segregati on parameters at the Si l i con-Si l i con Di oxi de i nterface.
The concentr ati on of phosphor ous i n si l i con wi l l be 30 ti mes the concentr ati on of phosphor ous i n oxi de
at equi l i bri um.
IMPURITY I.PHOS SILICON /OXIDE SEG.0=30.0 TRN.0=1.66E-7
Note: The transport and segregation coefficients: TRN.0, TRN.E, SEG.0, and SEG.E are known to
be inaccurate for some values of concentration, some material combinations, and some temperature
ranges. If the simulation is inaccurate, these coefficients should be considered for calibration.
See Also: ANTIMONY, ARSENIC, BORON, INTERSITITIAL, VACANCY, IMPURITY
POLISH Statement Statements
SILVACO International 7-97
POLISH
POLISH runs the chemi cal mechani cal pol i shi ng (CMP) modul e.
Syntax
POLISH
MACHINE=<c>[TIME=<n>][HOURS|MINUTES|SECONDS]
[DX.MULT=<n>][DT.FACT=<n>][DT.MAX=<n>]
Description
Thi s statement executes the chemi cal mechani cal pol i shi ng modul e of ELI TE. The POLISH statement
must be preceded by a RATE.POLISH statement to defi ne the pol i shi ng machi ne.
MACHINE i s the name of the machi ne that i s to be run.
TIME i s the ti me the machi ne i s to be run.
HOURS, MINUTES, and SECONDS speci fi es the uni ts of the TIME parameter. DX.MULT i s the
accuracy mul ti pl i er for ELI TE pol i shes. The di screti zati on si ze used for the pol i sh cal cul ati on wi l l be
mul ti pl i ed by DX.MULT. For i mproved accuracy, decrease the val ue of DX.MULT. For i mproved speed,
i ncr ease the val ue of DX.MULT.
DT.FACT control s the ti mestep si ze. By defaul t, the movement of a stri ng node i s l i mi ted to l ess than
or equal to one quarter of the medi an segment l ength. Thi s i s a good compromi se between si mul ati on
speed and the danger of l oop formati on. The opti mi zati on factor DT.FACT must not exceed 0.5, but can
be decreased i f necessary for more accuracy.
DT.MAX i s used wi th ELI TE type pol i sh cal cul ati ons. By defaul t, the upper l i mi t for the mi cro
ti mestep DT.MAX i s one tenth of the total etch ti me speci fi ed. Thi s i s a good compromi se between
cal cul ati on accuracy and cal cul ati on ti me. However, someti mes i t i s useful to adapt thi s val ue to the
speci fi c si mul ati on probl em. Al l owi ng the ti me steps to become greater gi ves a hi gher si mul ati on speed
but the accuracy may suffer. For smal l er ti me steps the si mul ati on speed wi l l decrease but the
accuracy may be greater.
Examples
The fol l owi ng statements i l l ustrate runni ng the chemi cal mechani cal pol i sh modul e. A RATE.POLISH
statement sets the val ues for the pol i sh model and must precede the POLISH statement.
RATE.POLISH OXIDE MACHINE=cmp u.s MAX.HARD=0.15 MIN.HARD=0.03 \
ISOTROPIC=0.001
POLISH MACHINE=cmp TIME=5 MIN
See Also: RATE.POLISH, ETCH
ATHENA Users Manual PRINT.1D Statement
7-98 SILVACO International
PRINT.1D
PRINT.1D pri nts val ues al ong a onedi mensi onal cross secti on.
Note: Use of this statement is not recommended. All functions are available using the EXTRACT
command within DECKBUI LD.
Syntax
PRINT.1DX.VALUE=<n>|Y.VALUE=<n>[SILICON|OXIDE
OXYNITRIDE|NITRIDE|POLYSILICON|ALUMINUM|TUNGSTEN|GAAS
ALGAAS|INGAAS|SIGE|INP|TITANIUM|PLATINUM
WSIX|TISIX|PTSIX|PHOTORESIST|EXPOSED
BACKSIDE|REFLECT|MATERIAL=<c>]
[/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|/POLYSILICO|
/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|/PTSIX|/GAAS
/ALGAAS|/INGAAS|/SIGE|/INP|
/ALUMINUM|/PHOTORESIS|/EXPOSED|/BACKSIDE|/REFLECT|
/MATERIAL=<c>][ARCLENGTH][LAYERS][X.MIN=<n>]
[X.MAX=<n>][FORMAT=<c>]
Description
Thi s command pri nts the val ues al ong cross secti ons through the devi ce. I t i s al so possi bl e to i ntegrate
al ong a speci fi ed l i ne. The val ue pri nted i s the val ue that has been sel ected (refer to SELECT).
X.VALUE and Y.VALUE speci fi es that the pl ot of the cross secti on through the devi ce at the poi nt and
i n the pl ane speci fi ed by ei ther X.VALUE or Y.VALUE i s to be pri nted. X.VALUE speci fi es a verti cal
cross secti on of the devi ce, and Y.VALUE a hori zontal sl i ce. The uni ts for thi s parameter are mi crons.
Pl ot val ues of onl y one di mensi on at a ti me (ei ther X.VALUE or Y.VALUE) for a gi ven devi ce may be
pri nted.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, ALUMINUM, PHOTORESIST, ALGAAS, INGAAS, SIGE,
INP, EXPOSED, BACKSIDE, REFLECT, and MATERIAL. I n addi ti on to constants x or y cross
secti ons, a pri nt of a one-di mensi onal pl ot can be speci fi ed al ong one si de of an i nterface. The i nterface
l i es between materi al 1, named wi thout a /, and materi al 2, named wi th a / (PRINT.1 OXIDE /
SILICON, for exampl e). Thus PRINT.1D OXIDE /SILICON wi l l usual l y show somethi ng di fferent
from PRINT.1 SILICON /OXIDE. The backsi de, refl ecti ng or exposed surfaces of a materi al can be
speci fi ed wi th the appropri ate parameter. The MATERIAL=<c> parameter shoul d onl y be used for user-
defi ned materi al s.
ARCLENGTH i s onl y rel evant when pri nti ng al ong an i nterface. I f ARCLENGTH i s chosen, the pr i nted
ordi nate i s the arcl ength, measured i n mi crons, al ong the boundary from the l eftmost poi nt of the
curve. I f ARCLENGTH i s not chosen, the x val ue of the i nter face l ocati on i s pr i nted. The coor di nate of
the l eftmost poi nt i s equal to i ts x coordi nate i n the mesh l ayers.
LAYERS i nstructs the sel ected pl ot vari abl e to i ntegrate i n each materi al i t crosses. The i ntegrated
val ue and materi al wi dth i s reported. Zero crossi ngs of the vari abl e are treated the same as materi al
PRINT.1D Statement Statements
SILVACO International 7-99
i nterfaces. Thi s opti on i mi tates the SUPREM3 PRINT LAYERS statement, and i s probabl y most useful
when dopi ng i s the sel ected vari abl e.
/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /TUNGSTEN, /TITANIUM, /GAAS, /
PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP, /POLYSILICO, /
ALUMINUM, /PHOTORESIS, /EXPOSED, /BACKSIDE, /REFLECT, and /MATERIAL speci fi es
the second materi al i nterface al ong whi ch to pl ot. The /MATERIAL=<c> par ameter shoul d onl y be used
for user-defi ned materi al s.
X.MIN and X.MAX speci fi es the l i mi ts of the pri nt regi on. Onl y val ues between these two wi l l be
di spl ayed.
FORMAT changes the pri nt format for the vari abl e, usi ng standard c format expressi ons. Onl y
experi enced C users shoul d use the FORMAT functi on.
Examples
The fol l owi ng statement pri nts the sel ected val ue at x equal to one mi cron between the top of the mesh
and the 3.0 mi cron poi nt.
PRINT.1D X.VAL=1.0 X.MAX=3.0
The fol l owi ng pri nts the i ntegrated val ue sel ected i n each materi al l ayer that has a hori zontal sl i ce at
depth of 0.0.
PRINT.1D Y.VAL=0 LAYERS
The fol l owi ng pri nts the sel ected vari abl e al ong the si l i con si de of the si l i con oxi de i nterface.
PRINT.1D SIL /OXI
Note: If the interface between two materials comprises several disconnected pieces, the end of one arc
will be incorrectly joined to the next. The interface between materials is usually ordered left-to-right, but
it is possible to confuse the routine and get right-to-left. There is no way to specify a line at a fixed
distance from an interface. There is no simple way to follow the upper surface of a layer if it has several
different layers on top of it.
See Also: SELECT, PRINTF
ATHENA Users Manual PRINTF Statement
7-100 SILVACO International
PRINTF
PRINTF a stri ng pri nter and desk cal cul ator.
Note: Functions of this statement have been replaced by the EXTRACT statement
PROFILE Statement Statements
SILVACO International 7-101
PROFILE
PROFILE read a 1D dopi ng profi l e i nto ATHENA.
Syntax
PROFILE[INFILE=<c>]
[ANTIMONY][ARSENIC][ALUMINUM][BORON][BERYLLIUM][CHROMIUM
[CARBON][GALLIUM][GERMANIUM][MAGNESIUM][PHOSPHORUS][SELENIUM]
[SILICON]
[ZINC][MASTER][INTERSTITIALS][CLUSTER.DAM]
[LAYER1.DIV=<n>][LAYER2.DIV=<n>][LAYER3.DIV]
[LAYER4.DIV][LAYER5.DIV=<n>][LAYER6.DIV=<n>]
[LAYER7.DIV=<n>][LAYER8.DIV=<n>][LAYER9.DIV=<n>]
[LAYER10.DIV=<n>][LAYER11.DIV=<n>][LAYER12.DIV=<n>
[LAYER13.DIV=<n>][LAYER14.DIV=<n>][LAYER15.DIV=<n>]
[LAYER16.DIV=<n>][LAYER17.DIV=<n>][LAYER18.DIV=<n>]
[LAYER19.DIV=<n>][LAYER20.DIV=<n>)
Description
Thi s statement may be used to l oad a 1D stream of dopi ng data i nto an ATHENA structure. The data
mi ght come from a Secondary I on Mass Spectroscopy (SI MS) profi l e or from a 1D si mul ati on i n
SSUPREM3. Data i s appl i ed i n 1D across the wi dth of the mesh for subsequent 2D si mul ati on.
INFILE speci fi es the name of the pr ofi l e data fi l e or Standard Str ucture Fi l e to be l oaded.
ANTIMONY, ARSENIC, ALUMINUM, BORON, BERYLLIUM, CHROMIUM, GALLIUM,
CARBON, INTERSTITIALS, CLUSTER.DAM, GERMANIUM, MAGNESIUM, PHOSPHORUS,
SELENIUM, SILICON, and ZINC speci fi es the i mpuri ty type for profi l e data fi l es.
MASTER i ndi cates that the fi l e to be l oaded i s an Si l vaco Standard Format fi l e. Fi l es generated by
SSUPREM3 are i n thi s format.
LAYER1.DIV, LAYER2.DIV, ..., LAYER20.DIV speci fi es the number of subdi vi si ons for each l ayer
when l oadi ng SSUPREM3 Structure fi l es.
Examples
An exampl e of a PROFILE statement i s gi ven bel ow:
PROFILE INF=BORON.SIMS BORON
I n thi s case, the PROFI LE statement speci fi es that onl y boron i nformati on wi l l be added to the current
worki ng si l i con structure. The data fi l e BORON.SI MS shoul d be i n the fol l owi ng format:
#THIS IS SIMS DATA
0.01 1E15
0.02 1.1E15
0.04 1.3E15
0.06 1.5E15
0.1 1.7E15
ATHENA Users Manual PROFILE Statement
7-102 SILVACO International
0.2 1.9E15
0.4 2.6E15
. . .
I n the fol l owi ng exampl e, the PROFILE statement wi l l read i n a 1D si l vaco standard format (SSF) fi l e.
Al l dopi ng and l ayer i nformati on wi l l be preserved. Thi s al l ows a user to start a si mul ati on i n, for
exampl e, SSUPREM3 and fi ni sh i t i n ATHENA. The ATHENA gri d must be set up i n the conventi onal
manner fi rst. The PROFILE statement wi l l then i ncl ude any overl yi ng l ayers that may have been
deposi ted or grown i n creati ng the SSUPREM3 structure. The val ue LAYER<n>.DIV control s the
number of gri d poi nts i n the overl yi ng l ayers. The defaul t gri d spaci ng generated for overl yi ng l ayers
i s 0.05 m.
PROFILE MASTER INF=SSUPREM3.STR LAYER1.DIV=3 LAYER2.DIV=6
The fi rst l ayer above the substrate wi l l have 3 verti cal gri d spaci ngs and the second l ayer above the
substrate wi l l have 6 verti cal gri d spaci ngs. The fi l e SSUPREM3.STR must be a SSF fi l e.
The fol l owi ng i s a l i st of speci al cases and thei r sol uti ons:
I f a SSUPREM3 structure i s deeper than the ATHENA structure, the PROFILE statement wi l l
extend the val ue of the bottom gri d poi nt.
I f a SSUPREM3 structure i s shal l ower than the ATHENA structure, the PROFILE statement wi l l
cl i p the ATHENA profi l e.
Loadi ng a SSF fi l e works onl y wi th a bare si l i con wafer as a starti ng poi nt. I f you try to use
some other materi al for a substrate, the resul ts are unrel i abl e and unpredi ctabl e.
Any concentrati ons of dopant i ni ti al i zed i n ATHENA wi l l be overwri tten i f a PROFI LE
statement i s used to l oad a SSF fi l e.
PROJECTION Statement Statements
SILVACO International 7-103
PROJECTION
PROJECTION speci fi es the basi c opti cal projecti on parameters for OPTOLI TH.
Syntax
PROJECTION[NA=<n>][FLARE=<n>]
Description
Thi s statement speci fi es the numeri cal aperture NA, the defocus di stance, and the possi bl e fl are i n the
opti cal or resi st systems.
NA i s the numeri cal aperture of the opti cal projecti on system.
FLARE i s the amount of fl are for the par ti cul ar i magi ng probl em. FLARE must be expressed i n
percentages.
Examples
The fol l owi ng statement sets the numeri cal aperture and fl are val ue for the projecti on system.
PROJECTION NA=.5 FLARE=2
See also: IMAGE, ILLUMINATION, ILLUM.FILTER, PUPIL.FILTER, LAYOUT, and ABERRATION
ATHENA Users Manual PUPIL.FILTER Statement
7-104 SILVACO International
PUPIL.FILTER
PUPIL.FILTER speci fi es the projecti on pupi l type and fi l teri ng for OPTOLI TH.
Syntax
PUPIL.FILTERCIRCLE|SQUARE|GAUSSIAN|ANTIGAUSSIAN
[GAMMA=<n>][IN.RADIUS=<n>][OUT.RADIUS=<n>][PHASE=<n>]
[TRANSMIT=<n>][CLEAR.FIL]
Description
Thi s command al l ows the user to speci fy four di fferent pupi l <$I Pupi l .Fi l ter;fi l ter> types and al l ows
spati al fi l teri ng i n the Fouri er transform pl ane.
CIRCLE, SQUARE, GAUSSIAN, and ANTIGAUSSIAN defi nes or changes the shape of the exi t
pupi l of the projecti on system. The shape of the pupi l must be enter ed as a character str i ng.
GAMMA defi nes or changes the GAMMA val ue for GAUSSIAN and ANTIGAUSSIAN pupi l transmi ttance.
GAMMA i s a parameter that defi nes the truncati on of the GAUSSIAN by the pupi l . I n the l i mi t of
GAMMA0, the pupi l transmi ttance wi l l be uni form.
IN.RADIUS and OUT.RADIUS defi nes or changes the i ntensi ty transmi ttance and phase
transmi ttance of an annul ar zone i nsi de the exi t pupi l or ei ther the i l l umi nati on or the projecti on
system. Thi s qual i fi er i s used to si mul ate spati al fi l teri ng techni ques. IN.RADIUS and OUT.RADIUS
are used to defi ne an annul ar zone i n the exi t pupi l havi ng the pupi l transmi ttance equal to TRANSMIT
and pr oduci ng the phase angl e equal to PHASE. Radi us val ues are speci fi ed i n fracti ons of uni ty, and
phase i s speci fi ed i n degrees. Note that the annul ar zones shoul d not overl ap. The outer r adi us of an
i nner zone must be smal l er than the i nner radi us of an outer zone. The shape of the annul ar zone i s
speci fi ed by the shape parameter above. The maxi mum radi us i s one.
PHASE speci fi es the phase shi ft i n degrees produced by the pupi l fi l ter-180 PHASE 180).
TRANSMIT speci fi es the pupi l transmi ttance caused by the pupi l fi l ter.
CLEAR.FIL r esets the projecti on fi l ter l i st.
Examples
Thi s set of commands defi nes a square aperture i n the projecti on pupi l that i s opaque over a square
annul ar regi on.
PUPIL.FILTER SQUARE
PUPIL.FILTER IN.RADIUS=.1 OUT.RADIUS=.2 PHASE=0 TRANSMIT=0
See also: IMAGE, ILLUMINATION, PROJECTION, ILLUM.FILTER, LAYOUT, and ABERRATION
RATE.DEPO Statement Statements
SILVACO International 7-105
RATE.DEPO
RATE.DEPO speci fi es the deposi t rates of a machi ne whi ch i s used i n a subsequent DEPOSIT
statement.
Syntax
RATE.DEPO
SILICON|GAAS|OXIDE|NITRIDE|POLYSILICON
PHOTORESIST|ALUMINUM|TUNGSTEN|TITANIUM
ALGAAS|INGAAS|SIGE|INP
PLATINUM|WSIX|TISIX|PTSIX|MATERIAL=<c>[NAME.RESIST=<c>]
[CONICAL|CVD|PLANETAR|UNIDIREC
DUALDIREC|HEMISPHE|MONTE1|MONTE2|CUSTOM][MACHINE=<c>]
[A.H|A.M|A.S|U.S|U.M|U.H|N.M]{INFILE=<c>
[ANGLE1=<n>][ANGLE2=<n>][ANGLE3=<n>][C.AXIS=<n>
[DEP.RATE=<n>][DIR=<n>][DIST.PL=<n>][ISOTROPIC=<n>]
[P.AXIS=<n>][SIGMA.DEP=<n>][STEP.COV=<n>]
[SMOOTH.WIN=<n>][SMOOTH.STEP=<n>][MCSEED=<n>][STICK.COEF=<n>
[SIGMA.0][SIGMA.E]
Description
Thi s statement i s used to defi ne deposi ti on parameters and the machi ne name for one of ni ne
deposi ti on model s avai l abl e i n ELITE.
CONICAL, CVD, PLANETAR, UNIDIREC, DUALDIREC, HEMISPHE, MONTE1, MONTE2,
and CUSTOM speci fi es a parti cul ar model for the machi ne defi ni ti on
MACHINE speci fi es the machi ne name for the RATE.DEPO statement.
A.H, A.M, A.S, U.H, U.M, U.S, and N.M speci fi es that the rates are i n Angstroms per hour,
Angstroms per mi nute, Angstroms per second, mi crons per hour, mi crons per mi nute, mi crons per
second, and nanometers per mi nute, respecti vel y.
ANGLE1 speci fi es the angl e parameter used by the model s HEMISPHE, CONICAL, UNIDIREC,
DUALDIREC, and PLANETAR.
ANGLE2 speci fi es the angl e parameter used by the model s DUALDIREC, PLANETAR, and
HEMISPHE.
ANGLE3 speci fi es the angl e parameter used by the model PLANETAR.
C.AXIS speci fi es the central axi s l ength used by the model s CONICAL and PLANETAR.
DEP.RATE speci fi es the deposi ti on rate used by the model s CONICAL, CVD, UNIDIREC,
DUALDIREC, HEMISPHE, PLANETAR, MONTE1, and MONTE2. DEP.RATE i s a rate mul ti pl i er for the
CUSTOM model .
DIST.PL speci fi es the di stance from wafer to pl anetary axi s used by the model PLANETAR.
SILICON, GASS. OXIDE, NITRIDE, POLYSILICON, PHOTORESIST, ALUMINUM,
TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP
and MATERIAL speci fi es one of the precedi ng si x predefi ned materi al s or a user-defi ned materi al to
be deposi ted. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
ATHENA Users Manual RATE.DEPO Statement
7-106 SILVACO International
NAME.RESIST speci fi es the name of the type of photor esi st to be deposi ted.
P.AXIS speci fi es the pl anetary axi s l ength used by the model s PLANETAR and CONICAL.
SIGMA.DEP speci fi es the surface di ffusi on parameter used by the model s UNIDIREC, DUALDIREC,
HEMISPHE, PLANETAR, CONICAL, MONTE1, MONTE2.
SIGMA.0, SIGMA.E have been added to the RATE.DEPO statement to model temper ature dependence
i n surface di ffusi on of the ELI TE deposi t. The dependence i s SIGMA.DEP = SIGMA.0*EXP(-
SIGMA.E/KT). Temperature i s entered on the DEPOSIT command.
SMOOTH.WIN and SMOOTH.STEP speci fi es a wi ndow si ze i n mi crons and a number of smoothi ng
passes for the si mpl e geometri c deposi t smoothi ng al gori thm.
STEP.COV speci fi es the step coverage used by the model CVD.
MCSEED speci fi es a seed to be used for random number generati on i n the Monte Carl o deposi t
model s; MONTE1 and MONTE2.
STICK.COEF speci fi es the sti cki ng coeffi ci ent for the MONTE1 model .
INFILE speci fi es the name of a fi l e contai ni ng angl e and deposi ti on rate i nformati on for the CUSTOM
model .
Examples
The fol l owi ng statement defi nes a machi ne named TEST that deposi ts si l i con ni tri de wi th a rate of
1500 A/mi nute usi ng the CVD model wi th step coverage of 75%.
RATE.DEPO MACHINE=TEST NITRIDE DEP.RATE=1500 A.M CVD STEP.COV=.75
See also: DEPOSIT
RATE.DEVELOP Statement Statements
SILVACO International 7-107
RATE.DEVELOP
RATE.DEVELOP sets devel opment rate and exposure bl eachi ng parameters for each type of
photoresi st i n OPTOLI TH.
Syntax
RATE.DEVELOP
[NAME.RESIST=<c>][G.LINE|H.LINE|I.LINE|DUV.LINE|LAMBDA=<n>
[E1.DILL=<n>][E2.DILL=<n>][E3.DILL=<n>
[RMAX.MACK=<n>][RMIN.MACK=<n>][MTH.MACK=<n>]
[N.MACK=<n>][RO.TREFONAS=<n>][Q.TREFONAS=<n>
[RO.HIRAI=<n>][RC.HIRAI=<n>][ALPHA.HIRAI=<n>
[R1.KIM=<n>][R2.KIM=<n>][R3.KIM=<n>][R4.KIM=<n>]
[R5.KIM=<n>][R6.KIM=<n>][R7.KIM=<n>]
[R8.KIM=<n>][R9.KIM=<n>][R10.KIM=<n>]
[A.DILL=<n>][B.DILL=<n>][C.DILL=<n>]
[C0.EIB=<n>][C1.EIB=<n>][C2.EIB=<n>][C3.EIB=<n>]
[DIX.0=<n>][DIX.E=<n>]
Description
Thi s command sets the devel opment rate parameters and exposure parameters for each type of
photoresi st. These statements can be enter ed i nto the athenamod fi l e, so that the parameters are
l oaded each ti me ATHENA i s started.
NAME.RESIST i s the photoresi st name for thi s set of parameters.
G.LINE, H.LINE, I.LINE, DUV.LINE, and LAMDBA are used to speci fy the wavel ength for each set
of photoresi st parameters (A.DILL, B.DILL, and C.DILL).
E1.DILL, E2.DILL, and E3.DILL defi nes the E1, E2, or E3 parameter for Di l l s devel opment rate
functi on. These parameters are di mensi onl ess.
RMAX.MACK, RMIN. MACK, MTH.MACK, and N.MACK are the constants for the Mack
devel opment model . RMAX.MACK speci fi es the devel opment rate of the ful l y exposed resi st. RMAX.MACK
must be speci fi ed i n mi crons/sec. RMIN.MACK speci fi es the devel opment rate of the unexposed resi st.
RMIN.MACK must be speci fi ed i n mi crons/sec. MTH.MACK i s the threshol d normal i zed PAC
concentrati on. MTH.MACK i s di mensi onl ess. N.MACK speci fi es the devel oper sensi ti vi ty. N.MACK i s
di mensi onl ess.
R0.TREFONAS and Q.TREFONAS are constants for the Trefonas devel opment model .
R0.TREFONAS speci fi es a devel opment rate constant. R0.TREFONAS must be speci fi ed i n mi crons/sec.
Q.TREFONAS speci fi es a devel opment rate constant.
R0.HIRAI, RC.HIRAI, and ALPHA.HIRAI are constants for the Hi rai devel opment model .
R0.HIRAI speci fi es the devel opment rate of the ful l y exposed resi st materi al . R0.HIRAI must be
speci fi ed i n mi crons/sec. RC.HIRA speci fi es a devel opment rate for unexposed resi st. RC.HIRAI must
be speci fi ed i n mi crons/sec. ALPHA.HIRAI speci fi es a di mensi onl ess reacti on constant.
CO.EIB, C1.EIB, C2.EIB, and C3.EIB are the parameters for the Ei b devel opment model .
R1.KIM, R2.KIM, R3.KIM, R4.KIM, R5.KIM, R6.KIM, R7.KIM, R8.KIM, R9.KIM, and R10.KIM
are constants for the Ki m devel opment model . R1.KIM corresponds to the di ssol uti on rate of the resi st
ATHENA Users Manual RATE.DEVELOP Statement
7-108 SILVACO International
materi al i f i t has been ful l y exposed, that i s, i f al l the PAC has been decomposed. R1.KI M must be
expressed i n mi crons/sec. R2.KIM corresponds to the di ssol uti on rate of the unexposed resi st materi al .
R2.KIM must be expressed i n mi crons/sec. R3.KIM corresponds to the di ssol uti on sensi ti vi ty of the
r esi st mater i al . R3.KIM i s di mensi onl ess. R4.KIM corresponds to a speci fi c depth i nto the resi st fi l m
for surface retardati on effects. R4.KIM must be speci fi ed i n mi crons. R5.KIM descri bes extraordi nary
retardati on effects. R5.KIM i s di mensi onl ess, posi ti ve, and l ess than one. R6.KIM descri bes
extraordi nary retardati on effects. R6.KIM i s di mensi onl ess, posi ti ve, and l ess than one. R7.KIM
descri bes extraordi nary retardati on effects. R7.KIM i s di mensi onl ess, posi ti ve, and l ess than one.
R8.KIM descri bes extraordi nary retardati on effects. R8.KIM i s di mensi onl ess, posi ti ve, and l ess than
one. R9.KIM descri bes extraordi nary retardati on effects. R9.KIM i s di mensi onl ess, posi ti ve, and l ess
than one. R10.KIM descri bes extraordi nary retardati on effects. R10.KIM i s di mensi onl ess, posi ti ve,
and l ess than one.
A.DILL, B.DILL, and C.DILL are the A, B, and C constants for the Di l l exposure model .
DIX.0 and DIX.E are di ffusi on parameters for photoacti ve compound that are used i n the post
exposure bake.
Examples
The fol l owi ng statement defi nes the Di l l devel opment parameters for a user-defi ned resi st cal l ed
SECRETX.
RATE.DEVELOP NAME.RESIST=SECRETX E1.DILL=1 E2.DILL=0.5 \
E3.DILL=.003
See Also: EXPOSE, BAKE, and DEVELOP
RATE.DOPE Statement Statements
SILVACO International 7-109
RATE.DOPE
RATE.DOPE speci fi es the enhancement parameters for dopant enhanced etchi ng i n ELI TE.
Syntax
RATE.DOPE MACHINE = <c> MATERIAL = <c> IMPURITY = <c>
[ENH.MAX = <n>] [ENH.SCALE = <n>] [ENH.MINC = <n>]
Description
Thi s statement i s used to defi ne dopant enhanced etchi ng and may be appl i ed to an etch machi ne
defi ned usi ng the RATE.ETCH statement.
Note: Dopant enhanced etching is not applicable to MC.PLASMA etch model.
The enhancement appl i ed to the etch rate at a parti cul ar poi nt on the surface depends on the i mpuri ty
concentrati on and materi al at the poi nt whi ch are defi ned usi ng the RATE.DOPE card, and the model
parameters ENH.MAX, ENH.SCALE and ENH.MINC. These parameters enhance the etch rate
accordi ng to the formul a:
enh = 0.5*ENH.MAX*(tanh(ENH.SCALE*(C - ENH.MINC)) + 1)
where C i s dopant concentrati on val ue,
ENH.MAX speci fi es the maxi mum enhancement.
ENH.MI NC speci fi es the sol uti on val ue bel ow whi ch enhancement decays.
ENH.SCALE speci fi es the spread of the enhancement over sol uti on val ues, i .e., how qui ckl y does the
enhancement factor reach i ts maxi mum.
Note: For exponentially varying solutions, e.g., oxidation stress and dopant concentrations, both C and
ENH.MINC are taken to be log base 10 of their respective value.
IMPURITY speci fi es any val i d ATHENA i mpuri ty name, e.g., PHOSPHORUS, etc. For oxi de i nduced stress
enhancement, the IMPURITY shoul d be speci fi ed as the str ess tensor component, i .e., S.XX, S.YY or
S.XY
ATHENA Users Manual RATE.ETCH Statement
7-110 SILVACO International
RATE.ETCH
RATE.ETCH speci fi es the etch rate parameters for a machi ne whi ch i s used i n a subsequent ETCH
statement i n ELI TE.
Syntax
(WET.ETCH | RIE | PLASMA | MC.PLASMA)MACHINE=<c>
SILICON|GAAS|OXIDE|NITRIDE|POLYSILICON
PHOTORESIST|ALUMINUM|TUNGSTEN|TITANIUM
ALGAAS|INGAAS|SIGE|INP
PLATINUM|WSIX|TISIX|PTSIX|MATERIAL=<c>
(A.H | A.M | A.S | U.H | U.M | U.S | N.M)
[DIRECTIONAL=<n>] [ISOTROPIC=<n>][CHEMICAL=<n>][DIVERGENCE=<n>]
[PRESSURE=<n>][TGAS = <n>][TION=<n>]
[VPDC = <n>[VPAC = <n> [LSHDC = <n>]][LSHAC = <n [FREQ = <n]
[NPARTICLES = <n>][MGAS = <n>][MION =<n>]
[(CHILD.LANGM|COLLISION|LINEAR|CONSTANT)]
[ENERGY.DIV = <n>][ANGLE.DIV = <<n>>] [QIO = <n>][QCHT = <n>]
[MAX.IONFLUX = <n>][IONFLUX.THR = <n>]
[K.I = <n>][OUTFILE = <c>]
[ION.TYPES = <n>] [MC.POLYMPT = <n>] [MC.POLYMPT = <n>]
[MC.ETCH1 = <n>] [MC.ETCH2 = <n>] [MC.ALB1 = <n>] [MC.ALB2 = <n>]
[MC.PLM.ALB = <n>] [MC.NORM.T1 = <n>] [MC.NORM.T2= <n>]
[MC.LAT.T1 = <n>] [MC.LAT.T2= <n>] [ MC.ION.CU1= <n>] [ MC.ION.CU2= <n>]
[MC.PARTS1 = <n>] [ MC.PARTS1 = <n>]
Description
CONICAL, CVD, PLANETAR, UNIDIREC, DUALDIREC, HEMISPHE, MONTE1, MONTE2,
and CUSTOM speci fi es a parti cul ar model for the machi ne defi ni ti on
MACHINE speci fi es the machi ne name for the RATE.DEPO statement.
Thi s statement i s used to defi ne etchi ng parameters for one of the etch model s that are avai l abl e i n
ELI TE.
WET.ETCH, RIE, PLASMA, and MC.PLASMA speci fy a parti cul ar model for the machi ne
defi ni ti on.
Parameters used for all models
MACHINE speci fi es the machi ne name.
SILICON, OXIDE, NITRIDE, POLYSILICON, PHOTORESIST, ALUMINUM, GAAS,
TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP,
and MATERIAL speci fi es materi al for whi ch etchi ng rate parameters are set for the speci fi ed
machi ne. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
RATE.ETCH Statement Statements
SILVACO International 7-111
Parameters used for RIE and WET.ETCH models
A.H, A.M, A.S, U.H, U.M, U.S, and N.M speci fi es that the etch rates are i n Angstroms per hour,
Angstroms per mi nute, Angstroms per second, mi crons per hour, mi crons per mi nute, mi crons per
second, and nanometers per mi nute, respecti vel y.
DIRECTIONAL speci fi es the di recti onal component of the etchi ng rate used by the RIE model . The
i oni c etch rate i s the contri buti on of the i ons to the chemi cal l y ori ented etchi ng mechani sms. The i ons
are assumed to have an ani sotropi c angul ar di stri buti on speci fi ed by di vergence parameter.
ISOTROPIC speci fi es the i sotropi c etch rate used by the WET.ETCH and RIE model s. The i sotropi c
etch rate i s the contri buti on of thermal atoms, radi cal s, and mol ecul es comi ng out of the pl asma. These
are assumed to have an i sotr opi c angul ar di str i buti on. Ther efor e, the i sotr opi c etchi ng may l ead to an
underetchi ng of the mask.
CHEMICAL, DIVERGENCE CHEMICAL i s the etch rate i n the RIE model normal to the i on beam
when the DIVERGENCE i s speci fi ed as non-zero. DIVERGENCE speci fi es the beam di vergence used by
the RIE model . The angul ar di stri buti on of the i ons comi ng down to the wafer i s Gaussi an.
Parameters used for Plasma Etch Model
PRESSURE speci fi es the pl asma etcher reactor pressure.
TGAS speci fi es the pl asma etcher reactor gas temperatures.
TION speci fi es the pl asma etcher reactor i on temperatures.
VPDC speci fi es the DC bi as i n the pl asma sheath
VPAC speci fi es the AC vol tage i n the sheath-bul d i nterface.
FREQ speci fi es frequency of the AC current
LSHDC speci fi es the mean sheath thi ckness.
LSHAC speci fi es the AC component of the sheath thi ckness.
MGAS speci fi es the atomi c mass the gas atoms.
MION speci fi es the atomi c mass the pl asma i ons.
CHILD.LANG, COLLISION, LINEAR, and CONSTANT speci fi es a model used i n cal cul ati on of the
vol tage drop i n the pl asma sheath. Defaul t i s CONSTANT.
QIO speci fi es the momentum transfer cross-secti on.
QCHT speci fi es the charge exchange cross-secti on.
MAX.IONFLUX speci fi es the fl ux generated by the pl asma etchi ng machi ne
IONFLUX.THR speci fi es the fl ux threshol d val ue bel ow whi ch the fl ux i s not consi dered for etchi ng.
NPARTICLES speci fi es number of parti cl es used for Monte Carl o cal cul ati on of the i on fl ux comi ng
from pl asma.
ENERGY.DIV speci fi es number of energy di vi si ons used for cal cul ati on of the pl asma i on fl ux.
ANGLE.DIV speci fi es number of angul ar di vi si ons used for cal cul ati on of the pl asma i on fl ux.
K.I speci fi es the pl asma rate l i near coeffi ci ent.
OUTFILE speci fi es the name of an output fi l e i n whi ch energy-angul ar i on fl ux di stri buti on i s saved.
The di stri buti on can be pl otted usi ng TONYPLOT.
ATHENA Users Manual RATE.ETCH Statement
7-112 SILVACO International
Parameters used for Monte Carlo Plasma Etch Model
ION.TYPES speci fi es the number of di fferent i ons i n etchi ng pl asma.
MC.POLYMPT speci fi es the number of MC si mul ated pol ymer parti cl es normal i zed to the vol ume of
the ejected mater i al .
MC.RFLCTDIF speci fi es the refl ecti on di ffusi veness. 1 - corresponds to compl etel y di ffusi ve
refl ecti on, 0 corresponds to i deal mi rror refl ecti on.
MC.ETCH1 speci fi es the etch rate parameter for the fi rst type of i ons, uni tl ess.
MC.ETCH2 speci fi es the etch rate parameter for the second type of i ons, uni tl ess.
MC.ALB1 speci fi es the refl ecti on parameter for the fi rst type of i ons, uni tl ess. Thi s coeffi ci ent can
var y from 0 (no r efl ecti on) to 1 (100% r efl ecti on).
MC.ALB2 speci fi es the refl ecti on parameter for the second type of i ons, uni tl ess. Thi s coeffi ci ent can
var y from 0 (no r efl ecti on) to 1 (100% r efl ecti on).
MC.PLM.ALB speci fi es the refl ecti on parameter for pol ymer parti cl es, uni tl ess. Thi s coeffi ci ent can
var y from 0 (no r efl ecti on) to 1 (100% r efl ecti on).
MC.NORM.T1 speci fi es the pl asma normal temperature for the fi rst type of i ons, uni tl ess.
MC.NORM.T2 speci fi es the pl asma normal temperature for the second type of i ons, uni tl ess.
MC.LAT.T1 speci fi es the pl asma l ateral temperature for the fi rst type of i ons, uni tl ess.
MC.LAT.T2 speci fi es the pl asma l ateral temperature for the second type of i ons, uni tl ess.
MC.ION.CU1 speci fi es the pl asma i on current densi ty for the fi rst type of i ons, i ons/second/cm
2
.
MC.ION.CU2 speci fi es the pl asma i on current densi ty for the second type of i ons, i ons/second/cm
2
.
MC.PARTS1 speci fi es the number of MC si mul ated parti cl es for the fi rst type of i ons.
MC.PARTS2 speci fi es the number of MC si mul ated parti cl es for the second type of i ons.
Wet Etch Example
The fol l owi ng exampl e defi nes an etch machi ne that attacks si l i con wi th wet etch characteri sti cs and
an etch rate of .1 mi cron/mi nute.
RATE.ETCH MACHINE=TEST SILICON WET.ETCH ISOTROPIC=.1 U.M
Monte Carlo Plasma Etch Example
The fol l owi ng statement defi nes parameters of Monte Carl o Pl asma Etch machi ne as wel l as etchi ng
characteri sti cs of Si l i con associ ated wi th thi s machi ne.
RATE.ETCH MACHINE=MCETCH SILICON MC.PLASMA ION.TYPES=1 \
MC.PARTS1=20000 MC.NORM.T1=14.0 MC.LAT.T1=2.0 \
MC.ION.CU1=15 MC.ETCH1=1e-05 MC.ALB1=0.2 MC.PLM.ALB=0.5 \
MC.POLYMPT=5000 MC.RFLCTDIF=0.5
See Also: ETCH
RATE.POLISH Statement Statements
SILVACO International 7-113
RATE.POLISH
RATE.POLISH speci fi es the pol i shi ng parameters for a chemi cal mechani cal pol i shi ng (CMP)
modul e.
Syntax
RATE.POLISH
[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|ALUMINUM|PHOTORESIST
BARRIER|TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS|ALGAAS
INGAAS|SIGE|INP|MATERIAL=<c>]
[SOFT][HEIGHT.FAC=<n>][LENGTH.FAC=<n>][KINETIC.FAC=<n>][MAX.HARD=<n>
MIN.HARD=<n>|NAME.RESIST=<n>]
[A.H|A.M|A.S|U.S|U.M|U.H|N.M]
[ISOTROPIC=<n>]
Description
Thi s command sets the parameters for the POLISH statement. The parameters must be set for each
materi al to be pol i shed. There are two pol i sh model s, hard and soft. they may be used together or
separatel y. They are speci fi ed by speci fyi ng thei r parameters.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, ALUMINUM, PHOTORESIST,
BARRIER, TUNGSTEN, TITANIUM, PLATINUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,
INGAAS, SIGE, INP and MATERIAL speci fi es one predefi ned materi al or a user-defi ned materi al to
be deposi ted. The MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
SOFT i s the rate for the soft pol i sh model .
HEIGHT.FAC i s the verti cal deformati on scal e i n (m) (soft pol i sh model ).
ISOTROPIC speci fi es the i sotropi c etch rate used by the POLISH model .
LENGTH.FAC i s the hori zontal deformati on scal e i n (m) (soft pol i sh model ).
KINETIC.FAC i s the Ki neti c factor (soft pol i sh model ). The verti cal pol i sh rate i ncreases as the
surface becomes more verti cal .
MAX.HARD i s the maxi mum rate for the hard pol i sh. Corresponds to a pattern factor of zero.
MIN.HARD i s the mi ni mum rate for the hard pol i sh. Corresponds to a pattern factor of one.
NAME.RESIST i s the user defi ned photoresi st to be pol i shed.
A.H, A.M, A.S, U.H, U.M, U.S, and N.M speci fi es that the rates are i n Angstroms per hour,
Angstroms per mi nute, Angstroms per second, mi crons per hour, mi crons per mi nute, mi crons per
second, and nanometers per mi nute, respecti vel y.
ATHENA Users Manual RATE.POLISH Statement
7-114 SILVACO International
Examples
The fol l owi ng statements descri be a pol i shi ng machi ne named cmp for ni tri de and oxi de.
RATE.POLISH MACHINE=cmp NITRIDE SOFT=4 N.M HEIGHT.FAC=0.02 \
LENGTH.FAC=80 KINETIC.FAC=10 \
RATE.POLISH MACHINE=cmp OXIDE SOFT=25 HEIGHT.FAC=0.02 \
LENGTH.FAC=30 KINETIC.FAC=10
See Also: POLISH, RATE.ETCH
REGION Statement Statements
SILVACO International 7-115
REGION
REGION speci fi es a materi al to be assi gned to a defi ned mesh regi on.
Note: Typically the REGION statement is not required, since initial substrate material is specified on the
INIT statement.
Syntax
REGION SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|GAAS
ALGAAS|INGAAS|SIGE|INP
TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|PHOTORESIST
ALUMINUM|MATERIAL=<c>[XLO=<c>][YLO=<c>][XHI=<c>][YHI=<c>]
Description
Thi s command speci fi es the materi al i n a rectangul ar mesh. REGION statements shoul d fol l ow LINE
statements. Materi al must be speci fi ed for every tri angl e i n a mesh, so for each rectangul ar mesh
there must be at l east one REGION statement speci fyi ng whi ch materi al i s i ncl uded wi thi n the mesh. I f
no REGION statement i s i ncl uded between the LINE statement and the INITIALIZE statement, the
materi al can be defi ned on the INITIALIZE statement.
SILICON, GAAS, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, GAS, PHOTORESIST, ALUMINUM, ALGAAS, INGAAS,
SIGE, INP, ALGAAS, INGAAS, SIGE, INP and MATERIAL speci fi es the materi al i n a regi on. The
MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
XLO, YLO, XHI, and YHI speci fi es the bounds of the regi on rectangl e. The val ue <stri ng> shoul d be
one of the tags cr eated i n a precedi ng LINE statement.
Examples
The fol l owi ng REGI ON statement speci fi es si l i con as the materi al for the enti re mesh:
LINE X LOC=0 SPA=1 TAG=LEFT
LINE X LOC=1 SPA=0.1
LINE X LOC=2 SPA=1 TAG=RIGHT
LINE Y LOC=0 SPA=0.02 TAG=SURF
LINE Y LOC=1 SPA=0.1 TAG=BACK
REGION SILICON XLO=LEFT XHI=RIGHT YLO=SURF YHI=BACK
INIT
Note: If no REGION statement is used and no material appears on the INIT statement, then ATHENA
assumed Silicon as the starting material.
ATHENA Users Manual REGION Statement
7-116 SILVACO International
Note: If you do not specify enough regions to describe the material at every part of the grid, it may not
be detected until the execution of a subsequent command.
See also: INITIALIZE
RELAX Statement Statements
SILVACO International 7-117
RELAX
RELAX l oosens the gri d i n an ATHENA mesh.
Syntax
RELAX[X.MIN=<n>][X.MAX=<n>][Y.MIN=<n>][Y.MAX=<n>][DX.SURF=<n>
[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON
ALGAAS|INGAAS|SIGE|INP
PHOTORESIST|ALUMINUM|TUNGSTEN|TITANIUM|PLATINUM|WSIX|GAAS
TISIX|PTSIX|MATERIAL=<c>][DIR.X|DIR.Y|SURFACE]
Description
Thi s statement al l ows the user to i ncrease gri d spaci ng. The box for RELAX may be pl aced anywhere
wi thi n the i nput fi l e. However, RELAX commands are i gnored i n ATHENA i s i n 1D mode. The RELAX
statement al so i ncl udes an al gori thm for rel axi ng gri d on the surface of the si mul ati on structure.
X.MIN, X.MAX, Y.MIN, and Y.MAX speci fi es the corner coordi nates (i n mi crons).
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN GAAS, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP, PHOTORESIST, or
ALUMINUM and MATERIAL l i mi ts the pl ace for the RELAX statement. The defaul t i s to change the
gri d wi thi n al l of the materi al s. I f any materi al i s speci fi ed, rel axati on wi l l take pl ace onl y i n the
speci fi ed materi al . A maxi mum of one materi al may be speci fi ed on the RELAX statement.The
MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
DIR.X or DIR.Y, sel ected as fal se, forbi ds the regri ddi ng i n the chosen di recti on. DIR.X may onl y be
speci fi ed for the substrate or the enti re structure.
SURFACE speci fi es that the surface gri d be rel axed.
DX.SURF speci fi es a mi ni mum si ze for surface segments.
Examples
RELAX SILI X.MAX=1 Y.MIN=0
Thi s statement changes a gri d over a rectangul ar area i n si l i con from the l eft si de of a structure to 1,
and from y=0 to the bottom of the si l i con.
Note: RELAX will not make any changes to a grid if obtuse triangles would result from the mesh
relaxation. As a consequence of this, RELAX will typically only work on meshes that were initially
defined using LINE statements in ATHENA. For other structures DEVEDI T may be used.
See also: VWF Interactive Tools manual
ATHENA Users Manual RELAX Statement
7-118 SILVACO International
SELECT
SELECT sel ects the pl ot vari abl e for pri nti ng and pl otti ng post-processi ng routi nes.
Note: This command has been superseded for use with graphics by the adoption of TONYPLOT. Refer to
VWF I NTERACTI VE TOOLS MANUAL VOLUME I .
Note: This command has been superseded for us with PRINT.1D by the EXTRACT command. See
VWF I NTERACTI VE TOOLS MANUAL VOLUME I .
Syntax
SELECT[Z=<c>][LABEL=<c>][TITLE=<c>][TEMPERATURE=<n>]
Description
SELECT speci fi es the vari abl e that wi l l be pri nted by the PRINT.1D statement.Onl y one vari abl e
may be sel ected at any one ti me. Each SELECT statement overri des any previ ous statements.
Z i s set equal to the sel ected vari abl e. The operators *, /, +, -, ^ al l work as standard al gebrai c
operators woul d. z may be set to any of the vector vari abl es shown on the next page.
Table 7-4. Select Operator Variables.
Vector Variables Description
ANTIMONY antimony concentration
ARSENIC arsenic concentration
BORON boron concentration
CI.STAR equilibrium interstitial concentration
CV.STAR equilibrium vacancies concentration
DOPING net active concentration
ELECTRONS electron concentration
INTERSTITIAL interstitial concentration
NI intrinsic electron concentration
OXYGEN oxygen concentration
PHOSPHORUS phosphorus concentration
Sxx, Sxy, Syy components of stress in rectangular coordinates
TRAP unfilled interstitial trap concentration
VACANCY vacancy concentration
X x coordinates
RELAX Statement Statements
SILVACO International 7-119
Potenti al i s computed usi ng charge neutral i ty. The el ectron concentrati on i s computed from the
potenti al usi ng Bol tzmann stati sti cs. The fol l owi ng functi ons are avai l abl e:
TITLE i s the stri ng pri nted i n l arge l etters across the top of the pl ot. The defaul t i s ATHENA.
TEMPERATURE speci fi es the temperature at whi ch expressi ons are eval uated. I t defaul ts to the l ast
di ffusi on temperature. Thi s parameter has to be speci fi ed (by defaul t or expl i ci tl y) when pri nti ng a net
acti ve concentrati on or prepari ng a ATLAS structure fi l e.
Examples
The fol l owi ng wi l l choose the base 10 l ogari thm of the arseni c concentrati on as the PRINT.1D vari abl e.
SELECT Z=LOG10(ARSEN)
Y y coordinates
X.V x velocity
Y.V y velocity
Table 7-5. Select Functions.
Function Description
abs absolute value
active active portion of the specified dopant
erf error function
erfc complimentary error function
exp exponential
gradx numerically differentiates the argument with respect
to x location
grady numerically differentiates the argument with respect
to y location
log logarithm
log10 logarithm base 10
<mat1>@<mat2> returns the y value of the interface between <mat1>
and <mat2> along a vertical slice at the given loca-
tion
scale scales the value given by the maximum value
sqrt square root
Table 7-4. Select Operator Variables.
Vector Variables Description
ATHENA Users Manual RELAX Statement
7-120 SILVACO International
The fol l owi ng chooses the phosphorus concentrati on mi nus a constant profi l e of 5.010
14
as the
PRINT.1D vari abl e.
SELECT Z=(PHOS - 5.0E14)
The fol l owi ng chooses the di ffer ence between the phosphorus and an anal yti c profi l e as the PRINT.1D
vari abl e.
SELECT Z=(PHOS - 1.0E18 * EXP (Y * Y / 1.0E-8))
The fol l owi ng chooses the excess vacancy i ntersti ti al product as the PRINT.1D vari abl e.
SELECT Z=(INTER * VACAN - CI.STAR * CV.STAR)
Note: When using log or log10 functions, be sure that the argument is positive and non-zero. For
example, always use log10(abs(doping)+1).
See Also: VWF Interactive Tools manual , PRINT.1D
ATHENA Users Manual SET Statement
7-121 SILVACO International
SET
SET has two uses:
speci fi es opti ons duri ng job executi on
speci fi es stri ngs or numbers for vari abl e substi tuti on.
Note: This command has two different uses. The use for variable setting and substitution is run under
DECKBUI LD and is documented fully in the VWF I NTERACTI VE TOOLS MANUAL, VOLUME I .
Syntax
SET [NOEXECUTE|PROMPT=<c>|ECHO]
or
SET variable = <value>
Description
Thi s command turns on the fol l owi ng useful shel l parameters. The UNSET statement al l ows the same
parameters to be turned off.
NOEXECUTE puts al l entered statements i nto a check onl y mode. I f thi s fl ag i s on, ATHENA wi l l onl y
check the l egal i ty of the i nput syntax and not execute any statements.
PROMPT causes the character stri ng <c> to become the prompt for the rest of the sessi on. The defaul t
prompt i s ATHENA>. Do not change thi s when runni ng under DECKBUI LD
ECHO i nstructs ATHENA to echo al l i nput l i nes to the run-ti me output. Note that i n DECKBUI LD thi s i s
not requi red as al l l i nes are echoed to the bottom run-ti me wi ndow or run-ti me output fi l e by defaul t.
Job Execution Option Example
The fol l owi ng statement causes ATHENA to echo each command i t recei ves.
SET ECHO
Numerical Variable Example
The fol l owi ng statement defi nes a vari abl e and performs an expressi on on i t for use l ater wi thi n the
ATHENA processi ng syntax
SET MYDOSE=1e13
SET HALFMYDOSE=$MYDOSE/2
IMPLANT BORON DOSE=$HALFMYDOSE
String Variable Example
The fol l owi ng uses SET to defi ne a stri ng vari abl e. The saved fi l e wi l l be cal l ed mosfet_fred.str
SET MYNAME=fred
STRUCTURE OUTFILE=mosfet_$myname.str
SET Statement Statements
SILVACO International 7-122
See also: UNSET, EXTRACT
Note: The parser does not recognize abbreviated forms of these commands; it requires that
NOEXECUTE, PROMPT, and ECHO be entered verbatim.
ATHENA Users Manual SILICIDE Statement
7-123 SILVACO International
SILICIDE
SILICIDE speci fi es the si l i ci dati on coeffi ci ents.
Syntax
SILICIDE[SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|GAAS
ALGAAS|INGAAS|SIGE|INP
TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|
MATERIAL=<c>][/SILICON|/OXIDE|/OXYNITRIDE|/NITRIDE|
/POLYSILICO|/TUNGSTEN|/TITANIUM|/PLATINUM|/WSIX|/TISIX|PTSIX
/MATERIAL=<c>][KS.0=<n>][KS.E=<n>][NSILICON=<n>]
[NMETAL=<n>][VMETAL=<n>][VSILICON=<n>][VSILICIDE=<n>]
[XMETAL=<n>][XSILICON=<n>][DSV.0=<n>][DSV.E=<n>]
Description
Thi s command speci fi es surface reacti on rate parameters rel ati ng to si l i ci dati on.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, ALGAAS, INGAAS, SIGE, INP and MATERIAL speci fi es the
type of si l i ci de to whi ch the parameters appl y. The MATERIAL=<c> parameter shoul d onl y be used for
user-defi ned materi al s.
/SILICON, /OXIDE, /OXYNITRIDE, /NITRIDE, /POLYSILICO, /TUNGSTEN, /GAAS, /
TITANIUM, /PLATINUM, /WSIX, /TISIX, /PTSIX, /ALGAAS, /INGAAS, /SIGE, /INP and /
MATERIAL speci fi es the type of si l i ci de i nterface materi al to whi ch parameters appl y.The /
MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
KS.0 and KS.E speci fi es the i nterface reacti on rate. KS.0 speci fi es the prefactor i n cm
2
/s, and KS.E
speci fi es the r eacti on acti vati on r ate ener gy i n el ectron vol ts.
NSILICON and NMETAL speci fi es the number of si l i con and metal mol ecul es per cubi c centi meter of
si l i ci de materi al . Used i n growth vel oci ty cal cul ati on as N
1i
.
VMETAL, VSILICON, and VSILICIDE speci fi es the mol ecul ar vol ume of metal , si l i con and si l i ci de
i n
3
.
XMETAL and XSILICON speci fi es the composi ti on i ndex of metal and si l i con i n a si l i ci de mol ecul e.
DSV.0 and DSV.E speci fi es the prefactor and acti vati on energy for the di ssol uti on vel oci ty.
Examples
The fol l owi ng exampl e speci fi es the parameters for si l i ci dati on reacti on between ti tani um and si l i con.
SILICIDE MATERIAL=TISI2 /MATERIAL=TITANIUM KS.0=37.6\
KS.E=0.06 NSILICON=3.33E22 NMETAL=1.67E22 VMETAL=10.60\
VSILICON=12.06 VSILICIDE=26.68 XMETAL=1 XSILICON=2
Note: Many of the parameters describing silicidation are not well calibrated. The parameters should be
considered as a starting point from which calibration to a particular process can take place.
ATHENA Users Manual SOURCE Statement
7-124 SILVACO International
SOURCE
SOURCE executes statements from the speci fi ed fi l e.
Syntax
SOURCE <filename>
Description
SOURCE reads statements from an i nput fi l e. Statements are read from the fi l e unti l an end-of-fi l e
marker i s found. SOURCE i s especi al l y useful for executi ng a l arge group of statements. SOURCE pl aces
the named fi l e i n the current i nput stream. SOURCE statements can be nested up to the l i mi t of open
fi l e descri ptors (system dependent).
Examples
The fol l owi ng statement causes the contents of a fi l e named test.in to be i ncl uded i nto the i nput
stream.
SOURCE TEST.IN
Note: To support the use of this function when running under the VWF AUTOMATI ON TOOLS, the file to be
sourced should be placed into a directory directly visible to the simulation run, no matter where the
simulator is executing.
STRESS Statement Statements
SILVACO International 7-125
STRESS
STRESS cal cul ates el asti c stresses.
Syntax
STRESS[TEMP1=<n>][TEMP2=<n>][NEL=<n>]
Description
Thi s command cal cul ates stresses due to thi n fi l m i ntri nsi c stress or thermal mi smatch.
TEMP1 and TEMP2 are the i ni ti al and fi nal temperatures i n C for cal cul ati ng thermal mi smatch
stresses.
NEL i s the number of nodes per tri angl e to use. Currentl y onl y 6 or 7 are al l owed. Si x nodes are faster
than 7 and usual l y gi ves adequate resul ts; si x i s the defaul t.
Example
The fol l owi ng cal cul ates the stresses i n the substrate and fi l m ari si ng from a ni tri de l ayer whi ch has
an i ntri nsi c stress of 1.4 10
14
dynes cm
-2
when deposi ted uni forml y.
MATERIAL NITRIDE INTRIN.SIG=1.4E10
STRESS
The fol l owi ng cal cul ates thermal mi smatch stress i n the whol e structure as the resul t of a temperature
change from 1000 to 100 Cel si us
STRESS TEMP1=1000 TEMP2=100
See Also: MATERIAL
ATHENA Users Manual STRETCH Statement
7-126 SILVACO International
STRETCH
STRETCH stretches structures about a speci fi ed l ocati on.
Syntax
STRETCH SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON
TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAAS
ALGAAS|INGAAS|SIGE|INP
PHOTORESIST|ALUMINUM|MATERIAL=<c>[LENGTH=<n>][X.VAL=<n>]
[Y.VAL=<n>][STRETCH.VAL=<n>][SPACING=<n>][DIVISION=<n>][SNAP]
Description
Thi s statement speci fi es that the devi ce i s to be stretched about a speci fi ed l ocati on. I f devi ce
characteri zati on as a functi on of l ength i s of i nterest, the stretch functi on wi l l save massi ve amounts of
CPU ti me i n generati ng mul ti pl e gate l ength structures. The stretch capabi l i ty i s al so useful for power
devi ces.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, PHOTORESIST, ALUMINUM, ALGAAS, INGAAS, SIGE,
INP, and MATERIAL speci fi es materi al that defi nes the stretch regi on. The MATERIAL=<c>
parameter shoul d onl y be used for user-defi ned materi al s.
LENGTH speci fi es the fi nal val ue to whi ch the speci fi ed materi al regi on i s stretched. Al ternatel y,
X.VAL may be speci fi ed usi ng STRETCH.VAL to speci fy the posi ti on of a verti cal cut l i ne and the
di stance to be stretched respecti vel y. The gri d spaci ng wi thi n the stretched regi on i s defi ned ei ther by
spaci ng or by di vi si on.
SPACING speci fi es the gri d spaci ng wi thi n the stretched regi on.
DIVISION speci fi es the number of gri d di vi si ons wi thi n the stretched regi on.
SNAP i ndi cates that X.VAL shoul d snap (change val ue or l ocate) to the nearest gri d poi nt before
stretchi ng. snap i s recommended to mi ni mi ze the potenti al for obtuse tri angl e generati on. Snap i s set
to true by defaul t.
X.VAL and Y.VAL speci fi es the hori zontal or verti cal posi ti on at whi ch stretchi ng shoul d occur.
LENGTH over r i des the STRETCH.VAL, X.VAL and Y.VAL parameters. I f LENGTH i s speci fi ed, the cut
l i ne stretch l ocati on defaul ts to the center of the speci fi ed materi al . The defaul t materi al i s pol ysi l i con.
Stretch Examples
The fol l owi ng statement wi l l stretch a devi ce about the center of i ts pol ysi l i con regi on. Thi s devi ce may
have been a MOSFET wi th a pol ysi l i con gate 1 mi cron l ong. The stretch command creates a 1.8
mi cron-l ong MOSFET i n thi s case.
STRETCH LENGTH=1.8
The fol l owi ng exampl e wi l l stretch an oxi de i sol ati on structure from the x posi ti on of 2.3 mi crons by a
val ue of 1.3 mi crons. The stretched regi on contai ns 14 gri d spaces. Thi s case may be useful for
generati ng l arge i sol ati on regi ons that take too l ong to si mul ate numeri cal l y.
STRETCH OXIDE X.VAL=2.3 DIVISIONS=14 STRETCH.VAL=1.3
STRETCH Statement Statements
SILVACO International 7-127
Note: The stretch function may not be valid or physically correct in the case of very short initial
structures (e.g. with RSCE effect in MOSFETs). The location selected for stretching should correspond
exactly to a grid line for best results. It will provide best grid quality if the stretch location does not touch
areas in which the grid has been relaxed.
Note: The STRETCH command often results in grid fail ure for complex structures and is not
recommended for complex topographies. DEVOTED provides a superior stretch feature for these cases
ATHENA Users Manual STRIP Statement
7-128 SILVACO International
STRIP
STRIP removes al l photoresi st and barri er materi al s.
Syntax
STRIP[PHOTORESIST|SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON
ALGAAS|INGAAS|SIGE|INP
|BARRIER|ALUMINUM|TUNGSTEN|TITANIUM|PLATNIUM|WSIX|TISIX
|PTSIX|GAAS|MATERIAL=<c>]
Description
Thi s command i s used to remove al l photoresi st and barri er materi al . STRIP i s equi val ent to ETCH
PHOTORESIST ALL or ETCH BARRIER ALL commands.
PHOTORESIST, SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, BARRIER,
ALUMINUM, TUNGSTEN, TITANIUM, PLATNIUM, WSIX, TISIX, PTSIX, GAAS, ALGAAS,
INGAAS, SIGE, INP and MATERIAL speci fi es the materi al to be stri pped. I f no materi al i s
speci fi ed, the STRIP command removes photoresi st and barri er materi al s.The MATERIAL=<c>
parameter shoul d onl y be used for user-defi ned materi al s.
Examples
The fol l owi ng sequence of statements deposi ts photoresi st patterned wi th the mask l evel named
CONT, etches oxi de thr ough the mask, and r emoves the photor esi st wi th the STRIP statement.
MASK NAME="CONT"
ETCH OXIDE DRY THICK=.2
STRIP
Thi s exampl e requi res the use of MASKVI EWS.
See Also: MASK, ETCH
STRUCTURE Statement Statements
SILVACO International 7-129
STRUCTURE
STRUCTURE wri tes the mesh and sol uti on i nformati on, aeri al i mage i nformati on, or fl i ps or mi rrors
the structure.
Syntax
STRUCTURE[OUTFILE=<c>]
[INFILE=<c>][OPC=<n>]
[FLIP.Y][MIRROR][LEFT|RIGHT|TOP|BOTTOM]
[INTENSITY|MASK]
[REMOVE.GAS]
Description
Thi s statement wri tes the enti re mesh and sol uti on set to a fi l e. The saved data i s from the current set
of sol uti on and i mpuri ty val ues.
OUTFILE speci fi es the name of the fi l e to be wri tten. Exi sti ng fi l es wi th the same name are
overwri tten by newl y speci fi ed fi l es.
INFILE speci fi es the name of the secti on fi l e gener ated by MASKVI EWS to be i mported. Thi s fi l e i s
assumed to contai n the unbi ased l ayout structures and wi l l be used as a reference to cal cul ate the
percentage area of devi ati ons i n performi ng opti cal proxi mi ty correcti on. Thus, i t must be used
together wi th the OPC opti on.
OPC speci fi es the normal i zed i ntensi ty l evel for OPC eval uati on. An i mage fi l e (.SEC) wi l l be
generated for thi s parti cul ar i ntensi ty l evel and i s to be used by MASKVI EWS.
FLIP.Y i ndi cates that the structure shoul d be fl i pped around the x axi s. Thi s i s used to i nvert
structures for backsi de processi ng.
MIRROR, LEFT, RIGHT, TOP, and BOTTOM mi rrors the gri d about i ts l eft or ri ght, top or bottom
boundary, respecti vel y. Thi s i s useful for turni ng hal f-a-MOSFET si mul ati ons i nto ATLAS gri ds. The
defaul t refl ecti on i s about the ri ght axi s.
INTENSITY and MASK speci fi es whether the output fi l e i s to be an i ntensi ty fi l e or a mask fi l e. I f
nei ther INTENSITY or MASK are speci fi ed, the fi l e wi l l contai n the current ATHENA structure.
REMOVE.GAS speci fi es that the gas regi on i s to be removed from the output structure. Currentl y,
the overl ayi ng gas regi on i s automati cal l y added to the structure for Monte Carl o etch and BCA
i mpl ant si mul ati ons.
Examples
The fol l owi ng statement wr i tes the cur rent str uctur e to a fi l e cal l ed test.str.
STRUCTURE OUTFILE=TEST.STR
The fol l owi ng statement saves an aeri al i mage cal cul ated by OPTOLI TH to a fi l e cal l ed test.str.
STRUCTURE OUTFILE=TEST.STR INTENSITY
The fol l owi ng statement mi rrors the structure about i ts l eft boundary.
STRUCTURE MIRROR LEFT
ATHENA Users Manual STRUCTURE Statementl
7-130 SILVACO International
Note: The STRUCTURE command will save all mesh and solution information only. It will not save any
defined model or machine methods. If a simulator is exited in the middle of an input file, it is often
therefore necessary to manually parse the preceding METHOD and IMPURITY commands to reinitialize
specified parameters. This function is handled automatically when running under the VWF AUTOMATI ON
TOOLS.
See Also: INITIALIZE
SYSTEM Statement Statements
SILVACO International 7-131
SYSTEM
System al l ows executi on of any UNI X C-shel l command wi thi n an i nput fi l e
Note: The SYSTEM statement is executed by DECKBUI LD and is fully documented in the VWF
I NTERACTI VE TOOLS MANUAL VOLUME I
Note: The SYSTEM command must be enabled using an option on the DECKBUI LD Main_Control menu
under Category: Options.
Examples
The fol l owi ng command wi l l remove al l fi l es named test*.str before a DIFFUSE statement where
the DUMP parameter i s used.
system \rm -rf test*.str
DIFFUSE .... DUMP=1 DUMP.PREF=test
The SYSTEM command and UNI X commands are case sensi ti ve.
UNI X commands may be concatenated on a si ngl e l i ne usi ng the semi col on (;) operator. For exampl e,
to run a thi rd party program that reads and wri tes Si l vaco format fi l es wi th the fi xed names,
input.str and output.str.
STRUCTURE OUTF=mysave.str
system mv mysave.str input.str; source myprog.exe; mv output.str
myrestart.str
INIT INF=myrestart.str
The UNI X re-di rect symbol , >, i s not supported by the system command. The UNI X echo and sed
syntax can be used i nstead to output val ues or vari abl es to a gi ven fi l ename. For exampl e, to save the
extracted val ue of the vari abl e, $myvariable , to the fi l e cal l ed myfile.
system echo $myvariable | sed -n w myfile
ATHENA Users Manual TONYPLOT Statement
7-132 SILVACO International
TONYPLOT
tonyplot starts the graphi cal post-processor TONYPLOT
Note: The tonyplot statement is executed by DECKBUI LD and is fully documented in the VWF
I NTERACTI VE TOOLS MANUAL - VOLUME I
Examples
Al l graphi cs i n ATHENA i s performed by savi ng a fi l e and then l oadi ng the fi l e i nto TONYPLOT. The
command:
tonyplot
causes ATHENA to automati cal l y save a fi l e and pl ot i t i n TONYPLOT. The tonypl ot wi ndow wi l l appear
di spl ayi ng the materi al boundari es. Use the Plot:Display menu to see more graphi cs opti ons.
Thi s command wi l l di spl ay the myfile.str fi l e.
tonyplot -st myfile.str
Thi s command wi l l overl ay the resul ts of myfile1.str and myfile2.str
tonyplot -overlay myfile1.str myfile2.str
Note: For documentation of the extensive features of TONYPLOT for graphical display and analysis,
consult the TONYPLOT chapter of the VWF I NTERACTI VE TOOLS MANUAL VOLUME I
TRAP Statement Statements
SILVACO International 7-133
TRAP
TRAP sets the coeffi ci ents of i ntersti ti al traps.
Syntax
TRAP SILICON|OXIDE|OXYNITRIDE|NITRIDE|POLYSILICON|GAAS
ALGAAS|INGAAS|SIGE|INP
TUNGSTEN|TITANIUM|PLATINUM|WSIX|TISIX|PTSIX|GAS
ALUMINUM|PHOTORESIST|MATERIAL=<<c>>|[ENABLE][TOTAL=<n>]
[FRAC.0=<n>][FRAC.E=<n>]
Description
Thi s statement al l ows the user to speci fy val ues for coeffi ci ents of the i ntersti ti al traps. The statement
al l ows coeffi ci ents to be speci fi ed for each of the materi al s. ATHENA has defaul t val ues onl y for si l i con.
Pol ysi l i con parameters defaul t to those for si l i con.
SILICON, OXIDE, OXYNITRIDE, NITRIDE, POLYSILICON, TUNGSTEN, GAAS, TITANIUM,
PLATINUM, WSIX, TISIX, PTSIX, GAS, ALGAAS, INGAAS, SIGE, INP, ALUMINUM, and
PHOTORESIST and MATERIAL speci fi es the materi al for whi ch the parameters appl y. The
MATERIAL=<c> parameter shoul d onl y be used for user-defi ned materi al s.
ENABLE i ndi cates that traps shoul d be enabl ed i n the materi al speci fi ed.
TOTAL speci fi es the total number of traps, i n cm
-3
. The defaul t for si l i con i s 5.010
17
cm
-3
. Thi s val ue
i s appropri ate for Czochral ski si l i con materi al .
FRAC.0 and FRAC.E al l ows the speci fi cati on of the equi l i bri um empty trap rati o.
Examples
The fol l owi ng statement turns on i ntersti ti al traps and sets the total to 5.010
17
and the fracti on to a
hal f.
TRAP SILICON TOTAL=5.0E17 FRAC.0=0.5 FRAC.E=0.0 ENABLE
Note: The trap concentration depend upon the thermal history of the wafer, starting material, stress and
temperature. This history is not considered in the trap model in ATHENA.
See Also: INTERSTITIAL, VACANCY
ATHENA Users Manual %UNDEF Statement
7-134 SILVACO International
%UNDEF
%UNDEF nul l i fi es previ ousl y defi ned macros.
Syntax
%UNDEF <macro_name>
Description
%UNDEF can be used to turn off a previ ousl y defi ned macro. MACRO_NAME and i ts expansi on are
del eted from the macro tabl e. Thi s statement i s si mi l ar to the UNIX csh UNALIAS command.
Examples
The fol l owi ng sequence of commands:
%DEFINE MACRO THIS IS A MACRO
ECHO MACRO
%UNDEF MACRO
ECHO MACRO
produces the fol l owi ng output:
ATHENA > %DEFINE MACRO THIS IS A MACRO
ATHENA > ECHO MACRO
THIS IS A MACRO
ATHENA > %UNDEF MACRO
ATHENA > ECHO MACRO
MACRO
The macro MACRO has been undefi ned. I n order to undefine any macro, the % character must be
the fi rst character on the l i ne.
See Also: %DEFINE
UNSET Statement Statements
SILVACO International 7-135
UNSET
UNSET unsets vari ous bool ean shel l parameters defi ned on the SET statement.
Note: When SET variable=value is used it is not possible to UNSET the variable
Syntax
UNSET[NOEXECUTE|PROMPT=<c>|ECHO]
Description
Thi s command turns off the fol l owi ng useful shel l parameters. The SET statement al l ows the same
parameters to be turned on.
NOEXECUTE puts al l entered statements i nto a check onl y mode. I f thi s fl ag i s on, ATHENA wi l l onl y
check the syntax of the i nput commands and not actual l y run them.
PROMPT causes the character stri ng, <c>, to become the prompt for the rest of the sessi on. The
defaul t prompt i s <ATHENA>.
ECHO i nstructs ATHENA to echo al l i nput l i nes.
Examples
The fol l owi ng tur ns off statement echoi ng.
UNSET ECHO
Note: The parser does not recognize abbreviated forms of these commands; it requires that
NOEXECUTE, PROMPT, and ECHO be entered verbatim.
See also: SET
ATHENA Users Manual VACANCY Statement
7-136 SILVACO International
VACANCY
VACANCY sets coeffi ci ents of vacancy ki neti cs.
Note: This statement is documented together with the INTERSTITIAL statement
Appendix B:
Default Coefficients
SILVACO International B-1
IMPORTANT NOTE
Thi s appendi x contai ns the l i st of i mpuri ty and materi al defaul t coeffi ci ents, defaul t model
parameters, and other parameters used i n ATHENA cal cul ati ons. Most of these coeffi ci ents are
i ni ti al i zed i n the fi l e athenamod. The fi l e athenamod i s di spl ayed when the Model s menu i tem i s
sel ected from the Commands menu of DECKBUI LD whi l e ATHENA i s the current si mul ator. Al most al l of
these coeffi ci ents can be modi fi ed to match measured resul ts. You shoul d check the contents of
athenamod for updates to defaul t val ues that may be more current than those shown i n the
fol l owi ng l i sts.
Oxidation Rate Coefficients
Dry Ambient For <111> Orientation
These parameters are from bi bl i ography reference [31].
Wet Ambient for <111> Orientation
These parameters are from bi bl i ography reference [32].
Orientation Factors For Linear Coefficients (both Ambients)
Parabolic: PAR.H.0 (m/min)
PAR.H.E (eV)
P.BREAK (C)
= 12.8667
= 1.23
= 0.
Linear: LIN.H.0 (m/min)
LIN.H.E (eV)
1.BREAK (C)
= 1.038 x
10
5
= 2.0
= 0.
Parabolic: PAR.L.0 (m/min)
PAR.L.E (eV)
PAR.H.0 (m/min)
PAR.H.E (eV)
P.BREAK (C)
= 283.333
= 1.17
= 7.0
= 0.78
= 950.
Linear: LIN.L.0 (m/min)
LIN.L.E (eV)
LIN.H.0 (m/min)
LIN.H.E (eV)
L.BREAK (C)
= 3.45 X 10
4
= 1.6
= 2.95 X 10
6
= 2.05
= 900.
For <100> orientation
(unitless):
For <110> orientation
(unitless):
For <111> orientation
(unitless):
ORI.FAC = 0.595
ORI.FAC = 0.833
ORI.FAC = 1.0
ATHENA Users Manual
B-2 SILVACO International
Pressure Dependence
Chlorine Dependence
DRY: L.PDEP =
0.75
WET: L.PDEP =
1.0
P.PDEP =
1.0
P.PDEP =
1.0
Table B-1. Thin oxide coefficients (only for dry ambient)
Orientation THINOX.0
(2/min)
THINOX.E (eV) THINOX.L () THINOX.P [14]
<111> 5.87 x 106 2.32 0.0078 1.0
<110> 5.37 x 104 1.80 0.0060 1.0
<100> 6.57 x 106 2.37 0.0069 1.0
Table B-2. Chlorine dependence of dry coefficients for three temperatures:
900, 1000, 1100C
HCL.PC HCL.LIN HCL.PAR
900 1000 1100 900 1000 1100
0.0 1.0 1.0
1.0
1.0 1.0
1.0
1.0 1.75 1.25
1.621
1.083 1.658
1.355
3.0 1.75 1.486
2.207
1.25 1.840
1.490
5.0 1.75 1.486
2.207
1.444 2.075
1.641
7.0 1.75 1.486
2.207
1.639 2.332
1.816
10.0 1.75 1.486
2.207
2.028 2.759
2.102
Default Coefficients
SILVACO International B-3
Doping Dependence Of Oxidation Rate
See reference [34] for more detai l s.
Coefficients for the Analytical Guillemot Model
See [16] for more detai l s.
Numerical Oxidation Coefficients
For dry:
For wet:
BAF.EBK = 241.6
BAF.PE = 0.46
BAF.PPE = 1.0
BAF.NE = 0.145
BAF.NNE = 0.62
BAF.K0 = 2.6e3
BAF.KE = 1.1
Spread = 1.0
INITIAL = 0.002
MASK.EDGE = -200
ERF.Q = 0.05
ERF.DELTA = 0.04
ERF.LBB = (8.25e-3*(1580.3 - Tox)*(Eox
^0.67)*
(eox ^0.3)*exp(-( en -
0.08)^2)/0.06))
ERF.H = (402*(0.445 - 1.75*en)*exp(- Tox
/200)
HENRY.COEFF = 5E16
THETA = 2.2E22
TRN.0 = 1E+3
HENRY.COEFF = 3e19
THETA = 2.2e22
TRN.0 = 1e+6
ATHENA Users Manual
B-4 SILVACO International
Stress-dependent Growth Model Coefficients
Vc = 300 Vr = 30 Vd = 25 Vt = 0.0 Dl i m = 1.0
See reference [35] for more detai l s.
Mechanical Parameters For Stress Calculations
Linear Coefficients Of Thermal Expansion
These parameters can be accessed by speci fyi ng the LCTE parameter i n the MATERI AL statement.
Volume Expansion Ratio
Vol ume expansi on rati o, ALPHA, can be set i n the OXIDE statement.
Table B-3. These parameters can be accessed by the MATERIAL statement
VISC.0 VISC.E VISC.X YOUNG.M POISS.R
OXIDE
(wet)
1.99 X 10
-7
5,292 0.499
8.3 X 10
11
0.2
OXIDE
(dry)
3.1 X 10
-3
7.405 0.499
8.3 X 10
11
0.2
NITRIDE
1.8 X 10
15
0 0.499
1.0 X 10
14
0.3
SILICON
1 X 10
30
0 0.499
1.7 X 10
12
0.28
POLY
5 X 10
11
0 0.499
1.7 X 10
12
0.28
OXYNI
5 X 10
12
0 0.499
3.89 X 10
12
0.3
SILICON LCTE = 3.052e-6 + 2 * 6.206e-10 * ( T - 293)
OXIDE LCTE = 1.206e-7 + 2 * 2.543e-10 * ( T - 293)
ALUMINUM LCTE = 2.438e-5 + 2 * 6.660e- 9 * ( T - 293)
NITRIDE LCTE = 3.0e-6
POLY LCTE = 3.052e-6 + 2 * 6.206e-10 * ( T - 293)
silicon/oxide (unitless) 0.44
poly/oxide (unitless) 0.44
Other combinations (unitless) 1.00
Default Coefficients
SILVACO International B-5
Impurity Diffusion Coefficients
Al l other coeffi ci ents for refractory metal s and thei r si l i ci des are set to 0.0.
Table B-4. Impurity Diffusion Coefficients
Antimony Arsenic Boron Phosphorus
Silicon [36]
DIX.0 (cm
2
/s)
0.214 8.0 0.037 3.85
DIX.E (eV) 3.65 4.05 3.46 3.66
DIP.0 (cm
2
/s)
0.0 0.0 0.72 0.0
DIP.E (eV) 0.0 0.0 3.46 0.0
DIM.0 (cm
2
/s)
15.0 12.8 0.0 4.44
DIM.E (eV) 4.08 4.05 0.0 4.00
DIMM.0 (cm
2
/s)
0.0 0.0 0.0 44.2
DIMM.E (eV) 0.0 0.0 0.0 4.37
CTN.0 (cm
2
/s) 5.19 X 10
-24
CTN.E (eV) 0.60
FI (unitless) 0.05 0.20 0.94
Polysilicon
DIX.0 (cm
2
/s)
21.4 6.6 3.66 385.0
DIX.E (eV) 3.65 3.44 3.46 3.66
DIP.0 (cm
2
/s)
0.0 0.0 72.0 0.0
DIP.E (eV) 0.0 0.0 3.46 0.0
DIM.0 (cm
2
/s)
1500.0 1200.0 0.0 443.9
DIM.E (eV) 4.08 4.05 0.0 4.05
DIMM.0 (cm
2
/s)
0.0 0.0 0.0 4420.0
DIMM.E (eV) 0.0 0.0 0.0 4.37
CTN.0 (cm
2
/s) 5.19 X 10
-24
CTN.3(eV) 0.60
Oxide
DIX.0 (cm
2
/s) 1.31 X 10
16
1.75
3.16 X 10
-4
7.6 X 10
-3
DIX.E (eV) 8.75 4.89 3.53 3.5
Tungsten Silicide [98]
DIX.0 (cm
2
/s)
2.6 2.6
1.0 X 10
-4
4.2
DIX.E (eV) 2.11 2.11 1.17 2.14
Titanium Silicide [98]
DIX.0 (cm
2
/s)
4.8 4.8
1.5 X 10
-7
392.0
DIX.0 (eV) 2.13 21.3 2.0 2.64
Platinum Silicide [98]
DIX.0 (cm
2
/s)
2.6 2.6
1.0 X 10
-3
4.2
DIX.0 (eV) 2.11 2.11 1.17 2.14
ATHENA Users Manual
B-6 SILVACO International
Impurity Segregation Coefficients
Interface Transport Coefficients
Solid Solubility In Silicon
Sol ubi l i ty may be modi fi ed for a parti cul ar temperature usi ng the SS.TEMP and SS.CONC parameters
i n each of the i mpuri ty statements.
Table B-5. Impurity Segregation Coefficients
Antimony Arsenic Boron Phosphorus
Silicon/oxide
SEG.0 (unit-
less)
30.0 30.0 1126.0 30.0
SEG.E (eV) 0.0 0.0 0.91 0..0
Poly/oxide
SEG.0 (unit-
less)
30.0 30.0 1126.0 30.0
SEG.E (eV) 0.0 0.0 0.91 0..0
Other Impurities and Pairs of Materials
SEG.E (eV) 0.0
Table B-6. Interface Transport Coefficients
Antimony Arsenic Boron Phosphorus
Silicon/gas [39]
TRN.0 (unitless)
2.5 xx 10
-3
1.5 27.9 1.5
TRN.E (eV) 1.04 1.99 2.48 1.99
Poly/gas
TRN.0 (unitless)
2.5 xx 10
-3
1.5 27.9 1.5
TRN.E (eV) 1.04 1.99 2.48 1.99
Other Impurities and Pairs of Materials
TRN.0 (unitless)
1.55 x 10
-7
TRN.E (eV) 0.0
Default Coefficients
SILVACO International B-7
Point Defect Parameters
These parameters are for si l i con and pol ysi l i con onl y.
Table B-7. Impurity Statements
Temperature
(C)
Boron
[cm
3
]
Phosphorus
[cm
3
]
Antimony
[cm
3
]
800
3.4499 x 10
19
2.3000e
19
825.0
4.1291 x 10
19
850.0
4.9027 x 10
19
2.7943 x 10
20
875.0
5.7777 x 10
19
900.0
6.7615 x 10
19
3.1585 x 10
20
3.0000 x 10
19
925.0
7.8610 x 10
19
950.0
9.0832 x 10
19
975.0
1.0435 x 10
20
1000.
101922 x 10
20
303981 x 10
20
4.0000 x 10
19
1025.
103552 x 10
20
1050
1.5331 x 10
20
1075
1.7263 x 10
20
1100.
1.9356 x 10
20
3.7943 x 10
20
4.8000 x 10
19
1125.
201613 x 10
20
1150.
204041 x 10
20
1175.
2.6643 x 10
20
1200.
2.9423 x 10
20
1225.
3.2387 x 10
20
1250.
3.5536 x 10
20
6.6200 x 10
19
1275.
3.8876 x 10
20
Table B-8.
Bulk Parameters Interstitial Vacancy
D.0 600.0 [42] 0.1 [44]
D.E (eV) 2.44 [42] 2.0 [44]
CSTAR.0 (cm
-3
) 5.0 x 10
22
[42] 2.0 x 10
23
[44]
CSTAR.E (eV) 2.36 [42] 2.0 [44]
KR.0 3.16 x 10-6 [42] 3.16 x 10-6 [45]
KR.E (eV) 2.44 [42] 2.44 [45]
ATHENA Users Manual
B-8 SILVACO International
Defect Interface Recombination Parameters
Al l parameters for other combi nati ons are 0.0.
Table B-9.
Charge State Information Interstitial Vacancy
NEU.0 1.0 1.0
NEU.E (eV) 0.0 0.0
NEG.0 5.68 5.68
NEG.E (eV) 0.50 0.145
DNEG.0 0.0 32.47
DNEG.E (eV) 0.0 0.62
POS.0 5.68 5.68
POS.E (eV) 0.26 0.45
Table B-10.
Silicon/oxide Interstitial Vacancy
KSURF.0
1.76 X 10
-04
7.0 X 10
8
KSURF.E (eV) 0.06 4.08
KRAT.0 1000.0 0.0
KRAT.E (eV) 0.0 0.0
KPOW.0 0.5 1.0
KPOW.E (eV) 0.0 0.0
Silicon/nitride
KSURF.0
1.0 x 10
-05
1.0 x 10
-09
Silicon/oxynitride
KSURF.0
1.0 x 10
-02
1.0 x 10
-05
Silicon/gas
KSURF.0
1.0 x 10
-04
7.0 x 10
-08
KSURF.E (eV) 0.0 4.08
KPOW.0 1.0 1.0
Default Coefficients
SILVACO International B-9
Defect Growth Injection Interface Parameters
Al l parameters for other combi nati ons are 0.0.
Material Parameters
Silicide/Metal Surface Reaction Rates
The reacti on rates i n the tabl e above are based on an unpubl i shed paper by Dr. Mark Law. Many of the
reacti on rates are not ful l y cal i brated and shoul d be used wi th cauti on.
Table B-11.
Silicon/oxide Interstitial Vacancy
THETA.0
3.67 x 10
-05
0.0
THETA.E (eV) -0.902 0.0
GPOW.0 0.0 1.0
GPOW.E (eV) 0.0 0.0
VMOLE
5.0 x 10
22
5.0 x 10
22
Table B-12.
Silicon Poly Oxide Oxynitride Nitride Photo Alumin
NI.0 [46] 3.9x1016 3.9x1016 1.0 1.0 1.0 1.0 1.0
NI.POW [46] 1.5 1.5 0.0 0.0 0.0 0.0 0.0
NI.E [46] 0.605 0.605 0.0 0.0 0.0 0.0 0.0
EPS 11.9 11.9 3.9 7.5 7.5 1.0 1.0
Table B-13.
Tungsten Silicide Tungsten Silicon Polysilicon
KS.0 (cm/s) 5.0 5.0 5.0
KS.E (eV) 1.27 1.27 1.27
Titanium Silicide
KS.0 (cm/s) 5.0 5.0 5.0
KS.E (eV) 1.27 1.27 1.27
Platinum Silicide
KS.0 (cm/s) 5.0 5.0 5.0
KS.E (eV) 1.27 1.27 1.27
ATHENA Users Manual
B-10 SILVACO International
Defaults For The Solution Methods
Poly Diffusion Model Coefficients
Poly Silicon Material Coefficients
MIN.FI true
MIN.FR 0
CG true
BACK 3
INIT.TIME 0.1
TRBDF true
FERMI true
COMPRESS true
SKIP.SIL true
BLK.ITLIM 20
TIME true
REDO.OXIDE 5
DIAG true
OXIDE.GDT 0.25
OXIDE.EARLY 0.5
OXIDE.LATE 0.9
OXIDE.REL
1.0 x 10
-2
REL.ERR 0.01
ABS.ERR
1.0 x 10
9
for dopants
ABS.ERR
1.0 x 10
5
for defects
Table B-14. Impurity Dependent Coefficients
Antimony Arsenic Boron Phosphorus
GB.DIX.0 (cm2/s)
6.6 x 10
2
6.6 x 10
2
6.6 x 10
2
6.6 x 10
2
GB.DIX.E (eV) 3.44 3.44 3.44 3.44
GB.SEG.0 (unit-
less)
1.0 x 10
2
1.0 x 10
2
1.0 x 10
2
1.0 x 10
2
GB.SEG.E (eV) 0.0 0.0 0.0 0.0
GB.TAU
1.0 x 10
2
1.0 x 10
2
1.0 x 10
2
1.0 x 10
2
GB.VOL.RATION 0.1
GB.SEG
2.64 x 10
15
GB.ENERGY 1.0
GB.DIX.0
1.0 x 1.0-
12
GB.DIX.E 0.0
GRAIN.SIZE 0.15
Appendix C:
Hints and Tips
SILVACO International C-1
Thi s appendi x i s a col l ecti on of answers to commonl y asked questi ons about the operati on of ATHENA.
Thi s i nformati on has been previ ousl y publ i shed i n arti cl es i n The Si mul ati on Standard
TM
, Si l vacos
trade publ i cati on. The ori gi nal arti cl es can be vi ewed at Si l vacos home page at http://si l vaco.com/
si mstd.
Question:
How i s i mpl ant damage enhanced di ffusi on model ed by ATHENA? Whi ch tuni ng parameters shoul d be
used for matchi ng experi mental resul ts?
Answer:
The effect of i mpl ant damage enhanced di ffusi on i s i mportant i n many technol ogi es. Typi cal cases are
the source and drai n di ffusi on i n MOSFETs and the emi tter di ffusi on i n bi pol ar devi ces. Damage
generated by i mpl antati on l eads to an enhancement to the di ffusi on of these dopants duri ng
subsequent heat cycl es.
Si mul ati on of the enhanced di ffusi on effects are di vi ded between two processes. Fi rst, ATHENA must
si mul ate the i mpl ant damage generated by a gi ven i mpl ant and secondl y i t must model the effect that
these defects have on subsequent i mpuri ty di ffusi on.
ATHENA consi ders i mpl ant damage as poi nt defect generati on. Poi nt defects are si l i con i ntersti ti al s and
l atti ce vacanci es that are created as energeti c i mpl anted i ons col l i de wi th si l i con l atti ce atoms.
The most practi cal model for coupl i ng i mpl ant damage to subsequent di ffusi on cal cul ati ons i s the +1
model . I n i ts si mpl est form, the +1 model adds exactl y one i ntersti ti al for each i mpl anted i on. Thi s i s a
reasonabl e approxi mati on i f one assumes that the vacanci es and i ntersti ti al s created by the i mpl ant
recombi ne qui ckl y rel ati ve to the ti mescal e needed to produce si gni fi cant di ffusi on. Thi s l eaves one
extra i ntersti ti al for each i on (assumi ng the i mpl anted i on has repl aced i t on the l atti ce).
Thi s model i s appl i cabl e to both Monte Carl o and the defaul t anal yti c i mpl ants, and can be i nvoked by
i ncl udi ng the UNIT.DAM parameter on the IMPLANT statement. A commonl y appl i ed vari ati on to thi s
model i s to scal e the number of generated i ntersti ti al s.
I n ATHENA, thi s can be done usi ng the parameter DAM.FACT on the IMPLANT statement. A
correspondi ng profi l e of l atti ce vacanci es i s i ntroduced i n thi s model wi th the maxi mum of zero and (1-
DAM.FACT) ti mes the i mpl anted i on profi l e.
The di ffusi on model s that wi l l i ncl ude the effect of the poi nt defects are ei ther the TWO.DIM or
FULL.CPL model s. Both model s i ncl ude the l ocal poi nt defect concentrati on i n the di ffusi on rate of the
dopants. Both i ntersti ti al s and vacanci es di ffuse qui ckl y compared wi th dopant i ons. The poi nt defects
al so recombi ne as the i mpl ant damage i s anneal ed out.
When i t comes to tuni ng to match measured dopi ng profi l es, two approaches are possi bl e. Ei ther the
damage duri ng i mpl ant or the di ffusi on effect of the poi nt defects coul d be used. The amount of poi nt
defects generated duri ng an i mpl ant i s extremel y di ffi cul t to measure. Si mi l arl y the model parameters
for both di ffusi on and recombi nati on rates for poi nt defects are uncertai n. Al l are areas of current
academi c research.
Typi cal l y, the most effecti ve tuni ng parameter i n thi s type of si mul ati on i s the DAM.FACT val ue i tsel f.
Fi gure C-1 shows how fai rl y smal l changes i n thi s parameter affect the dopi ng profi l e. A val ue of 0.01
i s typi cal . An Athena i mpl ant statement for an MOS source/drai n mi ght be:
IMPLANT ARSENIC DOSE=3.0E15 ENERGY=60 \
ATHENA Users Manual
C-2 SILVACO International
UNIT.DAMAGE DAM.FACT=0.01

Figure C-1: Variations in diffusion due to tuning of DAM.FACT parameter.
Fi gure C-2 i l l ustrates how the damage produced by source drai n i mpl ants affects the center of a MOS
transi stor wi th varyi ng gate l ength. For shorter gate l ength devi ces, the damage at the source drai n
area produces addi ti onal di ffusi on i n the center that i s not seen for l onger channel devi ces. Thi s
phenomenon expl ai ns some of the reverse short channel effects seen i n certai n processes.
Figure C-2: Enhanced diffusion of MOS channel profile.
Hints and Tips
SILVACO International C-3
Question:
I use SSUPREM4 for process si mul ati on, but I need more real i sti c model s for deposi ti on and etch. How
can I use the ELI TE modul e of ATHENA to do thi s? How does the i nterface from ELI TE to SSUPREM4 work?
Answer:
ATHENA i s a general purpose two-di mensi onal process si mul ator that i ncl udes modul es for i mpl ant,
di ffusi on and oxi dati on for si l i con (SSUPREM4), i mpl ant and di ffusi on model s for compound
semi conductors (FLASH), topography (ELI TE) and l i thography (OPTOLI TH). Thi s means that i t i s si mpl e to
i ncl ude physi cal etch or deposi ti on steps usi ng ELI TE model s i n an exi sti ng SSUPREM4 i nput fi l e.
As devi ce di mensi ons shri nk the need for more physi cal si mul ati on of the deposi ti on and etch steps i n
a process i ncreases. ELI TE provi des these physi cal deposi ti on and etch model s. SSUPREM4 users can onl y
use conformal deposi ti on and geometri cal etch features bui l t i nto ATHENA. These si mpl e model s may
not be suffi ci ent to descri be certai n steps i n the process sati sfactori l y.
For exampl e, i n a typi cal sub-mi cron CMOS process, ELI TE model s mi ght be requi red for:
1. Trench i sol ati on.
2. Spacer formati on.
3. Refl ow of oxi des over non-pl anar surfaces.
4. Metal to acti ve ar ea contact cuts.
5. Metal deposi ti on over step.
6. I nter-metal di el ectr i c formati on.
I n general , ELI TE shoul d be used for any etch process wi th a degree of i sotropy, si nce perfectl y
ani sotropi c etches can be handl ed geometri cal l y i n SSUPREM4. For deposi ti on processes, ELI TE i s
appropri ate when the deposi ti on i s si gni fi cantl y non-conformal .
Many topography si mul ators exi st, but i nterfaci ng them to process si mul ati on programs such as
SSUPREM4 has tradi ti onal l y been a probl em. Wi thout the ti ght i ntegrati on of ATHENA, the i nterface has
tradi ti onal l y been one way (for exampl e, creati ng a non-pl anar topography such as a trench and then
usi ng the surface to create the i ni ti al structure for a SSUPREM4 si mul ati on).
I n ATHENA the bi -di recti onal i nterface between topography and process si mul ati on i s compl etel y
automati c and transparent to the user. Fi gure C-3 shows thi s i nterface used to form a sel f-al i gned
trench i sol ati on for a sub-mi cron CMOS process. The i ni ti al part of the si mul ati on uses SSUPREM4 to set
up a LOCOS oxi dati on next to a ni tri de spacer. ELI TE i s then used to remove the ni tri de and etch a
trench i nto the si l i con. SSUPREM4 i s used to oxi di ze the trench si dewal l s. Then the ELI TE deposi ti on
model s are used to fi l l the trench wi th oxi de. Fi nal l y a pl anari zi ng etch i s performed.
ATHENA Users Manual
C-4 SILVACO International
Figure C-3: Simulation of self aligned trench isolation process using the ELITE and SSUPREM4 modules of
ATHENA. SSUPREM4 is used for the LOCOS and trench oxidation. ELITE is used for the trench
etch and refill. The interface between SSUPREM4 and ELITE is completely automatic and
transparent to the user.
The syntax needed to access the ELI TE model s can be found usi ng the DECKBUI LD Command Menus. The
mai n parameters are RATE.ETCH MACHINE=<name> to set up parameters for the etch machi ne and
ETCH MACHINE=<name> TIME=<value> to run that machi ne for a gi ven ti me. Anal agous commands
exi st for deposi ti ons.
One key parameter for users of ELI TE i s DX.MULT=<value> on the ETCH statement. Thi s par ameter
sets the rati o between the gri d spaci ng used by SSUPREM4 and the surface accuracy used by ELI TE. The
defaul t i s 1.0. Lower DX.MULT val ues wi l l i mprove the accuracy and smoothness of etch shapes at the
expense of some addi ti onal CPU ti me.
Hints and Tips
SILVACO International C-5
Question:
Can dopant di ffusi on be model ed si mul taneousl y wi th the materi al refl ow?
Answer:
An extremel y i mportant feature of ATHENA i s that si mul ati on of topography effects such as refl ow i n
ELI TE can be combi ned wi th i n-wafer si mul ati on of dopant di ffusi on or oxi dati on i n SSUPREM4. A
previ ous Hi nts and Ti ps col umn (Apri l 1995) showed how ATHENA can si mul ate i ndi vi dual process steps
from SSUPREM4 and ELI TE wi th seaml ess i ntegrati on. I n thi s case, the ELI TE and SSUPREM4 si mul ati on i s
done on the same process step. The refl ow heat cycl e wi l l al so tri gger di ffusi on of the dopants i n the
si l i con, i ncl udi ng transi ent enhanced di ffusi on effects where appropri ate.
A si ngl e DIFFUSE statement wi th the REFLOW parameter can both produce refl ow and dopant
di ffusi on. Fi gure C-4 shows an exampl e of a 0.5mm contact cut to an arseni c di ffusi on. Duri ng the
refl ow cycl e at 875C the edges of the contact cut are fl owed whi l e the arseni c i s di ffusi ng.
Figure C-4: Simulation of simultaneous dopant diffusion and glass reflow in ATHENA

ATHENA Users Manual
C-6 SILVACO International
Question:
How can di -el ectri c refl ow be model ed? Whi ch cal i brati on parameters are i mportant for tuni ng the
refl ow?
Answer:
ATHENA contai ns a model for the refl ow of materi al s as part of the ELI TE modul e. The model treats the
di -el ectri c materi al (i .e., Si O2, BPSG) as an i ncompressi bl e vi scous fl ui d. The materi al i s then
deformed under the dri vi ng force of the surface tensi on of the topography. The cal cul ati on of the
changi ng topography of the materi al then proceeds accordi ng to the appl i ed ti me and temperature.
The refl ow model for a gi ven materi al i s enabl ed by setti ng the REFLOW parameter on a MATERIAL
statement. I n addi ti on, the parameter REFLOW shoul d be gi ven on a DIFFUSE statements
cor r espondi ng to the fl ow heat cycl e. The fol l owi ng i s typi cal syntax:
MATERIAL OXIDE VISC.0=1.862E-20 GAMMA.REFLO=1E3 REFLOW
DIFF TIME={time} TEMP={temp} REFLOW
Thi s exampl e syntax al so i ncl udes two of the most useful tuni ng parameters. VISC.0 sets the vi scosi ty
of the oxi de. GAMMA.REFLO sets the surface tensi on factor for the fl ow cal cul ati on.
Fi gure C-5 shows the resul ts of an exampl e of refl ow cal cul ati on wi th ATHENA. The i ni ti al structure
has a set of 1 mi cron contacts wi th a 2 mi cron pi tch after the ani sotropi c contact etch. The fi nal profi l e
shows the refl ow shoul ders and the proxi mi ty effects seen fol l owi ng a 10 mi nute refl ow heat cycl e at
950 C.
Figure C-5: Reflow of a via array
Hints and Tips
SILVACO International C-7
Question:
How can the reverse short channel effect (RSCE) i n MOSFETs be si mul ated usi ng ATHENA and ATLAS?
How can the physi cal effect behi nd RSCE be tuned ?
Answer:
RSCE i n MOSFETs i s where the threshol d vol tage i ncreases wi th decreasi ng channel l ength. At very
short channel l engths the normal short channel effect takes over and the threshol d vol tage decreases.
The cause of the i ncreasi ng threshol d vol tage i s a non-uni form enhancement of di ffusi on of the channel
i mpl ant l ateral l y al ong the MOS channel . Thi s non-uni formi ty ari ses from the extra poi nt defects
generated i n the source and drai n areas of the MOSFET. The source of these poi nt defects i s most
commonl y the damage caused by the heavy n+ and LDD i mpl ants. Other possi bl e causes that can be
model ed i n ATHENA are oxi dati on or si l i ci dati on of the source and drai n area.
The amount of i mpl ant damage from the source/drai n i mpl ants i s control l ed usi ng the DAM.FACTOR
parameter. The effect of the damage on subsequent di ffusi ons are model ed i n ATHENA usi ng the ful l y
coupl ed di ffusi on model (METHOD FULL.CPL). A previ ous Hi nts and Ti ps covered a descri pti on of thi s
(Si mul ati on Standard, Feb 1995).
To model RSCE i n ATHENA and ATLAS i t i s necessary to construct MOSFETs of di fferent channel l engths.
Thi s can be done ei ther usi ng the MASKVI EWS l ayout i nterface, or usi ng the STRETCH command i n
ATHENA or DEVEDI T. The user shoul d si mul ate the shortest channel l ength up unti l the pol ysi l i con etch
and stretch the devi ce to the desi red l ength. The FULL.CPL model i s onl y requi red for di ffusi on after
the source/drai n i mpl ants.
Fi gure C-6 shows the resul t of a threshol d vol tage si mul ati on versus gate l ength for vari ous val ues of
i mpl ant damage. VWF was used to automati cal l y generate and run thi s experi ment. VWF handl es the
automati c i nterface to ATLAS and the extracti on of the threshol d vol tages. Looki ng hori zontal l y al ong
the y=0 l i ne, i t i s seen that wi th zero i mpl ant damage the threshol d vol tage decreases wi th decreasi ng
l ength. No RSCE i s seen. However as DAM.FACT i s i ncreased, the threshol d vol tage starts to ri se
before fal l i ng at very short l engths. I t i s cl ear the si ze of the RSCE i ncreases wi th i mpl ant damage
factor.
I t i s al so i nteresti ng to note that even the threshol d vol tage for the 20mm l ong devi ce i s affected
sl i ghtl y by the i mpl ant damage. Thi s i s to be expected from Fi gure C-7, whi ch shows poi nt defects
di ffusi ng 30mm i nto the substrate. The l ateral di ffusi on l ength of poi nt defects shoul d be of a si mi l ar
order.
Many parameters can be used to tune the ful l y coupl ed di ffusi on model . The most effecti ve for RSCE i s
the surface recombi nati on of the i ntersti ti al s (KSURF.0). Fi gure C-7 shows threshol d vol tage versus
channel l ength as a functi on of KSURF.0 for a fi xed DAM.FACT.
Hi gh val ues of KSURF.0 show no RSCE effect whi l e l ower val ues show strong i ncreases i n threshol d at
l engths around 1.0 mm.
Tuni ng RSCE usi ng DAM.FACT and KSURF.0 i s possi bl e usi ng ATHENA, ATLAS, and VWF. Users shoul d
note that both these parameters wi l l affect process si mul ati on resul ts such as source/drai n juncti on
depth. Fi gure C-8 shows a graph of juncti on depth of an arseni c i mpl ant after a fi xed di ffusi on as a
functi on of DAM.FACT and KSURF.0. For a gi ven measured resul t for juncti on depth i t i s cl ear there
are a whol e set of DAM.FACT and KSURF.0 combi nati ons that can produce the correct answer.
However, the effect of each combi nati on that matches a juncti on depth i s not the same on RSCE.


ATHENA Users Manual
C-8 SILVACO International

Figure C-6: Threshold voltage vs gate length for various values of implant damage
Figure C-7: Threshold voltage vs channel length as a function of KSURF.0 for fixed DAM.FACT
Figure C-8: Junction depth of an arsenic implant after a fixed diffusion as a function of DAM.FACT and KSURF.0
Hints and Tips
SILVACO International C-9
Question:
Whi ch are the key parameters for tuni ng RTA si mul ati ons when usi ng the new Stanford di ffusi on
model s i n ATHENA versi on 4.0?
Answer:
For RTA appl i cati ons i t i s recommended to use the new set of model s from Stanford Uni versi ty
i ncl uded i n ATHENA versi on 4.0. These model s i ncl ude effects of <311> defect cl usters, di sl ocati on l oops
and hi gh concentrati on effects. To enabl e al l these model s the syntax used i s:
METHOD FULL.CPL CLUSTER.DAM V.LOOP.SINK \
I.LOOP.SINK HIGH.CONC
The syntax METHOD NEWTON i s al so recommended to i mprove the speed of si mul ati ons.
Si nce these model s are an extensi on of the exi sti ng FULL.CPL model s many of the same tuni ng
parameters appl y. Previ ous si mul ati ons [1] have shown how the surface recombi nati on rate of
i ntersi ti ti al s KSURF.0 i s a key tuni ng parameter for reverse short channel effect where damage
enhanced di ffusi on i s si gni fi cant. Thi s i s al so true i n the <311> cl uster model s.
I n RTA si mul ati ons wi th the FULL.CPL model al l poi nt defects are created by the i mpl antati on. They
are at a maxi mum at t=0 of the RTA and thei r concentrati on decays rapi dl y wi th ti me due to di ffusi on
and recombi nati on. As documented i n [2], one key effect of the <311> cl uster model i s that the free
poi nt defect concentrati on i s not created at the ti me of the i mpl ant. The i mpl ant creates some
i ntersti ti al s but al so creates <311> defect cl usters. These cl usters decay wi th ti me rel easi ng poi nt
defects over an extended peri od of ti me. Thi s effect i s parti cul arl y apparent at l ow temperatures.
Cl earl y then a key parameter for tuni ng RTA effects i s the ti me constant for the di ssol uti on of <311>
cl usters to i ntersti ti al s. Thi s i s control l ed by the syntax:
CLUSTER SILICON TAU.311.0=<val> TAU.311.E=<val>
Measured data [3] shows that the enhanced di ffusi vi ty due to poi nt defects extends over mi nutes at
800C. Fi gure C-9 shows ATHENA resul ts matched to the measured data i n Fi gure C-10 of [3]. I n thi s
case the val ue of TAU.311.0 i s adjusted to show l ower di ffusi on i n the fi rst 15 seconds than the
FULL.CPL model predi cts. For compari son, a l ower val ue of TAU.311.0 i s used i n Fi gure C-10. I t i s
cl ear that thi s does not match the data i n [3] as a si gni fi cant part of the compl ete di ffusi on i s i n the
fi rst 15 seconds.
ATHENA Users Manual
C-10 SILVACO International
Figure C-9: RTA of a 5.0e13 phosphorus implant matched to experimental data in [3].

Figure C-10: The effect of lower TAU.311.0 is to speed up the diffusion over the initial time period.
Hints and Tips
SILVACO International C-11
Question:
How can I determi ne i mpl ant range for non-standard materi al s such as si l i ci des or photoresi st?
Answer:
The anal yti cal i mpl ant tabl es i n ATHENA/SSUPREM4 cover i mpl antati on of the common si l i con dopants
(B, P, As, Sb, I n) i nto the commonl y used set of materi al s i n semi conductor processi ng (Si l i con, Si O2,
Si 3N4, pol ysi l i con, al umi num). For other materi al s or i mpl ant speci es, the l ack of compl ete data
means ful l anal yti c tabl es are not avai l abl e. The onl y al ternati ve approach was to use Monte Carl o
(MC) I mpl ant si mul ati on.
I mpl antati on usi ng MC wi th the crystal l i ne model i s usual l y requi red for si l i con i mpl antati on. For
real i sti c 2D cases these i mpl ants may take up to 30 mi nutes to run on a Sparc Ul tra. I n order to
overcome thi s probl em an al ternati ve approach i s now avai l abl e i n ATHENA versi on 4.3. Thi s approach
uses MC i mpl ant i n 1D mode to run i mpl antati on si mul ati ons i nto the materi al of i nterest. Then the
anal yti cal i mpl ant moments are extracted from the i mpl anted dopi ng profi l e. These anal yti cal
moments can be used i n a MOMENTS statement to set the correct dopi ng profi l es for an anal yti cal
i mpl ant. The syntax for thi s i s shown i n Fi gure C-11 wi th a compari son of the two di fferent i mpl ants
i n Fi gure C-12.
Photoresi st i s a speci al case i n ATHENA. Al though anal yti cal i mpl ant tabl es exi st for photoresi st, they
are speci fi c onl y to one type of photoresi st (AZ-111). Photoresi st materi al s do vary consi derabl y i n
densi ty and materi al abundances. Syntax exi sts i n ATHENA to set the requi red parameters for MC
i mpl antati on model i ng.
MATERIAL MATERIAL=my_resist DENSITY=3 \
ABUND.1=0.6 AT.NUM.1=8 AT.MASS.1=16 \
ABUND.2=0.4 AT.NUM.2=6 AT.MASS.2=12
ABUND sets the r el ati ve abundance of el ements i n the photor esi st. AT.NUM and AT.MASS set the atomi c
number and wei ght of the el ements respecti vel y. DENSITY sets the over al l mater i al densi ty. From
these parameters, MC i mpl ant can cal cul ate the i mpl anted profi l e. The syntax from Fi gure C-11
al l ows the user to fi t, extract and re-use the anal yti cal moments cal cul ated from the MC i mpl ant
profi l e.
A si mi l ar techni que can be used for i mpl ants of non-standard speci es too. I t i s possi bl e for users to
bui l d up thei r own user-defi ned i mpl ant moment tabl es. An exampl e of the use of thi s techni que i s
i ncl uded on the Summer 97 rel ease CDROM wi th ATHENA versi on 4.3.
ATHENA Users Manual
C-12 SILVACO International
Figure C-11: Syntax for extracting analytical implant parameters from a Monte Carlo implant simulation.

Figure C-12: Comparison of doping profiles analytical extraction versus Monte Carlo. Analytical implants are
run instantaneously whereas Monte Carlo takes up to 30 minutes on Ultrasparc
References
[1] Hi nts and Ti ps, Si mul ati on Standard December 1995
[2] S. Crowder and P. Gri ffi n, Si mul ati on Standard August 1996
[3] M. Gi l es, J. El ectrochem Soc. Vol 138 p1160 (1991)
Appendix D:
ATHENA Version History
SILVACO International D-1
ATHENA Version History
Thi s appendi x l i sts the rel ease notes i n reverse chronol ogi cal order for each ATHENA rel ease. The
i ni ti al rel ease of ATHENA i ncorporates the standal one capabi l i ti es of previ ousl y rel eased versi ons of
SSUPREM4, ELI TE, and other functi onal i ti es. Versi on hi stori es for SSUPREM4 are i ncl uded here for
reference.
ATHENA Version 4.5.0.R Release Notes
SSUPREM4
Implant Simulation Features
1. New Bi nary Col l i si on Approxi mati on Modul e for Monte Carl o type si mul ati on of i on i mpl antati on
i n amorphous and crystal l i ne materi al s i s i mpl emented.
The parameter BCA i s used to turn on thi s model . BCA and MONTE are mutual l y excl usi ve.
Thi s modul e i s much more accurate than previ ous Monte Carl o i mpl ementati ons. I t i s abl e to
accuratel y cal cul ate i mpl ant profi l es i n di ffi cul t cases of wel l channel ed i mpl ants. I t i s appl i cabl e
to a wi de energy range (from ~1 keV to few MeV). I t i ncl udes damage accumul ati on model
whi ch al l ows accurate si mul ati on of dose-dependency effect.
2. Several i mprovements are made i n anal yti cal i mpl ant model s:
I mproved handl i ng of wrong user-defi ned or tabul ated combi nati ons of skewness and kurtosi s for
l ongi tudi nal profi l es. The val ues are corrected to provi de l egi ti mate bel l -shaped profi l es. The cor-
rected val ues coul d be checked by usi ng the parameter, PRINT.MOM, i n the IMPLANT statement.
Cal cul ati ons of cl uster and di sl ocati on bands from i mpl ant profi l es (parameters MIN.CLUSTER,
MAX.CLUSTER, MIN.LOOP and MAX.LOOP) are fi xed and avai l abl e for both anal yti cal and
Monte Carl o methods.
A new parameter FULL.DOSE i s added. I f i t i s set to TRUE the adjusted ful l dose for the angl ed
i mpl ant wi l l be appl i ed.
A more accurate i ntegrati on of non-Gaussi an l ateral di stri buti on functi ons i s i mpl emeted.
3. User speci fi ed model s for i mpl ant damage, < 311 > cl usters and di sl ocati on l oops can be
control l ed through a C-Interpreter fi l e. The name of the fi l e i s speci fi ed i n the parameter,
DAMAGEMOD.FN i n the MOMENTS statement.
Diffusion Simulation Features
A new numeri cal scheme for di ffusi on cal cul ati ons, the I mpl i ci t Li near Fi ni te El ement Method
(ILFEM), i s i mpl emented. The ILFEM uses a new i nternal data structure, an advanced spati al
di screti zati on scheme, an extremel y fast and robust l i near sol ver, and an object-ori ented hi erarchi cal
representati on of the i mpuri ty and defect transport model s. The ILFEM modul e sol ves i mpuri ty and
defect transport equati ons much faster than previ ous SSUPREM4 sol ver s. I t al so has better
convergency.
The fol l owi ng di ffusi on model s are currentl y i mpl emented wi thi n the ILFEM modul e: FERMI,
TWO.DIM, FULL.CPL, 311-CLUSTERS, and HIGH.CONC. I t al so handl es al l correspondi ng
boundary condi ti ons, i ncl udi ng i mpuri ty segregati on, defect generati on and recombi nati on model s.
ATHENA Users Manual
D-2 SILVACO International
To acti vate I LFEM use:
METHOD ILFEM
To di sabl e ILFEM use: METHOD ILFEM=f
The I LFEM modul e i s currentl y appl i cabl e to the fol l owi ng:
i mpuri ti es: boron, phosphorus, arseni c, anti mony, and i ndi um.
materi al s: si l i con, pol ysi l i con, oxi de, ni tri de, and al umi num.
ELITE Capabilities
A new Monte Carl o Etch Modul e i s i mpl emented. The mai n appl i cati on of thi s modul e i s the
si mul ati on of pl asma or i on assi sted etchi ng. The modul e can take i nto acccount the redeposi ti on of the
pol ymer materi al generated as a mi xture of i ncomi ng i ons wi th etched (sputtered) atoms and
mol ecul es of substrate materi al .
C-Interpreter can be used for i ntroducti on of user-defi ned etch and ejecti on rate model s.
Generic ATHENA Capabilities
Acti ve concentrati on cal cul ati ons are i mproved. Previ ousl y, al l exi sti ng i mpuri ti es i n the structure
were set to compl etel y acti ve after any implant, depo, or profile statement. Now, onl y newl y
added i mpuri ti es are acti vated compl etel y.
ATHENA Version 4.0.0.R Release Notes
SSUPREM4
Diffusion Simulation Features
Physical RTA Model - A new TED model i ncl udi ng the dynami c transi ent rel ease of i ntersti ti al poi nt
defects has been added to SSUPREM4. <311>- Cl usters rel ease I ntersti ti al s over ti me wi th a user
defi ned ti me constant. Thi s model was deri ved from Dr. Peter Gri ffi n work at Stanford.
Dislocation Loop based point defect sink model - A di sl ocati on l oop based i ntersti ti al si nk model
i s now i ncl uded for hi gh dose RTA si tuati on and may be used i n conjuncti on wi th the <311>-Cl uster
model . Thi s model was deri ved from the work of Dr. Peter Gri ffi n at Stanford Uni versi ty.
Point Defect - Dopant Pair Recombination Capture Cross Section Control - To account for
hi gh concentrati on effects extra terms have been added to the ful l y coupl ed di ffusi on model al l owi ng
for hi gher order dopant-poi nt defect dopant pai r recombi nati on. Recombi nati on may be control l ed
i ndependentl y both i n the bul k and as an extended surface recombi nati on vel oci ty. Thi s model was
deri ved from the work of Dr Peter Gri ffi n at Stanford Uni versi ty.
Extended Defects - Extended defects may now be i n i ntroduced duri ng I on I mpl antati on. Both <311>
- Cl usters and Di sl ocati on Loops may be i ntroduced duri ng i on i mpl antati on al ong wi th an overl yi ng
amorphous regi on. Thi s damage may be i ntroduced i n addi ti on to a di stri buti on of poi nt defects and i s
usabl e i n a subsequent RTA di ffusi on step. Damage i s speci fi ed as a profi l e scal ed to an i mpl anted
profi l e. I ndependent verti cal and l ateral control of the scal ed damage i s defi nabl e.
CNET Diffusion Models - A new seri es of model s from CNET under the gui dance of Dr. Dani el
Mathi ot have been i mpl anted and cal i brated to better descri be hi gh dose effects duri ng di ffusi on. The
seri es of fi ve extra model s i ncl ude: I mpuri ty Defect pai ri ng stati sti cs, stati c cl usteri ng, percol ati on,
correl ated i ntersti ti al & vacancy medi ated i mpuri ty di ffusi vi ti es, bi mol ecul ar recombi nati on of defects
through i mpuri ty states.
ATHENA Version History
SILVACO International D-3
Temperature Dependent Fractional Interstitialcy - The parameters for fracti onal i ntersti ti al cy,
Fi have been extended to i ncl ude temperature dependence. (Fi .0 and Fi .E). I f Fi i s stated i t wi l l remai n
a fi xed val ue.
Indium Added as New Dopant Species - The I ndi um dopant speci es has been i ncl uded as i t has
shown promi se as a good shal l ow juncti on formi ng al ternati ve to Boron and BF2 i mpl anted speci es.
I ndi um may further be passed though Devedi t and i nto Atl as as part of the acti ve net dopant
cal cul ati on.
Gridding Capabilities
Power Device Diffusion Model - A new model for power devi ce di ffusi on has been added. Thi s
model wi l l run around 4 ti mes faster than the standard fermi model i n SSUPREM4, enabl i ng Athena to
si mul ate l arger power devi ce structures i n a gi ven ti me frame.
Adaptive Meshing During Ion Implantation and Diffusion - A seri es of i mportant i mprovements
are now avai l abl e i n SSUPREM4 i n the area of automated adapti ve meshi ng. I mprovements i ncl ude,
effi ci ent 1D adapti on and a new basemesh generati on routi ne duri ng the auto-transi ti on to a 2D
structure. 2D adapti on empl oys a new smoothi ng capabi l i ty. Ti me steppi ng control al so al l ows greater
versati l i ty. Templ ates for a range of technol ogy are suppl i ed to more automati cal l y generate the mesh.
Implant Simulation Features
Advanced 2D Implant Distribution Model - Anal yti cal 2D di stri buti on model whi ch takes i nto
account depth dependence of l ateral standard devi ati on i s i mpl emented. I t i s i nvoked usi ng parameter
FULL.LAT i n the I MPLANT statement. I n order to use thi s advanced model the fol l owi ng addi ti onal
spati al moments shoul d be furni shed: LSTD.DEV, LGAMMA, and LKURTOSI S. Correspondi ng
parameters coul d be speci fi ed for the second. Pearson di stri buti on i n the case of doubl e Pearson model .
Al l above menti oned new parameters can be speci fi ed i n seri ousl y i mproved MOMENTS statement or
i n user-defi ned tabl es (see bel ow). Al so, they are added i nto the standard l ook-up tabl e for a few i on/
materi al combi nati ons.
Flexible Control of Lateral Distribution - More accurate and fl exi bl e model i ng i s i mpl emented
al so i n the case of si mpl e l ateral i mpl ant di stri buti on wi th constant l ateral standard devi ati on. The
l ateral standard devi ati on now can be speci fi ed i ndependentl y from the depth standard devi ati on. The
LSTD.DEV parameters can be speci fi ed i n seri ousl y i mproved MOMENTS statement or i n user-defi ned
tabl es (see bel ow). Al so, they are added i nto the standard l ook-up tabl e for a few i on/materi al
combi nati ons. Si mpl i fi ed control of the l ateral di stri buti on coul d be achi eved by usi ng LAT.RATI O
parameter i n the I MPLANT statement.
Generic Pearson Distribution - To achi eve better compati bi l i ty to several other i mpl ant si mul ati on
programs (e.g., UT at Austi n), devi ati ons from standard Pearson-I V di stri buti on formul a coul d be
al l owed usi ng new ANY.PEARSON parameter. I t means that kurtosi s (fourth moment) coul d be sl i ghtl y
smal l er than the cri ti cal kurtosi s of the Pearson-I V formul a.
Range Parameters are Eliminated from the IMPLANT statement - Thi s capabi l i ty has become
obsol ete after compl ete i mpl ementati on of the MOMENTS statement. The capabi l i ty was very l i mi ted
because i t coul d be used onl y for uni materi al structures.
New PRINT.MOM parameter of the IMPLANT statement - Tel l s ATHENA to pri ntout range
parameters used for al l i on/materi al combi nati ons for speci fi ed energy and dose. I t al so refers user to a
source where these parameters are taken from (standard tabl es, user-speci fi ed tabl es, or the
MOMENTS statement). I n the case of Monte Carl o si mul ati on PRI NT.MOM pri nts spati al moments
cal cul ated from the Monte Carl o based profi l e.
Improved Control of Moments Selection - The sel ecti on of i mpl ant moments used by the
I MPLANT statement i s control l ed now by the MOMENTS statement. Parameter DEF_TABLE
speci fi es that onl y the defaul t l ook-up i mpl ant tabl e athenai mp shoul d be used. Parameter
USER_TABLE=<fi l ename>; speci fi es that the user-defi ned tabl e shoul d be used as a fi rst choi ce for
ATHENA Users Manual
D-4 SILVACO International
the moment search. I n other cases parameters speci fi ed i n the MOMENTS statements (i f any) wi l l be
checked fi rst of al l .
Template for the User-Defined Implant Tables - Auxi l i ary fi l e USERI MP provi des templ ate for
speci fyi ng i mpl ant moment sets for al l types of anal yti cal i mpl ant model s from the si mpl est Gauss to
doubl e Pearson wi th advanced l ateral di stri buti on.
High Energy Implant Tables - 1 to 8 MeV i mpl ant tabl es are now avai l abl e for al l major i mpant
speci es for Si l i con Oxi de.
Si Ion Implant into Silicon - resul ts i n the i ntersti ti al di stri buti on, whi ch al l ows esti mati on of
preamorphi zati on effect.
Trajectories of Primary Ions and Substrate Atoms Knocked-on in the Implant Cascade - can
be now saved i n a speci al TRAJ.FI LE and subsequentl y pl otted usi ng TonyPlot. Thi s frees ATHENA
from the l ast dependency on ol d graphi c l i brary pl otl i b.
PRE.FACTOR and POW.FACTOR Parameters are Eliminated from the IMPLANT Statement
- I nstead PRE.FACTOR parameter i s added to the I MPURI TY statement, so el ectroni c stoppi ng can
be control for each i mpl ant i on -substrate materi al combi nati on separatel y. POW.FACTOR does not
make any sense because ATHENA uses Bi ersack-Brandt-Ki tagawa stoppi ng model where sqrt(E)
dependency doesnt exi st expl i ci tl y.
Moments are Calculated during Monte Carlo Implant Simulation - Al l spati al moments are
i ntegrated duri ng Monte Carl o cal cul ati ons and then can be pri nted out when PRI NT.MOM parameter
i s speci fi ed.
BEAMWIDTH Capability for Monte Carlo Implant - now works properl y for any number of
trajectori es. I t used to wrongl y esti mate random angl e.
Boundary Conditions PERIODIC and REFLECT - now work properl y even i n the case of 1D
si mul ati on.
SMOOTH Capability - now works i n al l cases (used to fai l for several combi nati ons of other
parameters).
Oxidation
Oxidation Threshold Model - Oxi dati on onl y occurs for oxi dant concentrati on above some cri ti cal
val ue.
Miscellaneous Features
Solid Solubility Tables Extended - Boron Sol i d Sol ubi l i ty Tabl es have been extended down to 700,
o
mi ni mum temperature.
New PD Time Stepping Control - The i ni ti al ti me step may not be set i ndependentl y for poi nt
defects to dopant. Thi s al l ows greater fl exi bi l i ty to study events occurri ng duri ng the i ni ti al ti me of an
RTA ti me cycl e, speci fi cal l y when empl oyi ng a new TED di ffusi on model .
Equilibrium Point Defects Concentration - The equi l i bri um poi nt defects concentrati ons (Ci * and
Cv*) are now output i nto the SSF fi l e. These may now be vi sual i zed i n TONYPLOT.
Dump filename extended - the fi l es dumped duri ng a di ffusi on now i ncl ude three extra deci mal
pl aces i n the name of the fi l e, so as to be abl e to movi e di ffusi on effects duri ng the i ni ti al short ti me
steps. Si mul ated Structure can be Truncated from a Si de or from the Bottom by usi ng NOEXPOSE
parameter i n the ETCH LEFT/RI GHT or ETCH BELOW statements.
Alternative Model Files - Wi th ATHENA V4.0.0.R, users may now sel ect al ternati ve model fi l es
usi ng the -modfile command opti on. The opti on argument names the al ternati ve model fi l e ATHENA
shoul d use duri ng the si mul ati on. ATHENA V4.0.0.R i s shi pped wi th a new updated model named
smod96a. Thi s fi l e contai ns i mproved model parameters and i ts use i s recommended.
ATHENA Version History
SILVACO International D-5
ELITE
Monte Carlo Plasma Etching - A new monte carl o pl asma si mul ati on functi on i s avai l abl e to
cal cul ate the angul ar energy di stri buti on of i ons emi tted from a RI E machi nes dark space sheath.
Shadowi ng i s cal cul ated and etch rates over compl ex topograhi cal surfaces resul t. Sputteri ng
effi ci ency as a functi on of angl e i s al so control l abl e.
Doping Concentration Dependent Etch Rate - A dopi ng l evel etch rate enhancement factor al l ows
user control over the rel ati ve etch rates of doped materi al s. Thi s functi on i s uni que to the mesh based
ATHENA product and can not be treated wi th a si mpl e stri ng based tool .
Stress Dependent Etch Rate - Etch rates may be enhanced as a functi on of materi al stress.
Oxi dati on i nduced stress creates defecti vi ty i n materi al s that wi l l i ncrease the l ocal etch rates. Thi s
functi on, i s onl y avai l abl e i n the mesh based ATHENA framework and can not be i mpl emented i nto a
stri ng based tool .
Void Formation Control - Extra control has been added to al l ow the control of the formati on of a
voi d i n the case of two encroachi ng CVD fronts.
OPTOLITH
Image Routines Enhancement - The al gori thm i n eval uati ng the aeri al i mage of the mask has now
been streaml i ned. Approxi matel y, the speed i mprovement i s equi val ent to a change from n*n to
n*l og(n). For a compl ex mask, the speed can be as hi gh as 20X. A mi nor bug i n cal cul ati ng the
di ffracti on pattern has now been removed.
Exposure Routines Improvement - Optol i th Exposure now runs around 4~5 ti mes faster than
versi on 3.0. Thi s has been achi eved by restructuri ng the ray traci ng al gori thm used to expose a gi ven
non-pl anar devi ce structure.
I n addi ti on, an error i n setti ng up the boundary condi ti ons for the el ectromagneti c wave has been
corrected to yi el d the proper standi ng wave pattern. The asymmetry i n energy deposi ti on for a
symmetri c structure has al so been fi xed.
New Material RSM Calibration System - When used wi th the VWF system Optol i th may be used
to cal i brate physi cal model parameters. Exampl e model parameters i ncl ude A, B, C bl eachi ng
parameters and Devel opment rate parameters for al l Devel opment rate model s. The system wi l l fi t
si mul ati on model parameters to a range of experi mental l y measured CD data.
ATHENA Version 3.0.1.R Release Notes
ATHENA Capabilities
The defaul t val ue for ni tri de vi scosi ty has been changed from VI SC.0 = 5e12 to VI SC.0 = 1.8e15.
Thi s val ue i s changed i n the athenamod fi l e usi ng the fol l owi ng MATERI AL statement.
MATERIAL NITRIDE VISC.0 = 1.8E15 VISC.E=0 VISC.X = 0.499
The parameters WET and DRY were changed to WETO2 and DRYO2 on the I NTERSTI TI AL,
OXI DE, and MATERI AL statements.
The MOMENTS statement has been added to ATHENA to faci l i tate the enteri ng of user defi ned
moments for anal yti c i mpl ant. The MOMENTS statement i ncl udes the fol l owi ng parameters: mate-
ri al SI LI CON ..., i mpuri ty I .ARSENI C ..., DOSE i nci dent i on fl ux (/cm
2
), ENERGY i nci dent i on
energy (KeV), RANGE projected range (mi crons), STD.DEV standard Devi ati on (mi crons), GAMMA
thi rd moment, KURTOSI S fourth moment SRANGE projected range for second Pearson (mi crons),
SSTD.DEV standard Devi ati on for second Pearson (mi crons), SGAMMA thi rd moment for second
Pearson, SKURTOSI S fourth moment for second Pearson, DRATI O dose rati o i n the doubl e Pearson
formul a.
ATHENA Users Manual
D-6 SILVACO International
The parameters WETO2 and DRYO2 were added to the I NTERSTI TI AL statement for THETA.0
and THETA.E.
A parameter FLI P.FACTOR has been added to the METHOD statement to l et the user change cri te-
ri a for control l i ng tri angl e fl i ppi ng. FLI P.FACTOR i s a measure of the obtuseness of the angl es of
the opposi te nodes of a pai r of tri angl es. The defaul t i s 1e-6. I t i s uni tl ess.
Four new materi al s have been i ncl uded i nto ATHENA. They are Al GaAs, I nGaAs, Si Ge, and I nP.
These materi al s are accessi bl e vi a the I NI TI ALI ZE or DEPOSI T statement by speci fyi ng ALGAAS,
I NGAAS, SI GE, or I NP. The fracti onal components of the el ements can be entered vi a the parame-
ter C.FRAC on ei ther the I NI TI ALI ZE or DEPOSI T statements. The DEPOSI T statement al so
al l ows a l i nearl y graded vari ati on i n the fracti onal components by use of C.FRAC as the fracti onal
component of the fi rst el ement (i e. for ALGAAS Al i s the fi rst component) at the bottom of the
deposi t and C.FI NAL as the fracti onal component of the fi rst el ement at the top of the deposi t. The
fracti onal component of the second component (i .e., for ALGAAS Ga i s the second component) i s 1 -
C.FRAC and 1 - C.FI NAL. These materi al s are al so avai l abl e on other statements such as
STRETCH, ETCH etc.
Ten more user materi al s were added to make a total of 20 user defi nabl e materi al s.
The parameters DONOR and ACCEPTOR have been added to the I MPURI TY statement. Thi s
al l ows an i mpuri ty to be speci fi ed as ei ther donor or acceptor for a gi ven materi al .
Acti ve i mpuri ti es are now part of the output fi l e as wel l as chemi cal i mpuri ti es. Donors and accep-
tors are cal cul ated from the acti ve i mpuri ty concentrati on. Al l i mpuri ty data can be entered vi a the
I MPURI TY statement. The ol d statements BORON, ARSENI C, PHOSPHORUS, and ANTI MONY
can sti l l be used as before, as they are al i ased to the I MPURI TY statement.
Due to numerous addi ti ons to the standard structure fi l e i n Versi on 3 of ATHENA the structure
fi l es created by Versi on 3 are not compati bl e wi th previ ous versi ons of ATHENA. Structure fi l es cre-
ated by ol d versi ons of ATHENA can be read by Versi on 3 of ATHENA.
Adaptive Meshing Capabilities
A 2-D mesh adapti ng modul e has been i ncorporated i nto ATHENA. The modul e i s i nvoked by
speci fyi ng bool ean fl ag ADAPT on the METHOD statement precedi ng I MPLANT, DI FFUSE, or
EPI TAXY statements, or by speci fyi ng bool ean fl ag ADAPT on the ADAPT.MESH statement to do
stand al one mesh refi nements. A mesh smoothi ng al gori thm has al so been i ntegrated i nto the modul e
to i mprove the mesh qual i ty after mesh adapti ng or after normal deposi t/etch/oxi dati on/si l i ci dati on/
di ffusi on process steps. A set of parameters can be speci fi ed on ADAPT.PAR statement to adjust the
mesh adapti ng process.
The parameters avai l abl e on the METHOD statement are as the fol l owi ng:
Bool ean ADAPT speci fy that the adapti ve meshi ng shoul d be performed on the fol l owi ng I MPLANT,
DI FFUSE or EPI TAXY statements (defaul t fal se).
Bool ean DEPO.SMOOTH speci fy that the mesh smoothi ng shoul d be performed after each
DEPOSI T statement.
Bool ean ETCH.SMOOTH speci fy that the mesh smoothi ng shoul d be performed after each ETCH
statement.
Bool ean DI FF.SMOOTH speci fy that the mesh smoothi ng shoul d be performed after each DI FFUSE
statement.
Bool ean STEP.SMOOTH speci fy that the mesh smoothi ng shoul d be performed after each ti me step
on each DI FFUSE statement.
I nteger I MPLANT.MES speci fi es whi ch adapti ng al gori thm to use on I MPLANT statements, cur-
rentl y I MPLANT.MES = 0 corresponds to Uni versi ty of Fl ori das al gori thm. Thi s i s the defaul t.
ATHENA Version History
SILVACO International D-7
The parameters avai l abl e on the ADAPT.PAR statement are as fol l ows:
Adapti ve meshi ng control vari abl es are avai l abl e on the ADAPT.PAR statement. They are
MI N.ADD, I MPL.SUB, DI FF.SMOOTH, and I MPL.SMOOTH.
MI N.ADD stops poi nt addi ti on i n I MPLANT when the number of poi nts added i n the current l oop i s
l ess than MI N.ADD * (total number of poi nts). The defaul t val ue for MI N.ADD = 0.05.
I MPL.SUB i s a bool ean fl ag that stops poi nt removal duri ng I MPLANT adapti ve meshi ng. The
defaul t val ue for I MPL.SUB = fal se si gni fi es that poi nts are not bei ng removed.
I nteger DI FF.SMOOTH speci fi es whi ch anneal i ng al gori thm to use after each adapti on step, cur-
rentl y DI FF.SMOOTH = 0 corresponds to no anneal i ng duri ng DI FFUSE. DI FF.SMOOTH = 1 corre-
sponds to Lapl aci an smoothi ng and the dose conservati on i nterpol ati on al gori thm. The defaul t i s
DI FF.SMOOTH=0.
I nteger I MPL.SMOOTH speci fi es whi ch anneal i ng al gori thm to use after each adapti on step, cur-
rentl y I MPL.SMOOTH = 0 corresponds to no anneal i ng duri ng I MPLANT. I MPL.SMOOTH = 1 cor-
responds to Lapl aci an smoothi ng and the dose conservati on i nterpol ati on al gori thm. The defaul t i s
I MPL.SMOOTH=1.
Bool ean SI LI CON, OXI DE, ... speci fy materi al regi ons to be adapted on. Thi s may be one or several
materi al s at a ti me. The defaul t materi al s i ncl ude SI LI CON, OXI DE, POLYSI LI CON etc.
Bool ean I .BORON, I .ARSENI C, ... speci fy i mpuri ti es to be adapted on. Thi s may be one or several
i mpuri ti es at a ti me. The avai l abl e i mpuri ti es i ncl ude I .BORON, I .ARSENI C, I .PHOSPHORUS,
I.ANTIMONY, I.INTERST, I.VACANCY etc.
Bool ean DI SABLE speci fi es that materi al s/i mpuri ti es combi nati ons gi ven are di sabl ed to be effec-
ti ve on mesh adapti ng or smoothi ng.
Fl oat MAX.ERR speci fi es the maxi mum error al l owabl e before addi ng poi nts to the mesh, (uni tl ess).
Error cal cul ated above thi s val ue causes poi nts to be added.
Fl oat MI N.ERR speci fi es the mi ni mum error bel ow whi ch poi nts may be del eted from the mesh,
(uni tl ess). Error cal cul ated bel ow thi s val ue causes poi nts to be removed. Both MAX.ERR and
MI N.ERR are cal cul ated usi ng the Bank-Wei ser error esti mator whi ch i s defi ned as
3-1:
where h is the average of the edge lengths associated with node i, Ci is the impurity concentration at node i.
Fl oat CONC.MI N speci fi es the mi ni mum i mpuri ty concentrati on bel ow whi ch adapti ng wi l l stop,
(uni ts 1.0/cm3).
Fl oat AREA.MI N speci fi es the mi ni mum tri angl e area bel ow whi ch addi ng poi nts wi l l stop, (uni ts
cm2).
Fl oat AREA.MAX speci fi es the maxi mum tri angl e area bel ow whi ch del eti ng poi nts wi l l stop, (uni ts
cm2).
Fl oat EDGE.MI N speci fi es the mi ni mum edge l ength bel ow whi ch addi ng poi nts wi l l stop, (uni ts
cm).
Fl oat EDGE.MAX speci fi es the maxi mum edge l ength bel ow whi ch del eti ng poi nts wi l l stop, (uni ts
cm).
I nteger MAX.POI NT speci fi es the maxi mum number of poi nts above whi ch adapti ng wi l l stop.
I nteger MAX.LOOP speci fi es the maxi mum l oop count above whi ch adapti ng wi l l stop, effecti ve
onl y wi th i mpl ant.
The parameters avai l abl e on the ADAPT.MESH statement are as fol l ows:
e h
2
2
Ci
Ci
------------- =
ATHENA Users Manual
D-8 SILVACO International
Bool ean ADAPT speci fy that a stand al one adapti ve meshi ng step shoul d be performed to refi ne or
rel ax the current mesh based on the materi al /i mpuri ty speci fi cati on gi ven on ADAPT.PAR state-
ment, (defaul t fal se).
I nteger ADAPT.COUNT speci fi es the number of adapti ng l oops duri ng the stand al one adapti ve
meshi ng operati on, (defaul t 1).
Bool ean SMOOTH speci fi es to do stand al one anneal i ng (defaul t fal se).
I nteger SMTH.COUNT speci fi es the number of smooth l oops duri ng the smooth operati on, (defaul t
1).
Fl oat ADD.I .LI NE speci fi es that a mesh l i ne i s to be added at the i nterface between two materi al s
as defi ned by the bool eans MATERI AL1 and /MATERI AL2. The l i ne i s added i n MATERI AL1 a di s-
tance ADD.I .LI NE from /MATERI AL2. Bool ean SI LI CON, OXI DE, ... Speci fy materi al 1 for
ADD.I .LI NE.
Bool ean /SI LI CON, /OXI DE, ... speci fy /materi al 2 for ADD.I .LI NE.
SSUPREM4 Capabilities
Oxi dati on enabl ed for pol ysi l i con di ffusi on model .
Vacancy and i ntersti ti al di ffusi on i n pol ysi l i con have been decoupl ed from i mpuri ty di ffusi on for the
TWO.DI M model .
A new parameter has been added to the OXI DE statement. I t i s cal l ed SPLI T.ANGLE. I t governs
the mi ni mum angl e at whi ch the oxi de wi l l spl i t open one more gri d spaci ng when oxi di zi ng at a tri -
pl e poi nt (i .e. where si l i con, oxi de, and ni tri de coi nci de together at a poi nt). The defaul t for the spl i t
angl e i s 22.5 degrees. The SPLI T.ANGLE parameter for tri pl e poi nt oxi dati on i s materi al depen-
dent. Speci fy the oxi di zi ng materi al wi thout a / and the second materi al wi th a / usi ng the fol l ow-
i ng format:
OXIDE SPLIT.ANGLE=35 SILICON /NITRIDE
There are onl y three possi bl e combi nati ons: SI LI CON /NI TRI DE, SI LI CON /POLY, and POLY /
NI TRI DE.
A new parameter for scal i ng anal yti c i mpl ants has been added to the MATERI AL statement. A mul -
ti pl i cati ve factor, I MPL.SCALE, i s speci fi ed on the MATERI AL statement al ong wi th the materi al
name i n whi ch the i mpl ant i s to be scal ed. An exampl e format woul d be:
MATERIAL IMP L.SCALE=0.5 PHOTORESIST
This scales the implant RANGE, STD.DEV, SRANGE, and SSTD.DEV parameters with this factor when
they are take from the implant moments file athenaimp. This is intended to be a convenient way to modify
these tables with a constant multiplicative factor.
Monte Carlo Implant Capabilities
Secondary recoi l i n Monte Carl o i mpl antati on model has been i mpl emented. The model i s i nvoked
by speci fyi ng REC.FRAC=<number> together wi th the DAMAGE fl ag on the I MPLANT statement.
The model cal cul ates the trajectory of secondary i ons generated by the col l i si on between the pri -
mary i on and crystal l atti ce atom. REC.FRAC control s the fracti on of the secondary i ons generated
by pri mary i ons to be si mul ated.
Work i n MC I mpl ant has changed the resul ts so that the peaks for crystal l i ne and amorphous
i mpl ants are now at the same posi ti on.
Substrate rotati on i s now taken i nto account for Monte Carl o i mpl ants. Thi s parameter i s set on the
I NI TI ALI ZE statement and i s cal l ed ROT.SUB. The defaul t for ROT.SUB i s 45 degrees.
Access to i mpl ant parameters for el ectroni c stoppi ng have been added to the I MPLANT statement.
These parameters affect the el ectroni c stoppi ng model and the angl e for the Monte Carl o i mpl ant.
ATHENA Version History
SILVACO International D-9
Fi rst, the BEAMWI DTH parameter has been added. Thi s parameter al l ows speci fi cati on of the
i mpl ant beamwi dth i n degrees. When the BEAMWI DTH angl e i s speci fi ed the TI LT angl e i s vari ed
between TI LT +/- BEAMWI DTH/2.0. Each i on wi l l have an angl e somewhere i n thi s range deci ded
by a random number generator. There are two el ectroni c stoppi ng model s. The fi rst, defaul t model ,
i s a si mpl e model that uses the atomi c mass of the i on and the current i on energy after each col l i -
si on to cal cul ate the el ectroni c stoppi ng.
A parameter cal l ed PRE.FACTOR has been added as a mul ti pl i er to the atomi c mass factor. The
defaul t val ue of PRE.FACTOR = 1. A parameter cal l ed POW.FACTOR has been added as the power
of the energy rati o (energy rati o = 1000*[current i on energy]/[i ni ti al i on energy]) of the i on. The
defaul t val ue of POW.FACTOR = 0.5 or i s the square root of the energy rati o. These parameters
appl y to both the CRYSTAL and AMORPH i mpl ants.
The Hobl er el ectroni c stoppi ng model and i ts parameters were ori gi nal l y for Boron i n Si crystal
i mpl ants. The Hobl er model i s used by defaul t for Boron i n Si l i con. I t can al so be used for Si wi th
any i mpuri ty by speci fyi ng HOBLER on the I MPLANT statement. The Hobl er parameters and thei r
defaul t val ues are PMAX.HOBLER = 2.35, XNL.HOBLER = 0.4, and F.HOBLER = 0.8. PRE.FAC-
TOR can al so be used wi th the HOBLER model .
C Interpreter Capabilities
The C I nterpreter has been i ntegrated i nto ATHENA. The fi rst model s accessi bl e by the C I nterpreter
are for the phosphorus, arseni c, anti mony, boron, i ntersti ti al and vacancy di ffusi on coeffi ci ents. The
l atter two are onl y appl i cabl e for the advanced di ffusi on model s. The fi l e name for model substi tuti on
i s set on the DI FFUSE statement wi th the stri ng parameter P.DI F.COEF = <fi l ename>. Thi s syntax i s
val i d for al l of the above wi th the stri ng parameters bei ng P.DI F.COEF, AS.DI F.COEF, SB.DI F.COEF,
B.DI F.COEF, I .DI F.COEF and V.DI F.COEF for phosphorus, arseni c, anti mony, boron, i ntersti ti al and
vacancy di ffusi on coeffi ci ents respecti vel y. The segregati on cal cul ati on can al so be accessed by the C
I nterpreter for phosphorus, arseni c, anti mony and boron. For the segregati on cal cul ati on the fi l e name
for model substi tuti on i s set on the DI FFUSE statement wi th the stri ng parameter P.SEG.CALC =
<fi l ename>. Thi s syntax i s val i d for al l of the above wi th the stri ng parameters bei ng P.SEG.CALC,
AS.SEG.CALC, SB.SEG.CALC and B.SEG.CALC. The acti vati on cal cul ati on can al so be accessed by
the C I nterpreter for phosphorus, arseni c, anti mony and boron. For the acti vati on cal cul ati on the fi l e
name for model substi tuti on i s set on the DI FFUSE statement wi th the stri ng parameter P.ACT.CALC
= <fi l ename>. Thi s syntax i s val i d for al l of the above wi th the stri ng parameters bei ng P.ACT.CALC,
AS.ACT.CALC, SB.ACT.CALC and B.ACT.CALC.
Al l of these par ameter s can be used at the same ti me or separ atel y as desi r ed. Templ ates for al l these
functi ons are l ocated i n a fi l e cal l ed athena.l i b l ocated i n the di rectory $SI LVACO/lib/ athena/
common. A sampl e functi on i s gi ven for each of the di ffusi on coeffi ci ent cal cul ati ons, segregati on
cal cul ati ons, and acti vati on cal cul ati ons. Al l these functi ons shoul d have di fferent names. The
templ ate fi l e i s copi ed to the current di rectory by typi ng athena -T <fi l ename> i n a C shel l .
ELITE Capabilities
CHEMI CAL and DI VERGENCE parameters have been added to the RI E model on the RATE.ETCH
statement. These account for i ons that hi t the structure at other than normal i nci dence. A Gaussi an
di stri buti on of i ons as a functi on of the angl e i s assumed. DI VERGENCE i s the standard devi ati on of
thi s di stri buti on i n degrees. CHEMI CAL i s the etch rate for thi s component of the RI E model .
Chemical Mechanical Polish
Two model s for chemi cal mechani cal pol i shi ng have been added to ELI TE. They are the Burke
model (hard pol i sh) and the Warnock model (soft pol i sh). The Burke model pol i shes the structure at
a rate proporti onal to the pattern factor of the structure. The Burke parameters MAX.HARD and
MI N.HARD are the maxi mum and mi ni mum pol i sh rates and are entered vi a the RATE.POLI SH
statement. MAX.HARD corresponds to a pattern factor of zero and MI N.HARD corresponds to a
pattern factor of one. The actual pol i shi ng rate i s cal cul ated on the l i ne between MAX.HARD and
ATHENA Users Manual
D-10 SILVACO International
MI N.HARD dependi ng on the pattern factor of the structure bei ng pol i shed.
The Warnock model has four parameters on the RATE.POLISH statement. SOFT sets the pol i sh
rate. HEI GHT.FAC i s the verti cal deformati on scal e i n mi crons. LENGTH.FAC i s the hori zontal
deformati on scal e i n mi crons. The pol i shi ng rates for tal l features and hol es are cal cul ated usi ng
HEI GHT.FAC and LENGTH.FAC. HEI GHT.FAC measures how much the pol i shi ng pad wi l l deform
wi th respect to the hei ght of the feature. LENGTH.FAC measures the di stance the effect of a tal l
feature wi l l be fel t. LENGTH.FAC i s a measure of the sti ffness of the pad and the di stance at whi ch
shadowi ng wi l l be fel t by a tal l feature where HEI GHT.FAC i s a measure of the spri ng l i ke nature of
the pad surface. KI NETI C.FAC i s the mul ti pl i er whi ch i ncreases the verti cal component of the hor-
i zontal pol i sh rate on sl oped surfaces. KI NETI C.FAC i ncreases the verti cal pol i sh rate as the sur-
face becomes more verti cal .
An i sotropi cal rate component i s al so avai l abl e on the RATE.POLI SH statement vi a the I SOTRO-
PI C parameter.
The two pol i sh model s, HARD and SOFT, can be used together or separatel y. The i sotropi c compo-
nent can be added to ei ther pol i sh model . The pol i sh i s i ni ti ated by the POLI SH statement. The syn-
tax of the POLI SH statement i s very si mi l ar to the ETCH statement for machi ne etches.
Temperature dependence has been added to the surface di ffusi on model for ELI TE deposi ts. The
RATE.DEPO statement now i ncl udes SI GMA.0 and SI GMA.E for thi s model . The dependence i s
SI GMA.DEP = SI GMA.0*EXP(-SI GMA.E/KT). Temperature i s entered on the DEPOSI T statement.
The stri ng advance al gori thm and the di ffusi on al gori thm have been modi fi ed to gi ve a more real i s-
ti c movement.
The WET, RI E, etch capabi l i ti es of ELI TE have been converted from a stri ng based al gori thm to a
mesh based al gori thm. Thi s gi ves greater accuracy when etchi ng near boundari es.
The CUSTOM deposi t has been renamed to USER.DATA.1. CUSTOM remai ns as an al i as for thi s
deposi t model . A new user deposi t model was created that al l ows the same form of i nput fi l e as
USER.DATA.1 but al so contai ns al l of the functi onal i ty of the UNI DI REC model i ncl udi ng shadow-
i ng and surface di ffusi on effects (SI GMA.DEP parameter). Thi s new model i s cal l ed USER.DATA.2.
The necessary parameters are i n an ASCI I i nput fi l e of the same form as the USER.DATA.1 model .
Angl e and deposi ti on r ate ar e the i nput val ues i n the fi l e wher e the deposi ti on r ate i s taken as a r el -
ati ve deposi ti on r ate and the over al l deposi ti on r ate i s deter mi ned by the DEP.RATE par ameter.
SUBSTEPS has been added to the DEPOSI T statement. Thi s parameter control s the number of
steps made for each di vi si on of the deposi t. Thi s parameter i s very i mportant i n terms of shadowi ng
effects as these effects are cal cul ated every ti me there i s a change i n SUBSTEPS or DI VI SI ONS. I n
general , the l arger the number of SUBSTEPS the more accurate the cal cul ati on. However, a l arge
number of SUBSTEPS al so i ncreases cal cul ati on ti me. SUBSTEPS = 1 i s useful for the
USER.DATA.2 model i f there are a l arge number of poi nts i n the ASCI I i nput fi l e. Thi s wi l l speed
up deposi ti ons made wi th thi s model and wi l l not affect the accuracy of the shadowi ng as shadowi ng
effects are cal cul ated for each poi nt i n the ASCI I i nput fi l e. The defaul t val ue for SUBSTEPS i s 8.
FLASH Capabilities
For the new materi al s, Al GaAs, I nGaAs, Si Ge, and I nP, i mpl antati on and di ffusi on model s were
enabl ed. Currentl y di ffusi on i n Al GaAs, I nGaAs, and I nP have the same parameters as GaAs as
speci fi ed i n the model fi l e. Si Ge uses the parameters for Si , agai n as speci fi ed i n the model fi l e. The
anal yti c i mpl ant capabi l i ti es for these materi al s are as fol l ows: Si Ge uses Si moments tabl es where
they are avai l abl e. Al GaAs, I nGaAs, and I nP use moments tabl es for GaAs where they are avai l abl e.
The Monte Carl o i mpl ant capabi l i ti es are as fol l ows: Si Ge uses the Si crystal l atti ce. Al GaAs and
I nGaAs use the GaAs crystal l atti ce. I nP uses i ts own crystal l atti ce.
Carbon has been added as a dopant for GaAs wi th di ffusi on coeffi ci ents and i mpl ant tabl es borrowed
from Beryl l i um unti l better data i s found.
ATHENA Version History
SILVACO International D-11
OPTOLITH Capabilities
Probl em wi th annul ar sources for exposure has been fi xed.
Known Bugs
GPLOT vi sual i zati on pl ots do not work when remotel y di spl ayi ng on Sol ari s 2.4.
ATHENA Version 2.0
Versi on 2.0 of ATHENA i ncorporates a number of new model s as wel l as conveni ence features. The
FLASH modul e i s now avai l abl e as a component of ATHENA. ATHENA now i ncl udes a Monte Carl o
based deposi t al gori thm and a refl ow cal cul ati on.
ATHENA Capabilities
ATHENA Framework capabi l i ti es have been enhanced by the i ncl usi on of some hel pful geometri c
mani pul ati ons. Namel y:
The STRETCH statement has been extended to al l ow verti cal stretches to easi l y extend structures
for devi ce anal ysi s or poi nt defect based di ffusi on cal cul ati ons. The parameter, Y.VAL on the
STRETCH statement speci fi es the verti cal posi ti on i n the structure at whi ch the stretch wi l l occur.
The ETCH statement has been extended to i ncl ude syntax ABOVE and BELOW to faci l i tate the
truncati on or pl anari zati on of structures for i nterfaci ng to devi ce anal ysi s or fol l owi ng poi nt defect
based di ffusi on. ETCH ABOVE and ETCH BELOW both sustai n one-di mensi onal cal cul ati on and
can be used i n the i nverse of the STRETCH operati ons descri bed above.
The STRI P statement has been enhanced to i ncl ude materi al speci fi cati on. Thi s al l ows stri p of any
materi al . I f no materi al i s speci fi ed, STRI P removes al l photoresi sts and BARRI ER materi al s.
The I MPURI TY statement has been added. The I MPURI TY statement al l ows the speci fi cati on of
parameters for the new i mpuri ti es for FLASH and SSUPREM4 that have been i ntroduced i n thi s
r el ease. The I MPURI TY statement i s i ntended to stop prol i fer ati on of mul ti tudi nous statements of
the form PHOSPHORUS, BORON, ARSENI C, etc. as new i mpuri ti es are added. Parameters for
boron, for exampl e, can be speci fi ed wi th I MPURI TY I .BORON i nstead of the BORON statement.
The I MPURI TY statement al l ows setti ng of atomi c mass and atomi c number usi ng the AT.MASS
and AT.NUMBER parameters respecti vel y. These parameters effect the Monte Carl o i on i mpl ant
and al l ow user defi ned i mpuri ti es for i on i mpl ant by redefi ni ng an exi sti ng i mpuri ty wi th the
desi red characteri sti cs.
The number of user defi nabl e materi al s has been i ncreased to 10.
Shel l statements such as QUI T and HELP have been made case i nsensi ti ve.
A new al gori thm for surface gri d removal has been i ncl uded i n the functi onal i ty of the RELAX
statement. Thi s al gori thm al l ows el i mi nati on of surface segments that are smal l er than a val ue
speci fi ed by parameter, DX.SURF i n mi crons. Thi s i s useful for removi ng excess gri d created duri ng
hi gh resol uti on machi ne etches.
A new set of exampl es i s i ncl uded that i l l ustrate cal i brati on of coeffi ci ents for several typi cal cal i -
brati on probl ems.
SSUPREM4 Capabilities
Gal l i um, Al umi num, and Gol d i mpuri ti es have been added. The statement l anguage for DEPOSI T,
DI FFUSI ON, I NI TI ALI ZE, and a number of other statements has been modi fi ed to i ncl ude these
i mpuri ti es. The I MPURI TY statement descri bed above has been added to al l ow coeffi ci ent setti ng
for these i mpuri ti es.
A two stream pol ysi l i con di ffusi on model has been added. Thi s model takes i nto account the di ffu-
ATHENA Users Manual
D-12 SILVACO International
si on of i mpuri ti es vi a grai n and grai n boundary components. The rel ati ve magni tude of the two
components i s control l ed by the GB.VOL.RATI O parameter on the MATERI AL statement. The
grai n si ze of the col umnar grai ns can be set by the parameter GRAI N.SI ZE on the MATERI AL
statement. Grai n boundary di recti onal i ty i s i ncl uded i n the DEPOSI T cal cul ati on. Grai n si ze evol u-
ti on i s cal cul ated duri ng di ffusi on and i s control l ed by the GRAI N.SI ZE and GB.ENERGY parame-
ters on the MATERI AL statement. I mpuri ty segregati on i nto and out of grai ns i s cal cul ated duri ng
di ffusi on. The i mpuri ti es i n the grai ns are treated si mi l ar to di ffusi on i n si l i con. I mpuri ti es i n the
grai n boundary di ffuse more qui ckl y as set by the GB.DI X.0 and GB.DI X.E parameters on the
I MPURI TY statement. The advanced pol ysi l i con di ffusi on model i s i nvoked by speci fyi ng the
POLY.DI FF parameter on the METHOD statement. The METHOD POLY.DI FF statement shoul d
precede the deposi ti on of the pol ysi l i con.
The CRYSTAL parameter on the I MPLANT statement i s now true by defaul t. Thi s parameter
determi nes whether si l i con materi al s wi l l be treated wi th a ful l crystal representati on duri ng
Monte Carl o i on i mpl ant cal cul ati ons. The previ ous defaul t can be obtai ned by i ncl udi ng CRYS-
TAL=f on the I MPLANT statement. Monte Carl o i mpl ant cal cul ati ons wi l l now take l onger to per-
form due to the use of the more compl ete crystal l i ne model . The AMORPH parameter can now be
used i nstead of CRYSTAL=f to determi ne whi ch model for Monte Carl o i on i mpl ant wi l l be used.
Ei ther AMORPH or CRYSTAL=f can now be used to speci fy that stati sti cs for amorphous si l i con be
used for anal yti c i on i mpl ant cal cul ati ons. The UNI T.DAMAGE model now has a defaul t val ue for a
DAM.FACTOR of 0.01
Dynami c amorphi zati on i s now i ncl uded i n the Monte Carl o i on i mpl ant capabi l i ty. Thi s model s the
amorphi zati on that takes pl ace duri ng i mpl antati on.
The MATERI AL statement i ncl udes the bool ean parameter, DAM.THRESH=, that speci fi es the
i mpl ant damage threshol d i n eV. Thi s can be used to control the extent of amorphi zati on that occurs
duri ng i mpl ant. The parameter MAX.DAMAGE on the I MPLANT or MATERI AL statements al so
control s the rate at whi ch the i mpl anted materi al wi l l amorphi ze.
The si l i ci de model has been enhanced to i mprove vol ume conservati on duri ng si l i ci de cal cul ati ons.
Parameters DSV.0 and DSV.E have been added to the SI LI CI DE statement to control the di ssol u-
ti on of a contri buti ng materi al duri ng the si l i ci de cal cul ati on.
I mprovements to the TWO.DI M model and cyl i ndri cal coordi nates to address bug fi xes and model
extensi ons have been i ncl uded.
ELITE Capabilities
Refl ow capabi l i ti es that al l ow spi n on gl ass model i ng wi th a physi cal l y based cal cul ati on that si mul -
taneousl y cal cul ates i mpuri ty di ffusi on are now i ncl uded. Refl ow capabi l i ty i s now avai l abl e wi th
ELI TE for i ndi vi dual materi al s by speci fyi ng the REFLOW parameter on the MATERI AL state-
ment. Speci fyi ng the REFLOW par ameter on the DI FFUSI ON statement i nvokes the r efl ow model .
The VI SCOUS model shoul d be sel ected on the METHOD statement pri or to performi ng refl ow. The
parameter GAMMA.REFLOW=<n> has been added to the MATERI AL statement to speci fy surface
tensi on si gma for the refl ow cal cul ati on. When used i n conjuncti on wi th ei ther SSUPREM4 or
FLASH, the refl ow capabi l i ty al l ows si mul taneous cal cul ati on of materi al fl ow and i mpuri ty di ffu-
si on.
Monte Carl o deposi t capabi l i ti es are now avai l abl e as an opti onal functi onal i ty. These al l ow physi -
cal l y based cal cul ati ons that i ncl ude deposi ted speci es refl ecti on/sti cki ng coeffi ci ent, surface di ffu-
si on, and densi ty vari ati ons.
A tuni ng parameter, DX.MULT=<n> has been added to the ETCH statement to al l ow enhanced di s-
creti zati on duri ng i ndi vi dual ELI TE etch steps. I ncreasi ng the val ue of DX.MULT from i ts defaul t of
1.0 wi l l resul t i n l arger surface segments and a reduced di screti zati on. Decreasi ng DX.MULT wi l l
resul t i n better di screti zati on i n both space and ti me duri ng the etch cal cul ati on. Reduci ng the
ATHENA Version History
SILVACO International D-13
val ue of thi s parameter al l ows real i sti c model i ng of wet etches that previ ousl y were poorl y resol ved.
A new machi ne type speci fi ed by the parameter CUSTOM i s now avai l abl e for ELI TE deposi ts. Thi s
machi ne type reads deposi t rate vs. angl e i nformati on from a user speci fi ed ASCI I fi l e. Thi s can be
used as an i nterface to deposi t rates produced by non-Silvaco si mul ators. I f a ful l range of deposi t
angl es i s not speci fi ed the si mul ator wi l l i nterpol ate rates between the cl osest angl e and a rate of
zero at an angl e of +/- 180 degrees. The rates at +/- 180 degrees are assumed to be the same.
The l i mi ts on number of regi ons and number of surface segments for machi ne etch cal cul ati ons have
been i ncreased. The new l i mi ts shoul d be adequate for most appl i cati ons of ELI TE.
OPTOLITH Capabilities
A new defocus model that di rectl y coupl es the i magi ng modul e to the exposure modul e has been
i ntroduced. The DEFOCUS parameter on the EXPOSE statement i s now obsol ete and the NA
parameter on the EXPOSE statement i s used onl y to speci fy that the verti cal propagati on model be
used (NA=0.0). The defaul t i s the l arge numeri cal aperture model .
Mul ti pl e exposure capabi l i ty has been added to the EXPOSE statement. Usi ng the bool ean parame-
ter MULT.EXPOSE al l ows an arbi trary number of exposures to be si mul ated i n the same resi st.
Appl i cati ons are mul ti pl e focal pl anes (FLEX method) and mul ti pl e wavel engths.
Mul ti pl e i mage capabi l i ty has been added to the I MAGE statement. Usi ng the bool ean parameter
MULT.I MAGE al l ows an arbi trary number of i mages to be superi mposed i n the same aeri al i mage.
The appl i cati on i s for superposi ti on of mul ti pl e i mages wi th di fferent focal pl anes (FLEX method).
A new parameter, POWER.MI N=<n>, i n the EXPOSE statement has been i ntroduced to control the
extent of the exposure cal cul ati on. Thi s parameter control s the amount of l oss to be consi dered i n
the cal cul ati on. After refl ecti on, transmi ssi on and absorpti on the i ntensi ty may be so l ow as to be
negl i gi bl e. POWER.MI N sets the l evel bel ow whi ch the i ntensi ty wi l l be i gnored.
The i magi ng modul e now i ncl udes a one-di mensi onal mode that al l ows the cal cul ati on of one di men-
si onal as opposed to two di mensi onal i mages. The one-di mensi onal i mage capabi l i ty i s i nvoked by
speci fyi ng the ONE.DI M parameter on the I MAGE statement. The advantage of the ONE.DI M
mode i s real i zed when usi ng the cal cul ated i mage i n the exposure modul e. The two-di mensi onal cal -
cul ati on al l ows the user to see effects due to l i nes perpendi cul ar and paral l el to the current cross
secti on bei ng studi ed but uses a two di mensi onal array of pl ane waves i n the cal cul ati on. The two-
di mensi onal mode requi res much l onger cal cul ati on ti me. The one-di mensi onal cal cul ati on uses
onl y l i nes whi ch are perpendi cul ar to the cross secti on. Thi s cal cul ati on uses onl y a one di mensi onal
array of pl ane waves and i s much faster. When a two di mensi onal mask i s defi ned, onl y mask fea-
tures that are on the same l evel as the desi red cross secti on are i ncl uded i n the cal cul ati on.
The POSTBAKE statement has been repl aced by the BAKE statement that performs ei ther post-
exposure bake or post-devel opment bake. TI ME and TEMPERATURE parameters have been added
to be used i nstead of di ffusi on l ength. Associ ated photoacti ve component di ffusi vi ty parameters are
al so i ncl uded i n the RATE.DEVELOP statement. A new post-devel opment bake capabi l i ty i ncl udes
photoresi st fl ow. The REFLOW parameter on the BAKE statement i nvokes the materi al fl ow model .
The BAKE statement i ncl udes the DUMP and DUMP.PREFI X parameters that al l ow movi es of
bake processes to be created. Setti ng DUMP=1 and DUMP.PRE=test wi l l create a sequence of Sil-
vaco standard structure fi l es that show the ti me evol uti on of the structure duri ng the bake. The
fi l es wi l l be named test**.**.str where the **.** i ndi cates the ti me wi thi n the bake.
The l i brary of defaul t photor esi sts has been extended wi th the i ncl usi on of mor e r esi sts and par am-
eters descri bi ng the new model s.
FLASH Module
The FLASH modul e has been i ntroduced wi th thi s rel ease of ATHENA. The FLASH modul e provi des
ATHENA Users Manual
D-14 SILVACO International
the abi l i ty to model gal l i um arseni de materi al s. Thi s i nvol ves a number of changes. A parti al l i st of
the FLASH capabi l i ti es i s provi ded here for reference.
GaAs materi al i s now i ncl uded on the I NI TI ALI ZE and DEPOSI T statements as wel l as a number
of model coeffi ci ent statements.
I mpuri ti es appropri ate for GaAs processi ng, namel y, beryl l i um, chrome, germani um, magnesi um,
sel eni um, si l i con, and zi nc, have been added to a number of statements.
I on i mpl antati on moments tabl es have been added that descri be i mpl ant of these speci es i nto mate-
r i al s typi cal of GaAs processi ng.
Monte Carl o i on i mpl ant capabi l i ti es have been extended to accommodate the new i mpuri ti es and
GaAs materi al i ncl udi ng crystal effects.
A di ffusi on model for i mpuri ti es i n GaAs has been i ncl uded. Thi s model can be accessed by speci fy-
i ng the DI FFUSE statement.
ATHENA Version 1.0
Versi on 1.0 i ncorporates a number of new model s as wel l as conveni ence features. The maxi mum
number of gri d poi nts has been i ncreased to 20000. Dynami c al l ocati on of cri ti cal arrays makes thi s
l i mi t practi cal . A sl i ght sl owdown whi l e dynami c al l ocati on i s bei ng performed may be observed
duri ng executi on of I NI TI AL statements. I f the gri d defi ni ti ons exceed the l i mi ts of 20000 poi nts or
1000 hori zontal or verti cal poi nts, the program gi ves an error message and exi ts.
Non-i nteger speci fi cati on of the DI VI SI ONS par ameter on the DEPOSI T statement i s now al l owed.
Thi s al l ows parameteri zed gri ddi ng.
The I NI TI ALI ZE statement now accepts materi al speci fi cati ons. Thi s al l ows the speci fi cati on of an
i ni ti al gri d for any materi al usi ng onl y LI NE and I NI TI ALI ZE statements. TAG parameters for
boundary defi ni ti on do not need to be speci fi ed. REGI ON and BOUNDARY statements are not
needed and for most commonl y used boundary condi ti ons are set up by defaul t.
I mproved gri d refi nements fol l owi ng oxi dati on, deposi ti on, si l i ci dati on, etchi ng, or other gri d mov-
i ng steps.
Thi s update i ncl udes a new parser functi on MAT1| MAT2(Y) that wi l l return the x i ntersecti on
poi nt between materi al s mat1 and mat2 for the y val ue gi ven to the functi on. The other parser func-
ti on, MAT1@MAT2(X), returns a y i ntersecti on poi nt gi ven x. However, the two functi ons are very
di fferent. The former al l ows the i ntersecti on poi nt wi th gas to be found speci fi cal l y for the appl i ca-
ti on of extracti ng cri ti cal di mensi ons (CDs) for photol i thography appl i cati ons. The l atter wi l l not
handl e gas materi al . I n the case of extracti on of cds a speci al format i s used.
PRINTF (GAS|PHOTO(Y)-PHOTO|GAS(Y))
This is the right intersection - the left intersection. If there are more than two intersections the right-most
and left-most will be taken. These conditions are only true if gas is specified. If gas is not specified it
returns the x intersection for y in the same manner as MAT1@MAT2(X).
A bug i n the RELAX capabi l i ty has been repai red. Thi s makes RELAX functi on more compl etel y
and makes i t remove tri angl es for cases where they were l eft i n the past.
SSUPREM4 Version 6.0
Ver si on 6.0 of SSUPREM4 i ncorporates a number of new model s as wel l as conveni ence features.
SSUPREM4 now i ncl udes the fi rst avai l abl e two-di mensi onal si l i ci de model . The DEPOSI T, ETCH
and model statements now i ncl ude materi al s TUNGSTEN, TI TANI UM, PLATI NUM, WSI X, TI SI X,
and PTSI X. Si l i ci dati on can al so be performed usi ng user defi ned materi al s for other metal systems.
The si l i ci de model parameters can be speci fi ed i n a number of model statements and i n the
ATHENA Version History
SILVACO International D-15
METHOD statement.
DEPOSI T, EPI TAXY, and DI FFUSI ON now al l ow speci fi cati on of mul ti pl e i mpuri ti es. The mul ti pl e
i mpuri ty deposi ti on capabi l i ty i s exhi bi ted i n an exampl e of BPSG type materi al .
The DI FFUSI ON statement now al l ows si mul taneous oxi de growth and i mpuri ty predeposi ti on.
Thi s al l ows physi cal l y based model i ng of processes such POCL deposi ti on.
One remai ni ng area of concern for model i ng such processes i s that i mpuri ty di ffusi on i n hi ghl y
doped oxi de type materi al s such as BPSG or PSG wi l l tend to be faster. The i mpuri ty di ffusi on coef-
fi ci ent must typi cal l y be adjusted i n order to model such processes accuratel y.
Di ffusi on cal cul ati on has been modi fi ed to al l ow the previ ous di screti zati on or Rex Lowther s di s-
creti zati on method. The i mproved Lowther di screti zati on can be accessed usi ng the parameter
LOWTHER on the METHOD statement.
The oxi dati on gri ddi ng al gori thm has been modi fi ed to al l ow a thi n gri d at the i ni ti al oxi dati on and
a coarser gri d throughout subsequent oxi dati on. Thi s techni que i s desi gned to create a fi ne gri d dur-
i ng gate oxi de and si mi l ar growth steps but coarse gri d for thi cker oxi dati ons. The parameter GRI -
DI NI T.OX on the METHOD statement sets the val ue of the i ni ti al gri d thi ckness. A si mi l ar
capabi l i ty for si l i ci dati on i s avai l abl e vi a the GRI DI NI T.SI L on the METHOD statement.
Substrate ori entati on can now be speci fi ed on the I NI T statement to set the ori entati on of trench
si dewal l s. Thi s effects oxi dati on and Monte Carl o i mpl antati on.
The SSUPREM4-MaskViews i nterface has been repl aced by the MaskVi ews cutfi l e capabi l i ty. Al l
references to the SSUPREM4-MaskViews i nterface i n the I NI TI AL statement wi l l be i gnored dur-
i ng cal cul ati on and wi l l produce a warni ng message.
The regri d capabi l i ty has been repl aced by the functi onal i ty of DEVEDI T. REGRI D statements i n
SSUPREM4 i nput wi l l be i gnored duri ng cal cul ati on and wi l l produce a warni ng message.
Regi onal attri bute i nformati on can now be set i n SSUPREM4. Currentl y the attri butes that are set
by SSUPREM4 are onl y el ectrode names.
Poly Oxidation - Etchi ng i n compl i cated structures (l atch-up etc.), memory al l ocati on, and freei ng
bugs el i mi nated
Syntax Changes - REGRI D and l ayout i nterface rel ated syntax for I NI TI AL statement has been
removed.
SSUPREM4 Version 5.1.4
Versi on 5.1 of SSUPREM4 i ncorporates a number of new model s as wel l as conveni ence features, and
numerous bug fi xes.
El i mi nated a bug i n the PRI NT.1D statement for structures i ncl udi ng BARRI ER materi al .
The memory requi rements for SSUPREM4 were reduced dramati cal l y through a change to the maxi -
mum number of materi al s and regi ons al l owed i n a si mul ati on.
Boundary condi ti ons bug fi xes el i mi nated some di ffi cul ti es duri ng TWO.DI M di ffusi ons.
SSUPREM4 Version 5.1
Versi on 5.1 of SSUPREM4 i ncorporates a number of new model s as wel l as conveni ence features, and
numerous bug fi xes.
PREDICT2 Feature Incorporation - As part of an ongoi ng col l aborati on wi th the Mi croel ectron-
i cs Center of North Carol i na, Versi on 5.1 of SSUPREM4 i s coupl ed wi th i ni ti al model i mpl ementati on
of PREDI CT2. The model s i n PREDI CT2 are the most accurate avai l abl e for hi gh concentrati on di ffu-
si on, Rapi d Thermal Processi ng (RTP), and Transi ent Enhanced Di ffusi on (TED). The use of these
ATHENA Users Manual
D-16 SILVACO International
model s i s descri bed i n the DI FFUSI ON and METHOD statement descri pti ons and i n the Reference
Manual .
DeckBuild example facility added - A set of standard exampl es for SSUPREM4 and other SIL-
VACO si mul ators can now be accessed vi a the DECKBUI LD worki ng envi ronment. To run these exam-
pl es, run DeckBui l d, pul l down the Mai n Control -Exampl es menu, and sel ect SSUPREM4 from the
Secti on menu. Then sel ect an exampl e name from the scrol l i ng l i st and sel ect the Load button at the
bottom of the screen. Thi s wi l l copy the exampl e and any associ ated fi l es to your current worki ng
di rectory and l oad the exampl e i nto DECKBUI LD. You can then run the exampl e. The exampl e faci l i ty
i ncl udes a short descri pti on of the exampl e that descri bes how to run i t and some descri pti on of the
resul ts that i s si mi l ar to the manual descri pti on. Exampl es descri bi ng i nterfaces between di fferent
si mul ators are al so accessi bl e.
SSUPREM3 Interface - The SSUPREM4 PROFI LE statement can read a one di mensi onal (1D)
structure fi l e generated by SSUPREM4. The PROFI LE statement reads a MASTER fi l e that con-
tai ns l ayer and i mpuri ty i nformati on from SSUPREM3. The i nterface between thi s si mul ators i s best
accompl i shed by usi ng DECKBUI LD. Wi thi n DECKBUI LD, you si mpl y bui l d the SSUPREM3 porti on of
the i nput deck. Next, speci fy the command: GO SSUPREM4. Speci fy the mesh wi thi n si l i con as you
normal l y woul d i n SSUPREM4. DECKBUI LD wi l l automati cal l y i nsert the appropri ate profi l e state-
ment fol l owi ng SSUPREM4 i ni ti al i zati on.
User accessible polysilicon oxidation rates - I n previ ous rel eases of SSUPREM4, pol ysi l i con and
si l i con were assumed to oxi di ze wi th si mi l ar rates. The parameters for pol ysi l i con oxi dati on were
not i ndependentl y accessi bl e from those for si l i con oxi dati on. Experi ments have shown that pol ysi l -
i con oxi dati on can be si gni fi cantl y di fferent from si l i con oxi dati on. Al l coeffi ci ents for oxi dati on are
now accessi bl e i ndependentl y for si l i con and pol ysi l i con oxi dati on. By defaul t, the rates for pol ysi l i -
con and si l i con oxi dati on are the same.
Geometric Mode Added - The capabi l i ty to speci fy at i ni ti al i zati on that a si mul ati on i s to be per-
formed wi thout i mpuri ti es has been added as a parameter on the I NI TI ALI ZE statement. Thi s
speci fi es the so-cal l ed geometri c mode that descri bes al l materi al l ayers but produces no i mpuri ty
i nformati on, speedi ng up SSUPREM4 executi on ti me i mmensel y.
Coarse Grid Mode Added - The parameter SPACE.MULT has been added to the I NI TI ALI ZE
statement to gl obal l y mani pul ate the i ni ti al gri d speci fi cati on for SSUPREM4. Setti ng the val ue of
SPACE.MULT to a val ue greater than one wi l l i ncrease the effecti ve val ue of each of the spaci ng
parameters on precedi ng LI NE statements. Thi s gi ves a qui ck way to gl obal l y reduce the gri d den-
si ty i n a SSUPREM4 si mul ati on for reduced si mul ati on ti me for prel i mi nary anal yses.
Full Rotation Capability Added To IMPLANT Statement - Ful l rotati on for i mpl ant can now
be speci fi ed on the I MPLANT statement. Speci fyi ng the FULLROTAT parameter wi l l perform
i mpl antati on at the speci fi ed ti l t angl e from al l rotati on angl es as woul d occur wi th a rotati ng wafer
mount.
User definable materials added - The capabi l i ty to defi ne new materi al s i n SSUPREM4 has
been i ncl uded i n thi s rel ease. Thi s al l ows separate treatment of materi al s deposi ted usi ng di fferent
processes.
Ramped DIFFUSION syntax change - The RAMP parameter has been removed from the di ffu-
si on statement. I f the parameter i s present i t wi l l be i gnored. Temperature ramps for thermal di ffu-
si ons can now be speci fi ed by addi ng ei ther the T.FI NAL or T.RATE parameter to any DI FFUSI ON
statement. The i ni ti al temperature must be speci fi ed usi ng the TEMPERATURE parameter.
Line continuation syntax change - Li ne conti nuati on i s now supported i n a manner consi stent
wi th use wi thi n DeckBui l d. The l i ne conti nuati on character for SSUPREM4 as wel l as other si mul a-
tors runni ng under DeckBui l d i s \ (backsl ash). The \ character shoul d be the l ast character on a
l i ne that i s to be conti nued on the fol l owi ng l i ne.
ATHENA Version History
SILVACO International D-17
ETCH statement default change - The TOP.LAYER parameter on the ETCH statement defaul ts
to true. Thi s parameter can be set to fal se to etch underl yi ng materi al l ayers si mul taneousl y wi th
exposed l ayers of a parti cul ar materi al . SSUPREM4 wi l l now gi ve i nformati on warni ng messages for
etches that create voi ds wi thi n a structure. I n addi ti on, unexposed materi al s wi l l not be etched
unl ess TOP.LAYER i s set to fal se.
TonyPlot and go syntax supported - The command TonyPl ot can be i ncl uded i n a SSUPREM4
i nput deck and wi l l i ni ti ate a TONYPLOT of the structure i f run under DeckBui l d. For SSUPREM4
standal one operati on, the TonyPl ot statement i s i gnored. Al so, under DeckBui l d, the command GO
SSUPREM4 wi l l i ni ti ate SSUPREM4 executi on. Thi s statement i s i gnored i n standal one operati on.
Manual improvements and additional examples - The manual for SSUPREM4 has been refor-
matted and thoroughl y revi sed to be more readabl e and provi de the user wi th more i mportant
gui del i nes for effecti ve use of SSUPREM4. The Tutori al secti on and Getti ng Started secti ons have
been added to provi de an i ntroducti on to the use of SSUPREM4. Addi ti onal exampl es detai l the use
of the user defi ned materi al capabi l i ty, bi pol ar devi ce fabri cati on and EEPROM devi ce fabri cati on.
SSUPREM4 Version 5.0
Versi on 5.0 of SSUPREM4 represents a new standard for 2D process si mul ati on. SSUPREM4 Versi on
5.0 i ncorporates a number of new model s and conveni ence features bri efl y descri bed i n thi s chapter.
One-dimensional mode - Versi on 5.0 offers a si gni fi cant enhancement for speed and ease of use
by i ncorporati ng a one-di mensi onal (1D) mode. Thi s may be speci fi ed wi thi n a conventi onal two-
di mensi onal (2D) i nput deck. Thi s al l ows fast anal yses of parti cul ar poi nts i n a 2D structure pri or to
compl ete 2D anal ysi s wi th the same i nput deck. The use of thi s feature i s descri bed i n the I NI TI AL-
I ZE statement descri pti on.
Analytic angled implant - The i mpl ant capabi l i ti es of SSUPREM4 have been enhanced by the
i ncl usi on of anal yti c angl ed i mpl ant model s. Thi s i mpl ementati on removes the use of the rectangu-
l ar gri d that i s uti l i zed i n other versi ons of SSUPREM4 and that i s frequentl y responsi bl e for l arge
memory requi rements duri ng i mpl ant cal cul ati ons. Thi s model can be i nvoked by speci fyi ng the
TI LT parameter i n the I MPLANT statement.
Speed enhancements for diffusion and oxidation calculations - Speed enhancements have
been i ncorporated that provi de an overal l speed i mprovement by a factor of two for typi cal di ffusi on
cal cul ati ons.
Monte Carlo ion implant model - Versi on 5.0 of SSUPREM4 i ntroduces a fast Monte Carl o i on
i mpl ant cal cul ati on. Thi s cal cul ati on i s very general and because of si gni fi cant devel opments i n
model i ng and computati onal techni ques, i s from 10 to 100 ti mes as fast as si mi l ar cal cul ati ons from
other sources. The model i ncl udes the fol l owi ng effects:
- Implant angle (tilt and rotation)
- Substrate damage and damage temperature dependence
Reflected Ions - Physi cal model i ng of penetrati on through mul ti -l ayer structures. Thi s model can
be i nvoked by speci fyi ng the MONTECAR parameter on the I MPLANT statement.
Non-uniform grid capability - A non-uni form gri d can now be speci fi ed i n the verti cal di recti on
for ei ther deposi t or epi taxy process steps. Thi s i s especi al l y useful for model i ng epi taxi al processes.
Thi s capabi l i ty can be i nvoked by speci fyi ng the DY and YDY parameters as descri bed i n the EPI T-
AXY and DEPOSI T statement descri pti ons.
Gas flow specification - Gas fl ow can now be expl i ci tl y speci fi ed duri ng di ffusi on cal cul ati ons.
Thi s functi onal i ty supports the use of mi xed ambi ents and i s descri bed i n the DI FFUSI ON state-
ment descri pti on.
RELAX statement added for improved gridding - A new statement, RELAX, has been added to
ATHENA Users Manual
D-18 SILVACO International
al l ow the removal of excess gri d poi nts at any ti me duri ng the si mul ati on. Thi s greatl y enhances
effi ci ency by al l owi ng free mani pul ati on of the gri d.
Improved MaskViews interface - The i nterface to MASKVI EWS now can be i nvoked i nteracti vel y
duri ng SSUPREM4 si mul ati on. Thi s i nterface has al so been i mproved to provi de for automati c gri d
generati on that i s ti ed to l ayout i nformati on. Thi s i nterface and capabi l i ty are demonstrated i n the
fi rst standard exampl e.
ELECTRODE statement - The name and posi ti on of el ectr odes i n a SSUPREM4 structure can now
be defi ned usi ng the ELECTRODE statement. Thi s i nformati on i s i ncorporated i n the MASTER
structure fi l e format and can be read transparentl y by SPI SCES 2B.
Additional SSUPREM4 Changes
Oxidation method defaults to compress.
The HCL.PC parameter has been added to the di ffusi on statement to al l ow the i ncl usi on of HCl .
The readabi l i ty of the onl i ne hel p faci l i ty has been i mproved, and addi ti onal comments have been
added.
These can be accessed by speci fyi ng HELP or HELP <statement name> i n i nteracti ve mode.
The i ni ti al HELP statement l i st has been al phabeti zed.
The amount of runti me output has been set such that the defaul t l evel provi des appropri ate i nfor-
mati on for day to day use. The l evel of output may be speci fi ed by the OPTI ON statement and ei ther
QUI ET, NORMAL, VERBOSE, or DEBUG parameters. The defaul t i s NORMAL.
The ECHO feature has been set to on by defaul t. Thi s can be al tered by speci fyi ng UNSET ECHO or
SET ECHO to turn the echo off or on respecti vel y.
Command l i ne conti nuati on was supported. The + (pl us) symbol at the begi nni ng of a l i ne i ndi cates
that i t i s a conti nuati on of the previ ous l i ne. The + at the end of a l i ne i ndi cates that the l i ne fol l ow-
i ng i t i s a conti nuati on. The conti nuati on symbol i s now a space fol l owed by a backsl ash character
\ at the end of the l i ne to be conti nued.
A smoothi ng al gori thm has been i ncorporated i nto the mesh i ni ti al i zati on cal cul ati on. Thi s guaran-
tees numeri cal l y desi rabl e mesh characteri sti cs for meshes wi th rapi dl y changi ng spaci ng.
The deposi ti on and epi taxy al gori thm has been i mproved to be more robust and to provi de more con-
si stent gri ddi ng. The parameter MI N.SPACE has been added to control the resul ti ng gri d.
The parameter TOP.LAYER has been added to the ETCH statement to i ndi cate that onl y top l ayers
of the etched materi al shoul d be removed.
SILVACO International BIB-1
1. W. Fi chtner, (ed. S.M. Sze). Process Si mul ati on, VLSI Technology. McGraw-Hi l l , p. 422, 1988.
2. B.R. Penumal l i , A Comprehensi ve Two-Di mensi onal VLSI Process Si mul ati on Program, BI CEPS,
I EEE Trans. on Electron Dev. vol . ED-30(9), p. 986, Sept. 1983.
3. P.M. Fahey, Poi nt Defects and Dopant Di ffusi on i n Si l i con, PhD Thesi s, I ntegrated Ci rcui ts
Laboratory, Department of El ectri cal Engi neeri ng, Stanford Uni versi ty, June 1985.
4. R.B. Fai r, (ed. F.F.Y. Wang). Concentrati on Profi l es of Di ffused Dopants i n Si l i con, I mpurity
Doping Process in Silicon. North Hol l and, New York, 1981.
5. D. Mathi ot, and J.C. Pfi ster. Dopant Di ffusi on i n Si l i con: A Consi stent Vi ew I nvol vi ng
Nonequi l i bri um Defects, J . of Appl. Physics, vol . 55, No. 10, p. 3518, May, 1984.
6. W. Shockl ey, and J.T. Last. Stati sti cs of the charged Di stri buti on for a Local i zed Fl ow i n a
Semi conductor, Phys. Rev. vol . 107, No. 2, p. 392, Jul y, 1957.
7. S.M. Hu, On I ntersti ti al and Vacancy Concentrati on i n Presence of I njecti on, J . Appl. Phys., vol .
57, p. 1069, 1085.
8. M.D. Gi l es, Defect Coupl ed Di ffusi on at Hi gh Concentrati ons, I EEE Trans. on CAD. vol . 8, No. 5,
p. 160, 1989.
9. G.D. Watki ns, (ed. F.A.Huntl ey). EPR Studi es of the Latti ce Vacancy and Low Temperature
Damage Pr ocesses i n Si l i con, Lattice Defects in Semiconductors. 1974, I nst. Phys. Conf. Ser. 23,
London, 1975.
10. P.B. Gri ffi n, and J.D. Pl ummer. Process Physi cs Determi ni ng 2-D I mpuri ty Profi l es i n VLSI
Devi ces, I nternati onal El ectron Devi ces Meeti ng., p. 522, Los Angel es, Dec. 1986
11. M.E. Law, and R.W. Dutton. Veri fi cati on of Anal yti c Poi nt Defect Model s Usi ng SUPREM-I V,
I EEE Trans. on CAD. vol . 7, No. 2, p. 181, Feb. 1988.
12. M.E. Law, Two Di mensi onal Numeri cal Si mul ati on of Dopant Di ffusi on i n Si l i con, PH.D. Thesi s,
Department of El ectri cal Engi neeri ng, Stanford Uni versi ty, Jan. 1988.
13. B.E. Deal , and A.S. Grove. General Rel ati onshi p for the Thermal Oxi dati on of Si l i con, J . Appl.
Phys. Vol . 36, p. 3770, 1965.
14. H.Z. Massoud, Thermal Oxi dati on of Si l i con i n Dry Oxygen-Growth Ki neti cs and Charge
Characteri zati on i n the Thi n Regi me, Techni cal Report, Stanford El ectroni c Laboratori es, Stanford
Uni versi ty, Jun. 1983.
15. C.P. Ho, and J.D.Pl ummer, J . Electrochem. Soc. Vol . 126, No. 9, p. 1576, Sep. 1979.
16. N. Gui l l emot, A New Anal yti cal Model of the Bi rds Beak, I EEE Trans. on ED. vol . ED-34, No.5,
May 1987.
17. D. Chi n, Two Di mensi onal Oxi dati on. Model i ng and Appl i cati ons. Ph.D Thesi s, Department of
El ectri cal Engi neeri ng, Stanford Uni versi ty, Jun. 1983.
18. H. Eyri ng, Vi scosi ty, Pl asti ci ty, and Di ffusi on as Exampl es of Absol ute Reacti on Rate, J . Chem.
Phys. v. 4, p. 283, 1936.
19. J. Li ndhard, M. Scharff, and H.E. Schi ott. Range Concepts and Heavy I on Ranges, Kgl. Dan. Vid.
Selsk. Mat.-fys. Medd Vol .33, No 14, 1963.
20. J.E. Gi bbons, W. S. Johnson, and S. W. Myl roi e. Projected Range Statistics (2nd edition),
Stroudsburg, Pennsyl vani a: Dowden, Hutchi nson, & Ross, I nc., 1975.
21. A.F. Burenkov, F.F.Komarov, M.A.Kumakhov, and M.M.Temki n. Tables of I on I mplantation Spatial
Distributions. Gordon & Breach Sci ence Publ i shers, 1986.
Bibliography
ATHENA Users Manual
BIB-2 SILVACO International
22. W.K. Hofker, Concentrati on Profi l es of Boron I mpl antati ons i n Amorphous and Pol ycrystal l i ne
Si l i con, Philips Res. Rep. Suppl. No. 8, 1975.
23. A. F. Tasch, An I mproved Approach to Accuratel y Model Shal l ow B and BF2 I mpl ants i n Si l i con,
J . Electrochem. Soc., Vol . 136, No. 3, March, 1989.
24. G.A.J. Amaratunga, K. Sabi ne, and A.G.R. Evans. The Model i ng of I on I mpl antati on i n a Three-
Layer Structure Usi ng the Method of Dose Matchi ng, I EEE Trans. Electron. Dev. Vol . ED-32, No. 9,
p. 1899, Sep. 1985.
25. A.F. Burenkov, F.F.Komarov, and M.M.Temki n. Anal yti cal Cal cul ati on of I on I mpl antati on through
Mask Wi ndows (i n Russi an), Microelektronika, vol . 16, No. 1, p. 15, Jan. 1987.
26. J.F. Zi egl er, J.P. Bi ersack, and U. Li ttmark, The Stopping and Range of I ons in Solids, New York,
Pergamon, 1985.
27. G.H. Ki nchi n and R.S. Peace, The Di spl acement of Atoms i n Sol i ds by Radi ati on, Rep. Prog. Phys.
vol . 18, pp. 1-51, 1955.
28. H.R. Yeager and R.W.Dutton. An Approach to Sol vi ng Mul ti -Parti al Di ffusi on Exhi bi ti ng Nonl i near
Sti ff Coupl i ng, I EEE Trans. on Electron Dev. vol . ED-32, pp. 1964-1976, Oct.1985.
29. R.E. Bank, et.al . Transi ent Si mul ati on of Si l i con Devi ces and Ci rcui ts, I EEE Trans. on Electron
Dev. vol . ED-32, pp. 1992, Oct. 1985.
30. H.C. El man, Precondi ti oned Conjugate Gradi ent Methods for Nonsymmetri c Systems of Li near
Equati ons, Research Report, vol . 203, Apr. 1981.
31. B.E. Deal , Thermal Oxi dati on Ki neti cs of Si l i con i n Pyrogeni c H2O and 5% HCl /H2O Mi xtures, J .
Electrochem. Soc. Vol . 125, No. 4, pp. 576-579, Apr. 1978.
32. R.R. Razouk, L.N.Li e, and B.E.Deal . Ki neti cs of Hi gh Pressure Oxi dati on of Si l i con i n Pyrogeni c
Steam, J . Electrochem. Soc. Vol . 128, No. 10, pp. 2214-2220, Oct. 1981.
33. D.W. Hess and B.E.Deal . Ki neti cs of the Thermal Oxi dati on of Si l i con i n O2/HCl Mi xtures, J .
Electrochem. Soc. Vol . 124, No. 5, pp. 735-739, May 1977.
34. C.P. Ho and J.D.Pl ummer. Si /Si O2 I nterface Oxi dati on Ki neti cs: A Physi cal Model for the I nfl uence
of Hi gh Substrate Dopi ng Level s, J . Electrochem. Soc. Vol . 126, pp. 1516-1522, Sept. 1979.
35. D.B. Kao, J.P.McVi tti e, W.D.Ni x, and K.C.Saraswat. Two Di mensi onal Thermal Oxi dati on of Si l i con
I . Experi ments, I EEE Trans. Electron. Dev. May 1987.
36. R.B. Fai r, (ed.F.F.Y. Wang). I mpuri ty Dopi ng Process i n Si l i con, North Hol l and, Amsterdam, 1981.
37. H.F. Wol f,Semi conductors, I nterscience, p. 361, 1971.
38. D.A. Antoni adi s, A.G. Gonzal ez, and R.W. Dutton. Boron i n Near-I ntri nsi c d and o Si l i con under
I nert and Oxi di zi ng Ambi ents Di ffusi on and Segregati on, J . Electrochem. Soc., Vol . 125, No. 5, pp.
813-819, May 1978.
39. P.H. Langer and J.I . Gol dstei n. Boron Autodopi ng duri ng Si l ane Epi taxy, J . Electrochem. Soc., Vol .
124, No. 4, pp. 591-598, Apr. 1977.
40. G.L. Vi ck and .M. Whi ttl e. Sol i d Sol ubi l i ty and Di ffusi on Coeffi ci ents of Boron i n Si l i con, J .
Electrochem. Soc. Vol . 116, No. 8, pp. 1142-1144, Aug. 1969.
41. F.A. Trumbore Sol i d Sol ubi l i tes of I mpuri ty El ements i n Germani um and Si l i con, Bell System
Tech. J .Vol . 39, pp. 205-233, Jan. 1960.
42. G.B. Bronner and J.D.Pl ummer. Getteri ng of Gol d i n Si l i con: A Tool for Understandi ng the
Properti es of Si l i con I ntersti ti al s, J . Appl. Phys. Vol . 61, No.12, p. 5286, June, 1987.
43. P. Packan, Pri vate Communi cati on.
44. T.Y. Tan and U. Gosel e. Poi nt Defects, Di ffusi on Processes, and Swi rl Defect Formati on i n Si l i con,
Appl. Phys. vol . A37, No.1, p.1, 1985.
Bibliography
SILVACO International BIB-3
45. E. Guerrero Determi nati on of the Retarded Di ffusi on of Anti mony by SI MS Measurements and
Numeri cal Si mul ati ons, J . Electrochem. Soc. Vol . 133, No.10, p. 2182, Oct., 1986.
46. F.J. Mori n and J.P. Mai ta. El ectri cal Properti es of Si l i con Contai ni ng Arseni c and Boron, Phys.
Rev. Vol . 96, No. 1, p. 28, Oct. 1954.
47. R.B. Fai r and J.C.C. Tsai . A Quanti tati ve Model for the Di ffusi on of Phosphorus i n Si l i con and the
Emi tter Di p Effect, J . Electrochem Soc., v. 124, No. 7, p. 1107; Jul y 1977.
48. E.A. I rene Resi dual Stress i n Si l i con Ni tri de Fi l ms, J . Electronic Mat., vol . 5(3), p. 5; 1976.
49. S.M. Hu,Fi l m-edge-i nduces Stress i n Si l i con Substrates, Applied Physics Letters, Vol . 32, p. 5; 1978.
50. S.P. Ti moshenko and J.N. Goodi er. Theory of Elasticity. McGraw-Hi l l , New York, 1970.
51. E. Kutl udemi rl i ogl u, Oxi dati on Ki neti cs of Pol ycrystal l i ne Si l i con, SRC Technical Report, No.
G502-10, December, 1988.
52. T. Kami ns, Oxi dati on of Phosphorus-doped Low Pressure and Atmospheri c Pressure CVD
Pol ycrystal l i ne Si l i con Fi l ms, J . Electron Soc., Vol . 126, No. 5, P. 838, May, 1979.
53. S.P. Murarka, Si l i ci de for VLSI Appl i cati ons, Academi c Press, 1983.
54. G.C. Steward, The Symetri cal Opti cal System, The Cambri dge Uni versi ty Press, Cambri dge, 1928.
55. E.H. Li nfoot, Di ffracti on I mages I n Systems Wi th Annul ar Aperture, Proc. Phys. Soc., Vol . B 66,
pp. 145-149, 1953.
56. W.T. Wel ford, Use of Annul ar Apertures To I ncrease Focal Depth, J . Opt. Soc. Am., Vol . 50, No. 8,
pp. 749-753, 1960.
57. T. Wi l son, C. Sheppard, Theory and Practi ce Of Scanni ng Opti cal Mi croscopy, Academi c Press,
London, 1984.
58. B.M. Watrasl ewi cz, I mage Formati on I n Mi croscopy At Hi gh Numeri cal Aperture,. Optica Acta,
Vol . 12, No. 2, pp. 167-176, 1965.
59. B. Ri chards, E.Wol f, El ectromagneti c Di ffracti on I n Opti cal Systems I I . STructure Of The I mage
Fi el d I n An Apl anati c System, Proc. Phys Soc., A 253, pp. 358-379, 1959.
60. H.H. Hopki ns, On The Di ffracti on Theory Of Opti cal I mages, Proc. Roy. Soc., Vol A 217, pp. 408-
432, 1953.
61. H.H. Hopki ns, Appl i cati ons Of Coherence Theory I n Mi croscopy And I nterferometry, J . Opt. Soc.
Am., Vol 47, No. 6, pp. 508-526, 1957.
62. W.H.A. Fi ncham, M.H.Freeman, Optics, Butterworths, London, Ch. 15, 1980.
63. P.K.Mondal , Phase Contrast Mi croscopy I n Parti al l y Coherent Li ght, Optica Acta, Vol . 15, No. 1,
pp. 65-82, 1968.
64. J.Tsuji uchi , I mage Formi ng Performance Of Projecti on Systems, J ap. J . of appl. phys., Vol . 4,
Suppl . I ., (1965), Proc. Conf. On Photographi c And Spectroscopi c Opti cs, pp. 251-259.
65. P.H. Berni ng, Theory And Cal cul ati ons Of Opti cal Thi n Fi l ms, Physics of Thin Films, ed. G. Hass,
Academi c Press, N.Y. 1963.
66. F.H. Di l l , W.P.Hornberger, P.S.Hauge, J.M.Shaw, Characteri zati on Of Posi ti ve Photoresi st, I EEE
Trans. Electron Devices, Vol . ED-22, No. 7, Jul y 1975.
67. D.A. Bernard, Si mul ati on Of Post Exposure Bake Effects On Photol i thographi c Performance Of A
Resi st Fi l m, Phillips J ournal of Research 42, pp. 566-582, 1987.
68. C.A. Mack, Model i ng The Effects Of Prebake On Posi ti ve Resi st Processi ng, Proc. KTI
Microelectronics Seminar, pp.155-158, 1985.
69. F.H. Di l l , W.P.Hornberger, P.S.Hauge, J.M.Shaw, Characteri zati on of Posi ti ve Photoresi st, I EEE
Trans. Electron Devices, Vol . ED-22, No. 7, Jul y 1975.
ATHENA Users Manual
BIB-4 SILVACO International
70. D.J. Ki m, et al ., Devel opment of Posi ti ve Photor esi st, I EEE Trans. Electron Devices, Vol . ED-31,
pp. 1730-1736, 1984.
71. C.A. Mack, PROLI TH: A Comprehensi ve Opti cal Li thography Model , Proc. SPI E, Vol . 538, pp.207-
220, 1985.
72. P. Trefonas I I I , et al ., New Pri nci pl e For I mage Enhancement I n Si ngl e Layer Posi ti ve Photoresi st,
Proc. SPI E, Vol 771, pp. 194-207, 1987.
73. Y. Hi rai et al ., Process Model i ng For Photoresi st Devel opment And Desi gn Of Drl /sd (doubl e Resi st
Layer By A Si ngl e Devel opment Process, I EEE Trans. on computer aided design CAD-6, pp. 403-
409, 1987.
74. W. Henke et al ., Three Di mensi onal Si mul ati on Of Reti cl e Defects I n Opti cal Li thography, Proc.
KTI Microlithography Seminar, pp. 257-275, 1991.
75. W.G.Ol dham, A.R.Neureuther, C.Sung, J.L.Reynol ds and S.N.Nandgaonkar, A General Si mul ator
For Vl si Li thography And Etchi ng Processes: Part I i - Appl i cati on To Deposi ti on And Etchi ng, I EEE
Trans. on Electron Devices, Vol . ED-27, No. 8, pp. 1455-1459, Aug., 1980.
76. A.R.Neureuther, I C Process Model i ng And Topography Desi gn, I EEE Proceedings, Speci al I ssue
On Vl si Desi gn: Probl ems And Tool s, Vol . 71, No. 1, pp. 121-128, Jan., 1983.
77. S.F.Mei er, Etchi ng Si mul ati on Of Nonpl anar Layers, M.S. Thesi s, Uni versi ty of Cal i forni a,
Berkel ey, May 1987.
78. J.L.Reynol ds, A.R.Neureuther, and W.G.Ol dham, Si mul ati on Of Dry Etched Li ne Etched Profi l es,
J . Vac. Sci. Technol., Vol 16, No 6, pp. 1772-1775, Nov./Dec., 1979.
79. A.R.Neureuther, C.Y.Li u, and C.H.Ti ng, Model i ng I on Mi l l i ng, J . Vac. Sci. and Technol., pp. 1167-
1171, 1979.
80. A.R.Neureuther, C.H.Ti ng, and C.Y.Li n, Appl i cati on Of Li ne-edge Profi l e Si mul ati on To Thi n-fi l m
Deposi ti on Process, I EEE Trans. on Electron Devices, Vol . ED-27, No. 8, pp. 14491455, Aug., 1980.
81. A.R.Neureuther, Basi c Model s And Al gori thms For Wafer Topography Si mul ati on, i n Probl ems and
New Sol uti ons for Devi ce and Process Model i ng, Ed. J.J.H. Mi l l er, Bool e Press, Dubl i n, pp. 99-109,
1985.
82. A.R.Neureuther, Al gori thms For Wafer Topography Si mul ati on, NASECODE I V, Dubl i n, I rel and,
Proceedi ngs, pp.58-69, 1985.
83. K.Lee, Y.Sakai , and A.R.Neureuther, Topography Dependent El ectri cal Parameter Si mul ati on For
VLSI Desi gn, I EEE Trans. Elec. Dev., Vol . 30, pp. 1469-1474, Nov., 1983.
84. P.Sutardja, Y.Shacham-Di amand, and W.G.Ol dham, Two Di mensi onal Si mul ati on Of Gl ass Refl ow
And Si l i con Oxi dati on, VLSI Technology Technical Digest, 1986 Symposi um, pp.39-40, May, 1986.
85. R.Jewett, A Stri ng Model Etchi ng Al gori thm, M. S. Thesi s, Uni versi ty of Cal i forni a, Berkel ey, Dec.,
1979.
86. S.F.Mei er, Etchi ng Si mul ati on Of Nonpl anar Layers, M. S. Thesi s, Uni versi ty of Cal i forni a,
Berkel ey, May, 1987.
87. J.Pel ka, K.P.Mi l l er, Si mul ati on Of Dry Etch Processes By COMPOSI TE, I EEE Trans. CAD, Vol .7,
No.2, p. 154, Feb., 1988.
88. J.Pel ka, M.Wei ss, W.Hoppe, D.Mewes, The I nfl uence Of I on Scatteri ng On Dry Etch Profi l es,
J .Vac.Sci.Technol., B7, No.6, p. 1483, Nov./Dec., 1989.
89. J.Pel ka, Si mul ati on Of I on-enhanced Dry-etch Processes, Santa Cl ara, SPI E proceedings, Vol .
1392, SPI E fal l meeti ng, 1990.
90. J.L. Reynol ds, Si mul ati on Of Dry Etched Li ne-edge Profi l es, M. S. Thesi s, Uni versi ty of Cal i forni a,
Berkel ey, June, 1980.
Bibliography
SILVACO International BIB-5
91. J.L. Reynol ds, Characteri zati on Of Pl asma Etched Structures I n I c Processi ng, Ph.D. Thesi s,
Uni versi ty of Cal i forni a, Berkel ey, Dec., 1983.
92. C.Sung, Si mul ati on And Model i ng Of Evaporated Deposi ti on Profi l es, M. S. Thesi s, Uni versi ty of
Cal i forni a, Berkel ey, Dec., 1979.
93. C.Catana, J.S.Col l i gan, and G.Carter, J ournal of Materials Science, Vol . 7, p. 467, 1972.
94. H.P.Urbach, D.A.Bernard, Model i ng Latent-i mage Formati on I n Photol i thography, Usi ng The
Hel mhol tz Equati on, J . Opt. Soc. Am. A6, 1343-1356 (1989).
95. M.S.Young, Photol i thography Si mul ati on On Nonpl anar Substrates, Proc. SPI E vol . 1264, 309-321
(1990).
96. K.Tadros, A.R.Neureuther, J.Gamel i n, R.Guerreri , I nvesti gati on Of Refl ecti ve Notchi ng Wi th
Massi vel y Paral l el Si mul ati on, Proc. SPI E, vol . 1264, 322-332 (1990).
97. J.Bi schoff, U.Gl aubi tz, N.Haase, New Method Of Topography Si mul ati on I n Photol i thography,
Proc. SPI E, Vol . 1674, 423-434 (1992).
98. C.L. Chu, Characteri zati on of Lateral Dopant Di ffusi on i n Si l i ci des, I EDM, 90-245, 1990.
99. C.M.Li , M.Temki n, T.L.Crandl e, A Two-Di mensi onal Model for Si l i ci de Growth, Proceedings of
VPAD, 1993, Nara, Japan.
100.B.J.Mul vaney, W.B.Ri chardson, T.L.Crandl e, PEPPER - A Process Si mul ator for VLSI , I EEE
Trans. on Computer-Aided Design, Vol . 8, No. 4, Apri l 1989.
101.S.P. Murarka, Si l i ci des for VLSI Appl i cati ons, p. 60, Academi c Press, Orl ando, Fl ori da 32887, 1983.
102.Conor S. Rafferty, Stress Effects i n Si l i con Oxi dati on - Si mul ati on and Experi ments, pp. 123-125,
I ntegrated Ci rcui ts Laboratory, Department of El ectri cal Engi neeri ng, Stanford Uni versi ty,
Stanford, CA 94305, 1989.
103.M. Si kkens, I .J. Hodgki ns, F. Horowi tz, H.A. Macl eod and J.J. Wharton, Opt. Eng., 25 (1986) 142.
104.R.N. Tai t, T. Smy and M.J. Brett A Bal l i sti c Deposi ti on Model For Fi l ms Evaporated Over
Topography, Thi n Sol i d fi l ms, 187 (1990) 375-384.
105.T.S. Cal e and G.B. Raupp, J. Vac.. Sci . Technol . B8, 1242 (1990).
106.R.N. Tai t, S.K. Dew, T. Smy and M.J. Brett Bal l i sti c Si mul ati on of Opti cal Coati ngs Deposi ted Over
Topography, SPI E Vol. 1324 Modeling of Optical Thin Films I I , (1990) 112-119.
107.R.N. Tai t, T. Smy and M.J. Brett Si mul ati on and Measurement of Densi ty Vari ati on i n Mo Fi l ms
Sputter Deposi ted Over Oxi de Steps, Journ. Vac. Sci . Technol . A 8 (3), May/Jun 1990, 1593-1596.
108.Kerni ghan and Ri tchi e, The C Programmi ng Language, Prenti ce-Hal l , 1978.
109.C. C. Li n and M. E. Law, 2-D Mesh Adapti on and Fl ux Di screti zati ons for Dopant Di ffusi on
Model i ng, to be publ i shed on I EEE Tran. CAD, 1995.
110.C. C. Li n and M. E. Law, Mesh Adaptati on and Fl ux Di screti zati ons for Dopant Di ffusi on
Model i ng, Techni cal Di gest, NUPAD V, June, 1994.
111.S.K. Jones and A. Gerodol l e, 2D Process Si mul ati on Of Dopant Di ffusi on I n Pol ysi l i con,
NASECODE-VI I Conference Copper Mountain (Colorado), Copper Mountai n, May, 1991.
112.L. Mei and R.W. Dutton, A Process Si mul ati on Model For Mul ti l ayer Structures I nvol vi ng
Pol ycrystal l i ne Si l i con, I EEE Trans. Electron Devices, Vol . ED-29, pp. 1726-1734, 1982.
113.R. Huang, Experi mental I nvesti gati on and Model i ng of the Effects of Hi gh Dose I on I mpl antati on
Damage on Boron Di ffusi on i n Si l i con, Ph.D. di ssertati on, Department of El ectri cal Engi neeri ng,
Stanford Uni versi ty, 1994.
114.J. Hu, The Study and Si mul ati on of Beryl l i um Di ffusi on i n Gal l i um Arseni de, Ph.D. di ssertati on,
Department of El ectri cal Engi neeri ng, Stanford Uni versi ty, 1995.
115.S. Dunham, Suggested Modi fi cati ons for SUPREM-I V, unpubl i shed.
ATHENA Users Manual
BIB-6 SILVACO International
116.E. Perozi el l o, pri vate communi cati ons.
117.D. Roth and J. Pl ummer, J . Electrochem. Soc., 141, 1074 (1994).
118.D.Mathi ot and J.C.Pfi ster, J . Appl. Phys. 55, 3518 (1984).
119.D.Mathi ot and J.C.Pfi ster, J . Phys. Lettres (Pari s) 43, L-453 (1982).
120.D.Mathi ot and P.Schei bl i n, ULSI Sci ence and Technol ogy/1995, E.M.Mi ddl esworth and H.Massoud
Eds, Electrochemical Soc. Proc. 95-5, p 13 (1995).
121.M.Yoshi da, Japan. J . Appl. Phys. 18, 479 (1979).
122.S.Matsumoto and T.Ni i mi , Japan. J . Appl. Phys. 15, 2077 (1976).
123.P.A. Stol k, H.-J. Gossmann, D.J. Eagl esham, D.C. Jacobson, J.M. Poate, and H.S. Luftman, Appl.
Phys. Lett., 66, 568 (1995).
124.P.A. Stol k, H.-J. Gossmann, D.J. Eagl esham, D.C. Jacobson, H.S. Luftman, and J.M. Poate,
presented at MRS Fal l Meeti ng 1994, Symposi a A, Proc. Vol . 354.
125.P.A. Stol k, H.-J. Gossmann, D.J. Eagl esham, and J.M. Poate i n Proceedings of I I T 94 (Catani a),
Nucl . I nstrum. Methods B96, 187 (1995)
126.D. Roth, R. Huang, J. Pl ummer, and R. Dutton, Appl. Phys. Lett., 62, 2498 (1993).
127.R. Huang and R. Dutton, J . Appl. Phys., 74, 5821 (1993)..
128.S.E. Hansen and M. Deal , SUPREM-I V.GS: Two-Di mensi onal Process Si mul ati on for Si l i con and
Gal l i um Arseni de, I ntegrated Ci rcui ts Laboratory, Stanford Uni versi ty (1993).
129.P. Packan, Physi cal Model i ng of Transi ent Di ffusi on Effects i n Si l i con Due to Surface Oxi dati on and
I on-I mpl antati on, Ph.D. dissertation, Department of El ectri cal Engi neeri ng, Stanford Uni versi ty,
Dec. 1990.
130.M. Gi l es, J . Electrochem. Soc., 138, 1160 (1991).
131.F. Lau, pri vate communi cati ons.
132.G. Hobl er and S. Sel berherr, I EEE Trans. on CAD, 7, 174 (1988).
133.Park & Law, Point Defect based Modeling in Silcon University of Florida, J .A.P Oct. 1993-P.3431
134.S. Crowder, Processi ng Physi cs i n SOI Materi al , PHD Di sertati on, Department of El ectri cal
Engi neeri ng, Stanford Uni versi ty (1995)
135.C.S. Rafferty, I nternati onal El ectron Devi ces Meeti ng, I EDM Technical Digest (1993), p.311.
136.L.H.Zhang, Appl Phys.Lett vol 67, p2025, (1995).
137.P.A.Stol k , Appl Phys.Lett. vol 66, p568.(1995).
138.H.S. Chao , Appl Phys.Lett.vol 79, p568.(1995).
139.S. Crowder, I nternational Electron Devices Meeting, I EDM Technical Digest (1995), p.427
140.Si mul ati on Standard (1996)
141.R. Smi th (Ed.), Atomi c and I on Col l i si ons i n Sol i ds and at Surfaces, Cambri dge Uni versi ty Press
(1997).
142.D.G. Ashworth, R. Oven and B. Mundi n, J . Phys. D, Vol . 23, 1990, p.870.
143.K.B. Parab, D..H. Yang, S.J. Morri s, S. Ti an, A.F. Tasch, D. Kameni tsa, R. Si monton and C. Magee,
Anal ysi s of Ul tra-Shal l ow Dopi ng Profi l es Obtai ned by Low Energy I on I mpl antati on, J . Vac. Sci,
Technol., Vol . B14, No. 1 (1996)
144.A.F. Burenkov, A.G. Kurganov, G.G. Konopl yani k, Two-Di mensi onal Local I on I mpl antati on
Di stri buti on (in Russian), Povekhnost (Surface Sciences), vol.8, p.52-58, 1989.
145.J. Lorenz, W. Kruger, A. Barthel Si mul ati on of the Lateral Spread of I mpl anted I ons: Theory,
NASECODE-VI , Ed. J.J.H.Mi l l er, Bool e Press (1989), p.513-520.
Bibliography
SILVACO International BIB-7
146.D.G. Ashworth, M.D.J. Bowyer, and R. Oven, J . Phys.D Vol. 24 (1991), p.1120.
147.G. Hobl er, E. Langer, and S. Sel berherr, Two-Di mensi onal Model i ng of I on I mpl antati on wi th
Spati al Moments, Solid-State Electronics, 30, 445 (1987), I EDM 91, p.693.
148.M. Temki n and I . Chakarov, Computati onal l y Effecti ve Model for 2D I on I mpl antati on Si mul ati on,
to be published.
149.G. Hobl er and H. Potsl , I EDM 91, p.693.
150.M.D.J. Bowyer, D.G. Ashworth, R. Owen, J. Phys. D: Appl .Phys, Vol .29, p.1274 (1996)
151.R.Oven, D.G.Ashworth, and M.D.J. Bowyer, J. Phys. D: Appl . Phys. vol .25, p.1235 (1992).
152.O. B. Fi rsov, Zh. Eksperi m. Teor. Fyz. Vol . 33, p. 696, 1957
153.UT-Marl owe Versi on 4.1 User manual , Uni versi ty of Texas, Austi n, USA
154.O. B. Fi rsov, Zh. Eksp. Teor. Fi z., vol . 36, p. 1517(1959).
155.W. Brandt and M. Ki tagawa, Phys. Rev. B, Vol .25,p. 5631 (1982).
156.F. L. Vook, Defects i n Semi conductors - i nvi ted paper, 60(1972)
157.J. F. Zi egl er, J. P. Bi ersack, U. Li ttmark, the stoppi ng and range of i ons i n sol i ds, Vol .1 (1985),
Pergamon Press
158.C. P. Ho, J. D. Pl ummer, J. D. Mei ndl , and B. E. Deal , Thermal Oxi dati on of Heavi l y Phosphorous-
Doped Si l i con, J ournal of the Electrochemical Society, Vol . 125, No.4, pp. 665-671, 1978.
159.B. E. Deal and M. Skl ar, Thermal Oxi dati on of Heavi l y Doped Si l i con, J ournal of the
ELectrochemical Society, Vol . 112, No. 4, pp. 430-435, 1965.
160.E. A. I rene and D. W. Dong., Si l i con Oxi dati on Studi es: The Oxi dati on of Heavi l y B- and P-Doped
Si ngl e Crystal Si l i con, J ournal of the Electrochemical Society, Vol . 125, No. 7, pp. 1146-1151, 1978.
161.S. M. Sze, VLSI Technol ogy, Chapter 3, McGraw-Hi l l , New York, 1988.
162.W. Shockl ey and J. L. Mol l , Sol ubi l i ty of Fl aws i n Heavi l y-Doped Semi conductors, I , Vol . 119, No.
5, pp. 1480-1482, 1960.
163.J. A. Van Vechten and C. D. Thurmond, Entropy of I oni zati on and Temperature Vari ati on of
I oni zati on Level s of Defects i n Semi conductors, Physical Review B, Vol . 14, No. 8, pp. 3539-3350,
1976.
164.L. N. Li e, R. R. Razouk, and B. E. Deal , Hi gh Pressure Oxi dati on of Si l i con and Dry Oxygen,
J ournal of the Electrochemical Society, Vol . 129, p. 2828, 1982.
165.I . R. Chakarov and R. P. Webb, Radi ati on Effects and Defects i n Sol i ds, Vol . 130-131, pp. 447
(1994)].
ATHENA Users Manual
BIB-8 SILVACO International
[This page intentionally left blank].
SILVACO International Index-1
Index
Numerics
1D Mode ...........................................................................................2-92
A
Adaptive Meshing .............................................................................2-80
Analytical Oxidation Models .............................................................3-48
athenaimp ...........................................................................................2-4
athenamod .........................................................................................2-4
athenares ...........................................................................................2-4
ATLAS............................................................................................ 7-125
B
Bake .................................................................................................2-78
BCA ........................................................................................ 2-35, 3-65
Bipolar ..............................................................................................2-47
Boltzmann ..........................................................................................3-2
C
Chlorine
Chlorine.........................................................................................3-42
Chlorine Dependence ...................................................................3-47
C-Interpreter .....................................................................................4-17
CLUSTER
cluster ................................................................................ 2-30, 7-74
CLUSTER Damage Model ................................................. 3-73, 3-74
Cluster Model ...............................................................................3-73
Clustering Model ..............................................................................3-18
CMP ............................................................................ 4-19, 7-93, 7-109
CNET...................................................................................... 2-30, 3-21
CNET models............................................................................... 7-78
Coarse Grid Mode.............................................................................2-92
COMPRESS ........................................................................... 2-40, 7-74
Compress Model ...........................................................................3-35
Conformal ...........................................................................................4-3
Conical ...............................................................................................4-8
continuity equation .............................................................................3-4
CVD ....................................................................................................4-3
cylindrical ..........................................................................................2-92
D
Damage Accumulation Model .................................................. 3-69, C-1
Deactivation ......................................................................................3-18
DeckBuild ................................................ 2-1, 2-90,7-117, 7-127, 7-128
Deposition .............................................................. 2-14, 2-56, 3-74, 4-2
Development ............................................................................ 2-79, 5-9
Diffusion .................................................................. 2-36, 2-45, 3-1, 6-1
Dislocation Loop
Dislocation Loops Model ............................................ 3-17, 3-73, 3-74
Dopant Loss .....................................................................................3-49
Doping ..............................................................................................3-43
DOSE.MATCH .................................................................................3-59
Dual Pearson Implant Model ............................................................3-57
E
Electrodes ........................................................................................2-24
ELITE ................................................................ 2-54, 7-101, 7-105, C-3
Epitaxy
Epitaxy .........................................................................................2-40
Epitaxy Models .............................................................................3-75
Etch ........................................................................................ 2-18, 2-58
Etching Models ....................................................................... 3-75, 4-10
Examples ............................................................................................2-1
Exposure ............................................................................................5-7
F
FERMI ........................................................................... 2-30, 2-40, 7-74
Fermi diffusion ...............................................................................3-1
FERMI Model ..................................................................................3-5
Free Point Defect Damage................................................................3-72
FULL.CPL ................................................................ 2-30, 2-40, C-1, C-7
FULL.CPL CLUSTER ................................................................... C-9
Fully Coupled Model .......................................................... 3-14, 7-74
G
GaAs Diffusion ................................................................................... 6-2
Gaussian Implant Model ...................................................................3-55
Geometrical Mode ............................................................................2-92
Grain Growth ....................................................................................3-31
Grid Control ............................................................................ 2-17, 7-77
H
Hard Polish Model ............................................................................4-19
Hemispheric .......................................................................................4-5
High Concentration Fully-Coupled Model......................................... 3-16
I
Illumination .......................................................................................2-71
Imaging ..................................................................................... 2-74, 5-1
implant ........................................................... 2-33, 2-45, 3-55, 6-3, 7-81
Implant Parameter Specification ............................................ 3-59, 3-64
Implantation Geometry ......................................................................3-70
Initialize .............................................................................................2-11
Interface Mesh ..................................................................................2-84
ATHENA Users Manual
SILVACO International Index-2
Interstitial clusters ............................................................................ 3-11
Interstitials .......................................................................................... 3-9
Ion Implantation Damage ................................................................. 3-72
Isotropic Etch Model .........................................................................4-10
M
MaskViews ..............................................................................2-60, 2-69
MATERIAL ....................................................................................... 2-29
MAX.SCALE .....................................................................................3-60
Mesh Define .......................................................................................2-7
METHOD .................................................................................2-29, 7-73
Mirror ................................................................................................ 2-24
Mixed Ambient Oxidation ................................................................. 3-47
MOM.SCALE .................................................................................... 3-60
MOMENTS Statement ...................................................................... 7-81
moments ..................................................................................... C-11
Monte Carlo
Monte Carlo Etching Model ......................................................... 4-13
Monte Carlo Implant
Models ............................... 3-65, 3-66, 3-67, 3-68, 3-69, 4-9, C-11
MOSFET .......................................................................................... 2-42
Multi-Material Scaling Technique ..................................................... 3-61
N
native oxide ......................................................................................2-93
nd FULL. .......................................................................................... 7-74
Nuclear Scattering ....................................... 3-65, 3-66, 3-67, 3-68, 3-69
Numerical Implementation ......................................... 4 3-70, 3-71, 3-72
Numerics .......................................................................................... 7-76
O
Online Help ........................................................................................2-3
OPTICAL ...................................................................................5-6, 7-84
OPTOLITH ....................................................................................... 2-68
Orientation ........................................................................................ 3-40
Oxidation .............................................................. 2-29, 2-39, 2-43, 2-93
Oxidation Models ................................................................3-33, 7-74
Oxidation Enhanced Diffusion (OED) / Oxidation
Retarded Diffusion (ORD) ............................................................3-50
OXIDE .............................................................................................. 7-86
P
Pearson distribution parameters ....................................................... 3-56
Pearson Implant Model ................................................................ 3-55
Photoresist Bake................................................................................. 5-9
Planetary ............................................................................................ 4-6
PLASMA ................................................................................4-12, 7-105
Plus One Model ................................................................................ 3-72
POLISH ............................................................................................ 7-93
Polysilicon Diffusion ...................................................... 3-30, 7-74, B-11
Power Diffusion ................................................................................ 3-16
Pressure ..................................................................................3-41, 3-41
PRINT.1D ......................................................................................... 7-94
PROFILE ..........................................................................................7-97
Projection ................................................................................2-73, 7-99
PUPIL.FILTER ............................................................................... 7-100
R
RATE.DEPO .................................................................................. 7-101
RATE.DEVELOP ............................................................................7-103
RATE.DOPE .................................................................................. 7-105
RATE.ETCH ................................................................................... 7-106
RATE.POLISH ............................................................................... 7-109
Rectangular Grid .......................................................................2-5, 2-60
redeposition ...................................................................................... 4-13
REFLOW Model ....................................................................... 4-18, C-5
Relax .....................................................................................2-20, 7-113
RIE Model ........................................................................................ 4-11
RP.SCALE ........................................................................................ 3-60
RSCE ....................................................................................... 2-43, C-7
RTA.................................................................................................. 2-38
S
S.OXIDE ...........................................................................................3-59
Segregation Model ......................................................................3-5, 3-7
Silicidation Model ..............................2-94, 2-95, 3-53, 7-119, B-9, C-11
SIMS-Verified Dual Pearson (SVDP) Model ............................2-35, 3-58
Soft Polish Model .............................................................................4-20
Steady State Diffusion Model ........................................................... 3-16
STRESS .........................................................................................7-121
STRETCH .............................................................................2-52, 7-122
String Algorithm .................................................................................. 4-1
STRIP ............................................................................................. 7-124
Structure File ...........................................................................2-27, 2-77
STRUCTURE ............................................................................. 7-125
SYSTEM ........................................................................................7-127
T
Thin Oxides ...................................................................................... 3-48
Time Step Control ............................................................................ 3-19
Time Step .................................................................................... 7-75
TONYPLOT .................................................................................... 7-128
TRAP .............................................................................................. 7-129
Two Dimensional Implant Profiles ....................................................3-61
TWO.DIM ....................................................... 2-30, 2-40, 3-8, 7-74, C-1
V
Vacancies ......................................................................................... 3-13
Viscous Model ....................................................... 2-40, 2-93, 3-37, 7-74

You might also like