You are on page 1of 84

HO GIAO DtC A AO TAO

THtONG AI HOC St PHAM N1 THtAT TP.HCM


NHOA CO NH CHE TAO MA1 HO MON CO IEN Tt



GIAO THNH PLC S7-300
L1 THt1ET A tNG DtNG
(DANH CHO SINH VIEN NGANH C IEN T T ONG HOA )



HIEN SOANt
ThS. NGUYEN XUAN QUANG




TP.HCM, THANG 12 NAM 2006
MUC LUC
Chng 1 Trang 1
1.1 Gii thieu PLCS7-300 1
1.1.1 Thiet b ieu khien kha trnh 1
1.1.2 Cac module cua PLCS7-300 2
1.2 To chc bo nh CPU 8
1.3 Vong quet chng trnh PLC 10
1.4 Cau truc chng trnh. 11
1.4.1 Lap trnh tuye n tnh 12
1.4.2 Lap trnh cau truc 12
1.4.3 Cac khoi OB ac biet 13
1.5 Ngon ng lap trnh 14

Chng 2 Ngon ng lap trnh STL 16
2.1 Cau truc lenh 16
2.1.1 Toan hang la d lieu 16
2.1.2 Toan hang la a ch 18
2.1.3 Thanh ghi trang thai 20
2.2 Cac lenh c ban 22
2.2.1 Nhom lenh logic 22
2.2.2 Lenh oc thanh ghi trong ACCU 28

Chng 3 Ngon ng Graph va ng dung 32
3.1 Tao mot khoi FB di dang ngon ng Graph 32
3.1.1 Tao mot khoi FB Graph 32
3.1.2 Viet chng trnh theo kieu tuan t 32
3.2 Viet chng trnh cho ACTION cho ca c step 36
3.3 Viet chng trnh cho TRANSITION 37
3.4 Lu va ong chng trnh lai 39
3.5 Goi chng trnh t trong kho i FB1 vao khoi OB1 40
3.6 Download chng trnh xuong CPU va kiem tra tuan t chng trnh 40
3.6.1 Download chng trnh xuong CPU 40
3.6.2 kiem tra tuan t chng trnh 41
Chng 4 Phan mem Step 7 42
4.1 S lc ve phan mem Step 7 42
4.1.1 Cai at step 7 42
4.1.2 Cac cong viec khi lam viec vi phan mem Step 7 43
4.1.3 Seat giao dien PG/PC 43
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
4.2 cach tao mot chng trnh ng dung vi Step 7 44
4.2.1 Cac bc soan thao mot Project 44
4.2.2 Thiet lap phan cng cho tram 46
4.2.3 Soan thao chng trnh cho cac khoi logic 51
Chng 5 Bo hieu chnh PID, cac ham x ly tn hieu tng t
va ng dung 54
5.1 Gii thie u 45
5.2 Modun mem FB58 55
5.2.1 Gii thie u 55
5.2.2 Cac thong so cua FB58 66
5.3 Ham FC105,FC106 71
5.3.1 Ham FC105 nh t le ngo vao Analog 71
5.3.2 Ham FC106 khong nh t le ngo ra Analog 72
5.4 V du ng dung ie u khie n mc nc trong bon 73
5.4.1 Nguyen ly hoat o ng 73
5.4.2 S o khoi cua he thong t ong 75
5.4.3 Khai bao cac thong so phan cng 76




Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
TAI LIEU THAM KHAO

1. Nguye n Hong Sn. Ky Thua t Truye n So Lieu- Nha Xua t Ban Lao ong Va Xa
Hoi.
2. Phan Xuan Minh & Nguye n Doan Ph c, 1997 : Ly Thuye t ieu Khien M
Nha Xua t Ba n Khoa Ho c Va Ky Thuat.
3. Nguye n Doan Ph c, Phan Xuan Vu , Vu Va n Hoa, 2000. T o ng Hoa vi
SIMATIC S7-300 Nha Xuat Ba n Khoa Ho c Va Ky Thuat
4. SIMATIC S7-300 ie u Khien He Thong (Systemhandling ), 2000. a i Ho c S
Pham Ky Thua t. Trung Tam Vie t c. Bo Mon ien ien T.
5. Hang Siemens, SIMATICs Manual.
6. http://wwww.ad.Siemens.de/










Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 1
CHNG 1: GII THIEU
1.1 Gi i thieu PLC S7-300
1.1.1 Thie t b ieu khie n logic kha trnh.
Thiet b ie u khien logic kha trnh (Programmable Logic Controller) la
loa i thiet b th c hien linh hoat cac thuat toan ieu khien so thong qua mot
ngon ng lap trnh, thay v phai th c hien thua t toan o bang ma ch so. Nh
vay, PLC la mot bo ieu khien gon, nhe va de trao oi thong tin vi moi tr ng
ben ngoai (vi ca c PLC khac hoa c may tnh). Toan bo chng trnh ieu khien
c lu tr trong bo nh cua PLC di dang cac kho i chng trnh va c
th c hien theo chu ky cu a vong quet (scan).


e th c hien c mot chng trnh ieu khien, ta t nhien PLC phai co
tnh nang nh mo t may tnh, ngha la phai co mot bo vi x ly (CPU), mot he
ieu hanh, bo nh e lu chng trnh ieu khien, d lieu va tat nhien phai co
ca c cong va o/ra e giao tie p c vi o i tng ieu khie n va e trao oi
Bo nh chng trnh
Timer
Bit c
Bo em
Bo x ly trung tam
+
He ieu hanh
Quan ly ket noi
Cong ngat va
em toc o cao
Cong vao/ra
onboard
Bus cu a PLC
Hnh1.1. Ca u truc be n trong cua mot PLC

Bo em
vao/ra
CPU
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 2
thong tin vi moi tr ng xung quanh. Ben ca nh o nham phuc bai toan ieu
khien so, PLC co n pha i co them mot so khoi ch c nang a c biet kha c nh bo
em (Counter), bo nh th i (Timer) va nhng khoi ham chuye n dung.
u iem cu a bo ieu khie n lap trnh c so v i ieu khie n noi day:
Tnh nang m rong: kha na ng m rong x ly ba ng ca ch thay oi chng
trnh lap trnh mot ca ch de dang.
o tin cay cao.
Ca ch ke t noi ca c thie t b ieu khien n gia n.
Hnh da ng PLC gon nhe.
Gia thanh va chi ph la p a t tha p.
Phu hp vi mo i trng cong nghie p.
Cac ng du ng cua PLC trong sa n xuat va trong dan dung:
ieu khie n cac Robot trong co ng nghiep.
He thong x ly nc sach.
Cong nghe th c pham.
Cong nghe che bien dau mo.
Cong nghe sa n xuat vi mach.
ieu khie n cac may cong cu.
ieu khie n va giam sat day chuye n sa n xua t.
ieu khie n he thong en giao tho ng.

1.1.2 Ca c module cua PLC S7-300.
e tang tnh mem de o trong ca c ng dung th c te ma o phan l n ca c
oi t ng ieu khien co so tn hieu au vao, a u ra cung nh chung loai tn
hieu vao/ra kha c nhau ma ca c bo ie u khie n PLC c thiet ke khong b c ng
hoa ve cau hnh. Chung c chia nho thanh ca c module. So ca c module c
s dung nhieu hay t tuy thuo c va o tng bai toan, song toi thieu bao gi cung co
module chnh (module CPU, module nguon). Ca c module con lai la nh ng
module truyen nha n tn hieu vi ca c oi tng ieu khie n, chu ng c goi la
ca c module m rong. Ta t ca ca c module e u c ga tren mot thanh Rack.
Module CPU:
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 3
ay la loa i module co cha bo vi x ly, he ieu ha nh, bo nh, ca c bo thi
gian, bo em, co ng truye n thong, va co the co cac co ng va o/ra so. Cac co ng
vao/ra tch h p tren CPU goi la cong vao ra onboard.
Trong ho PLC S7-300, ca c module CPU co nhieu loai va c at ten theo
bo vi x ly ben trong nh : CPU 312, CPU 314, CPU 316,. Nh ng module
cung mot bo vi x ly nhng kha c nhau so cong vao/ra onboard cu ng nh ca c
khoi ham ac biet th c phan bie t bang cum ch ca i IFM (Intergrated
Function Module). V du nh CPU 312IFM, CPU 314IFM,.
Ngoa i ra, con co loa i module CPU co hai cong truyen thong, trong o
cong th hai dung e noi mang phan tan nh ma ng PROFIBUS (PROcess Field
BUS). Loai nay i kem vi cum t DP (Distributed Port) trong te n goi. V du
module CPU315-DP.
Module m ro ng:
Ca c module m rong c thanh 5 loai :
1) PS (Power Supply): module nguon la module ta o ra nguon co ien ap 24Vdc
ca p nguon cho ca c module khac. Co 3 loai: 2A, 5A va 10A.











en ch th nguon 24Vdc ON/OFF Switch 24Vdc
omino no i day ngo ra ien ap 24Vdc
Cau ch bao ve qua do ng
omino no i day v i ien ap 220Vac
Hnh1.2. S o khoi va s o a u day cua module nguo n
PS307;2A (6ES7307-1BA00-0AB)
1

2

3

4

1

5

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 4
2) SM (Signal Module): Module m rong vao/ra, bao gom :
a) DI (Digital Input): module m ro ng co ng vao so. So ca c cong vao so m
rong co the la 8, 16 hoa c 32 tuy thuo c va o tng loai module.











So th t cac ngo va o so trong module
en ch th mc logic
Bus ben trong cu a module
b) DO (Digital Output): module m rong cong ra so. So ca c cong vao so m
rong co the la 8, 16 hoa c 32 tuy thuo c va o tng loai module.









2

3

1

Hnh 1.3. S o a u day cua module
SM221; DI 32 x DC 24V
(6ES7321-1BL00-0AA0)
Hnh 1.4. S o au day cu a module
SM221; DI 32 x AC 120V
(6ES7321-1EL00-0AA0)
Hnh 1.6. S o a u day cu a module
SM 322; DO 16 x AC 120/230 V/1 A;
(6ES7322-1FH00-0AA0)
Hnh 1.5.S o a u day cu a module
SM 322; DO 32 x 24 VDC/ 0.5 A;
(6ES7322-1BL00-0AA0)

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 5












So th t cac ngo va o so trong module
en ch th mc logic
Bus ben trong cu a module
c) DI/DO (Digital Input/Digital Output): module m rong cong va o/ra so.
So ca c cong va o/ra so m rong co the la 8 vao/8 ra hoa c 16 va o/16 ra
tuy thuoc vao tng loa i module.

So th t cac ngo vao so trong
module
en ch th m c logic
Bus ben trong cu a module






Hnh 1.7. S o a u day cu a module
SM 322; DO 16 x Rel. AC 120/230 V;
(6ES7322-1HH01-0AA0)

Hnh 1.8. S o a u day cu a module
SM 322; DO 8 x Rel. AC 230V/5A;
(6ES7322-5HF00-0AB0)

2

3

1

Hnh 1.9. S o a u day cu a module
SM 323; DI 16/DO 16 x DC 24 V/0.5 A;
(6ES7323-1BL00-0AA0)

2

3

1

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 6
d) AI (Analog Input): module m rong cong vao tng t. Ban cha t chu ng
la nhng bo chuyen oi tng t sang so (ADC). So ca c cong vao tng
t co the la 2, 4 hoa c 8 tuy t ng loa i module, so bit co the la
8,10,12,14,16 tuy theo tng loai module.
V du : Module SM 331; AI 2 x 12 bit; (6ES7331-7KB02-0AB0)
Cac dang tn hieu o c c
- ien a p
- Do ng ie n
- ien tr
- Nhiet o
o phan giai 12 bit



















Hnh 1.10. S o au day cu a module
Khi tn hie u vao la ie n ap
Hnh 1.11. S o au day cu a module
Khi tn hie u vao la ong ien
Hnh 1.12. S o au day cu a module
Khi tn hie u vao la ie n tr
Hnh 1.13. S o a u day cua module
Khi tn hie u vao la Thermocouple
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 7
e) AO (Analog Output): module m rong cong ra tng t. Chung la nh ng
bo chuyen o i t so sang tng t (DAC). So co ng ra tng t co the la 2
hoa c 4 tuy tng loai module.













f) AI/AO (Analog Input/Analog Output): module m ro ng vao/ra tng t.
So ca c co ng vao ra tng t co the la 4 vao/2 ra hoa c 4 va o/4 ra tuy t ng
loa i module.
3) IM (Interface Module): Module ke t noi.









Hnh 1.14. S o au day cu a module
SM 332; AO 4 x 12 Bit;
(6ES7332-5HD01-0AB0)

Hnh 1.15. S o au day cu a module
IM 365; (6ES7365-0BA01-0AA0)

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 8
ay la loai module dung e ket noi tng nhom cac module m rong thanh mot
khoi va c quan ly b i mot module CPU. Thong thung ca c module m ro ng
c ga lien nhau tren mot thanh rack. Moi thanh rack ch co the ga c nhieu
nha t 8 module m rong (khong ke module CPU va module nguon). Mot module
CPU co the lam vie c nhieu nha t vi 4 thanh rack va cac rack nay phai c noi
vi nhau ba ng module IM.
4) FM (Function Module): Module co ch c nang ieu khien rieng nh: module
ieu khien ong c b c, module ieu kien ong c servo, module PID,
5) CP (Communication Processor): Module truyen trong gi a PLC vi PLC hay
gi a PLC vi PC.
1.2 To ch c bo nh CPU.
Vung nh chc ca c thanh ghi: ACCU1, ACCU2, AR1, AR2,
Load memory: la vung nh cha chng trnh ng dung (do ng i s
dung vie t ) bao gom tat ca ca c khoi chng trnh ng du ng OB, FC, FB, ca c
khoi chng trnh trong th vien he thong c s dung (SFC, SFB) va cac khoi
d lieu DB. Vung nh nay c tao bi mot pha n bo nh RAM cua CPU va
EEPROM (neu co EEPROM). Khi th c hien ong ta c xoa bo nh (MRES) toan
bo cac khoi chng trnh va kho i d lieu nam trong RAM se b xoa. Cung nh
vay, khi chng trnh hay khoi d lieu c o (down load) t thie t b lap trnh
(PG, ma y tnh) vao module CPU, chung se c ghi le n pha n RAM cua vu ng
nh Load memory.
Work memory: la vung nh ch a cac khoi DB ang c m, khoi
chng trnh (OB, FC, FB, SFC, hoac SFB) ang c CPU th c hien va phan
bo nh ca p phat cho nhng tham so hnh thc e cac khoi chng trnh nay trao
oi tham tr vi he ie u hanh va vi cac kho i chng trnh kha c (local block).
Tai mot th i iem nhat nh vung Work memory ch cha mot kho i chng
trnh. Sau khi khoi chng trnh o c thc hien xong th he ie u ha nh se xoa
khoi Work memory va nap vao o khoi chng trnh ke tie p en lt c th c
hien.
System memory: la vung nh ch a ca c bo em vao/ra so (Q, I), ca c bien
c (M), thanh ghi C-Word, PV, T-bit cua timer, thanh ghi C-Word, PV, C-bit
cu a Couter. Vie c truy cap, sa loi d lieu nhng o nh na y c pha n chia
hoa c bi he ieu ha nh cu a CPU hoa c do chng trnh ng dung.
Co the thay rang trong cac vung nh c trnh bay tre n khong co vu ng
nh nao c du ng lam bo em cho ca c co ng vao/ra tng t. Noi ca ch kha c
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 9
ca c cong vao/ra tng t khong co bo em va nh va y moi lenh truy nhap
module tng t (o c hoa c gi gia tr) eu co tac dung tr c tiep t i ca c co ng
vat ly cu a module.
Bang1.1. vung a ch va tam a ch
Ten goi Kch thc truy cap Kch thc toi a (tuy
thuo c va o CPU)
Process input image (I)
Bo em vao so
I
IB
IW
ID
0.0 127.7
0 127
0 126
0 124

Process output image (Q)
Bo em ra so
Q
QB
QW
ID
0.0 127.7
0 127
0 126
0 124
Bit memory (M)
Vung nh c
M
MB
MW
MD
0.0 255.7
0 255
0 254
0 252
Timer (T) T0 T255
Counter (C) C0 C255
Data block (DB)
Khoi d lie u share
DBX
DBB
DBW
DBD
0.0 65535.7
0 65535
0 65534
0 65532
Data block (DI) DIX 0.0 65535.7
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 10
Khoi d lie u instance DIB
DIW
DID
0 65535
0 65534
0 65532
Local block (L)
Mien nh a phng
cho ca c tham so hnh
th c
L
LB
LW
LD
0.0 65535.7
0 65535
0 65534
0 65532
Peripheral input (PI) PIB
PIW
PID
0 65535
0 65534
0 65532
Peripheral output (PQ) PQB
PQW
PQD
0 65535
0 65534
0 65532
Tr phan bo nh EEPROM thuoc vung Load memory va mot phan RAM
t nuoi ac biet (non-volatile) dung e lu gi tham so cau hnh tram PLC nh
a ch tram (MPI address), ten ca c module m rong, tat ca ca c phan bo nh
con la i che o ma c nh khong co kha nang t nh (non-retentive). Khi mat
nguon nuoi hoa c khi th c hie n cong vie c xoa bo nh (MRES), toan bo noi dung
cu a pha n bo nh non-retentive se b ma t.
1.3 Vo ng que t chng trnh cua PLC.
PLC th c hien chng trnh theo chu trnh la p. Moi vong lap c goi la
vong que t (scan). Moi vo ng quet c bat au ba ng giai oan chuyen d lieu
t ca c cong vao so ti vung bo em ao I, tiep theo la giai th c hien chng
trnh. Trong tng vong que t, chng trnh c thc hien t lenh au tien en
lenh ket thu c cua kho i OB1 (Block end). Sau giai oan th c hien chng trnh
la giai oan chuyen cac noi dung cu a bo em a o Q t i ca c cong ra so. Vo ng
quet c ke t thu c bang giai oa n truye n thong no i bo va kiem tra loi.
Thi gian can thiet e PLC th c hie n c mot vo ng quet go i la thi gian
vong que t (Scan time). Thi gian vo ng que t khong co nh, tc la kho ng phai
vong quet nao cu ng c th c hien lau, co vong quet c thc hien nhanh tuy
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 11
thuo c vao so lenh trong chng trnh c thc hie n, vao khoi d lieu c
truyen thong trong vong quet o.








Nh vay gi a vie c o c d lieu t oi tng e x ly, tnh toa n va vie c g i tn
hieu ieu khien ti o i tng co mot khoang th i gian tre ung bang th i gian
vong quet. Noi cach kha c, thi gian vong quet quyet nh tnh thi gian th c
cu a chng trnh ieu khien trong PLC. Thi gian vong quet cang nga n, tnh
thi gian thc cua chng trnh ca ng cao.
Neu s dung cac khoi chng trnh a c biet co che o ngat, v du nh khoi
OB40, OB80, Chng trnh cu a cac khoi o se c th c hien trong vong quet
khi xuat hien tn hieu bao ngat cung chu ng loai. Ca c khoi chng trnh nay co
the c thc hien ta i moi iem trong vong quet ch khong b go e p la phai
trong giai oan th c hien chng trnh. Cha ng han neu mot tn hieu bao ngat
xua t hien khi PLC ang giai oan truyen thong va kiem tra noi bo, PLC se
ta m dng co ng viec truyen thong, kiem tra, e thc hien khoi chng trnh
tng ng vi khoi tn hieu bao nga t o. Vi hnh th c x ly tn hieu ngat nh
vay, thi gian vong que t se cang ln khi ca ng co nhieu tn hieu ngat xuat hien
trong vong que t. Do o, e na ng cao tnh thi gian th c cho chng trnh ieu
khien tuyet oi kho ng nen viet chng trnh x ly nga t qua da i hoa c qua lam
dung vie c s du ng che o nga t trong chng trnh ieu khien.
Tai thi iem th c hien lenh va o/ra, thong thng lenh khong lam vie c
trc tiep vi cong vao/ra ma ch thong qua bo em ao cu a cong trong vung nh
tham so. Viec truye n thong gia bo em ao vi ngoai vi trong ca c giai oa n 1
va 3 do he ieu hanh CPU quan ly. mot so module CPU, khi ga p le nh vao/ra
ngay lap t c, he thong se cho dng moi cong vie c kha c, ngay ca chng trnh
x ly nga t, e th c hien le nh tr c tiep v i cong vao/ra.
Hnh 1.16. Vong que t CPU
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 12
1.4. Ca u tru c chng trnh.
Chng trnh cho S7-300 c lu trong bo nh cu a PLC vu ng da nh
rieng cho chng trnh. Ta co the c la p trnh v i hai dang ca u truc kha c
nhau:
1.4.1. Lap trnh tuyen tnh
Toan bo chng trnh ieu khien nam trong mot khoi trong bo nh. Loa i
la p trnh cau tru c ch thch hp cho nhng ba i toa n t ong nho, khong phc
ta p.







Khoi c cho n la khoi OB1, la khoi ma PLC luo n luon que t va thc hien ca c
lenh trong no th ng xuyen, t lenh au tien en lenh cuoi cung va quay lai
lenh au tie n:
1.4.2 La p trnh cau truc
Chng trnh c chia thanh nhng phan nho v i tng nhiem vu rieng
biet va cac phan nay nam trong nhng khoi chng trnh kha c nhau. Loai lap
trnh co ca u truc phu hp vi nhng bai toan ieu khie n nhie u nhiem vu va
phc tap. Cac khoi c ban :
Khoi OB (Organization Block): kho i to chc va quan ly chng trnh ieu
khien. Co nhie u loa i kho i OB vi nhng chc nang kha c nhau. Chung c
pha n biet v i nhau bang so nguyen theo sau nhom ky t OB, v du nh OB1,
OB35, OB80
Khoi FC (Program Block): khoi chng trnh vi nh ng chc nang rieng biet
giong nh mot chng trnh con hay mot ham (chng trnh co co bien hnh
th c). Mot chng trnh ng du ng co the co nhieu khoi FC va ca c khoi FC
nay c phan biet vi nhau bang so nguyen theo sau nhom ky t FC,
chang han nh FC1, FC2,
Lenh 1
Lenh 2


Lenh n
OB1

Hinh 1.17. Vong que t PLC
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 13
Khoi FB (Function Block): la khoi FC at bie t co kha nang trao o i mot
lng d lieu l n vi ca c khoi chng trnh khac. Ca c d lieu nay pha i c
to ch c tha nh khoi d lieu rieng c goi la Data Block. Mot chng trnh
ng dung co the co nhieu kho i FB va ca c khoi FB nay c phan biet vi
nhau bang so nguye n theo sau nhom ky t FB. Chang han nh FB1, FB2,
Khoi DB (Data Block): kho i d lieu ca n thie t e th c hie n chng trnh. Ca c
tham so cu a kho i do ng i s dung t a t. Mot chng trnh ng dung co the
co nhie u khoi DB va cac kho i DB na y c phan bie t v i nhau bang so
nguye n theo sau nhom ky t DB. Chang han nh DB1, DB2,
Chng trnh trong ca c khoi c lien ket v i nhau bang cac le nh goi khoi
va chuyen khoi. Cac chng trnh con c phe p goi long nhau, tc t mot
chng trnh con nay goi mot chng trnh con khac va t chng trnh con
c goi la i goi mot chng trnh con th 3.








1.4.3 Ca c khoi OB a c biet.
1) OB10 (Tinme of Day Interrupt ): Chng trnh trong kho i OB10 se c th c
hien khi gia tr th i gian cu a ong ho thi gian th c nam trong mot khoa ng
thi gian a c quy nh. Vie c quy nh khoang thi gian hay so lan goi
OB10 c th c hie n nh chng trnh he tho ng SFC28 hay trong ba ng
tham so cu a module CPU nh phan mem STEP 7.
2) OB20 (Time Relay Interrupt): Chng trnh trong khoi OB20 se c th c
hien sau mot khoang th i gian tre at tr c ke t khi go i chng trnh he
thong SFC32 e at th i gian tre.
3) OB35 (Cyclic Interrupt): Chng trnh trong khoi OB35 se c thc hien
ca ch eu nhau mot khoang thi gian co nh. Ma c nh, khoang thi gian
nay la 100ms, nhng ta co the thay oi nh STEP 7.


OB1
Hnh 1.18. Lap trnh co cau tru c

FC7

FB2
FC1
. . .

FB9

FC3
FB5
. . .


He
ieu
Hanh
.

.

.


.

.

.


.

.

.


Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 14
4) OB40 (Hardware Interrupt): Chng trnh trong kho i OB40 se c th c
hien khi xuat hien mot tn hieu bao nga t t ngoai vi a va o CPU tho ng qua
ca c co ng onboard a c biet, hoac thong qua ca c module SM, CP, FM.
5) OB80 (Cycle Time Fault ): Chng trnh trong khoi OB80 se c thc hien
khi th i gian vong quet (scan time) vt qua khoa ng th i gian c c ai a qui
nh hoa c khi co mot tn hie u ngat goi mot khoi OB na o o ma kho i OB nay
cha ket thuc lan goi trc. Th i gian quet mac nh la 150ms.
6) OB81 (Power Supply Fault): Chng trnh trong kho i OB81 se c th c
hien khi thay co xuat hie n loi ve bo nguon nuo i.
7) OB82 (Diagnostic Interrupt): Chng trnh trong kho i OB82 se c th c
hien co s co t ca c module m rong vao/ra. Ca c module na y phai la ca c
module co kha nang t kiem tra mnh (diagnostic cabilities).
8) OB87 (Communication Fault): Chng trnh trong khoi OB87 se c th c
hien co xua t hien lo i trong truyen thong.
9) OB100 (Start Up Information): Chng trnh trong khoi OB100 se c th c
hien mot la n khi CPU chuyen t trang tha i STOP sang RUN.
10) OB101 (Cold Start Up Information-ch vi S7-400): Chng trnh trong khoi
OB101 se c thc hien mot lan khi co ng tat nguon chuyen t trang thai
OFF sang ON.
11) OB121 (Synchronous Error): Chng trnh trong khoi OB121 se c th c
hien khi CPU phat hien tha y loi logic trong chng trnh oi sai kie u d lieu
hay lo i truy nhap kho i DB, FC, FB khong co trong bo nh.
12) OB122 (Synchronous Error): Chng trnh trong khoi OB122 se c th c
hien khi co loi truy nha p module trong chng trnh.
1.5 Ngo n ng lap trnh.
PLC S7-300 co ba ngon ng lap trnh c ban sau:
Ngon ng lap trnh liet ke le nh STL (Statement List). ay la da ng
ngon ng la p trnh thong thng cua may tnh. Mot chng trnh c
hoa n chnh b i s ghep no i cu a nhieu ca u lenh theo mot thuat toa n nhat
nh, moi lenh chiem mot ha ng va co cau truc chung te n lenh + toan
hang.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 15
Ngon ng lap trnh LAD (Ladder Logic). a y la dang ngon ng o
hoa, thch hp vi nhng ngi lap trnh quen vi viec thiet ke mach ieu
khien logic.
Ngon ng lap trnh FBD (Function Block Diagram). a y cung la da ng
ngon ng o hoa, thch hp cho nhng ng i quen thiet ke ma ch ieu
khien so.
Ngon ng lap trnh Graph. ay cung la dang ngon ng o hoa, thch
hp cho nhng he thong tuan t.
Ngon ng lap trnh SCL. ay cung la dang ngon ng cap cao, thch
hp cho nhng ngi biet vie t ngon ng ca p cao.
Trong PLC co nhieu ngon ng lap trnh nham phu c vu cho ca c oi t ng
s dung kha c nhau. Tuy nhien mot chng trnh viet tren ngon ng LAD hay
FBD co the chuyen sang dang STL, nhng ngc lai th khong. Va trong STL
co nhieu le nh ma LAD hoa c FBD khong co . ay cung la the manh cua ngon
ng STL:






V du :

Ladder Diagram LAD Stamentl list STL Function Block
Diagram FBD





Hnh 1.19. STL la ngo n ng manh nhat
STL
LAD
FBD
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 16
CHNG 2: NGON NG LAP TRNH STL

2.1. Cau tru c lenh

Nh a bie t, ca u truc cua mot lenh STL co dang

Te n le nh + Toa n hang

V du :
Nhan : L PIW274 // o c noi dung co ng va o cu a module Analog


ten lenh toa n hang

Trong o toa n hanhg co the la mot d lieu hoac mot a ch o nh.

2.1.1 Toan hang la d lieu

- D lieu logic TRUE (1) va (0) co o dai 1 bit.
V du
CALL FC1
In_Bit_1 = TRUE //Gia tr logic 1 c gan cho bien hnh th c In_Bit_1
In_Bit_2 = FALSE // Gia tr logic c gan cho bien hnh th c
In_Bit_2
Ret_val = MW0 //Gia tr tra ve .

- D lieu so nh phan.
V du
L 2#110011 //Nap so nh phan 110011 vao thanh ghi ACCU1
- D lieu la so Hexadecimal x co o dai 1 byte (B#16#x), 1 t (W#16#x)
hoa c 1 t kep (DW#16#x).
V du
L B#16#1E //Nap so 1E vao byte thap cua thanh ghi ACCU1
L W#16#3A //Nap so 3A2 vao 2 byte thap cua thanh ghi ACCU1
L DW#16#D3A2E //Nap so D3A2E vao thanh ghi ACCU1

- D lieu la so nguyen x vi o dai 2 bytes cho bie n kieu INT.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 17
V du
L 930
L -1025

- D lieu la so nguyen x v i do dai 4 bytes dang L#x cho bie n kieu
DINT.
V du
L L#930
L L#-2047

- D lieu la so th c x cho bie n kieu REAL.
V du
L 1.234567e+13
L 930.0
- D lieu th i gian cho bien kie u S5T dang gi _phu t_giay_mili giay.
V du
L S5T#2h_1m_0s_5ms
- D lieu th i gian cho bien kie u TOD dang gi:phut:giay.
V du
L TOD#5:45:00
- DATE: Bieu dien gia tr th i gian tnh theo nam/thang/ngay.
V du
L DATE#1999 12 8.
- C: Bieu die n gia tr so em at tr c cho bo em.
V du
L C#20
- P: D lieu bieu die n a ch cua mot bit o nh.
V du
L P#Q0.0
- D lieu k t.
V du
L ABCD
L E





Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 18
2.1.2 Toa n hang la a ch.
a ch o nh trong S7_300 gom hai phan: phan ch va pha n so. V du :
PIW 304 hoa c M 300.4


phan ch pha n so pha n ch phan so

a. Phan ch ch v tr va kch th c cua o nh .
Chung co the la:
- M: Ch o nh trong mie n ca c bien c co kch thc la 1 bit.
- MB: Ch o nh trong mie n cac bien c co kch th c la 1 byte(8 bits).
- MW: Ch o nh trong mien ca c bien c co kch thc la 2 bytes (16
bits).
- MD: Ch o nh trong mien ca c bie n c co kch th c la 4 bytes(32
bits).
- I: Ch o nho co kch th c 1 bit trong mien bo em cong vao so.
- IB: Ch o nh co kch th c la mo t byte trong mien bo em cong vao
so.
- IW: Ch o nh co kch th c la mot t trong mie n bo em cong vao so.
- ID: Ch o nh co kch th c la hai t trong mie n bo em cong vao so.
- Q: Ch o nh co kch th c 1 bit trong mien bo em cong ra so.
- QB: Ch o nh co kch thc la mo t byte trong mie n bo em cong raso.
- QW: Ch o nh co kch th c la mot t trong mien bo em cong ra so.
- QD: Ch o nh co kch th c la hai t trong mie n bo em cong ra so.
- PIB: Ch o nh co kch thc 1byte thuo c vung peripheral input.
Thng la a ch cong va o cu a ca c module tng t (I/O external
input).
- PIW: Ch o nh co kch th c 1 t (2byte) thuo c vung peripheral input.
Thng la a ch cong va o cu a ca c module tng t (I/O external
input).
- PID: Ch o nh co kch th c 2 t (4bytes) thuo c vung peripheral input.
Thng la a ch cong va o cu a ca c module tng t (I/O external
input).
- PQB: Ch o nh co kch th c 1 byte thuo c vu ng peripheral output.
Thng la a ch cong ra cu a cac module tng t (I/O external input).
- PQW: Ch o nh co kch th c 1 t (2bytes) thuo c vung peripheral
output. Thng la a ch co ng ra/va o cua cac module tng t (I/O
external input).
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 19
- PQD: Ch o nh co kch th c 2 t (4bytes) thuo c vu ng peripheral
output. Thng la a ch cong ra vao cua ca c module tng t (I/O
external input).
- DBX: Ch o nh co kch thc 1 bit trong khoi d lie u DB c m
bang lenh OPN DB( open data block)
- DBB: Ch o nh co kch thc 1 byte trong khoi d lieu DB c m
bang lenh OPN DB( open data block)
- DBW: Ch o nh co kch thc 1 t trong khoi d lie u DB c m
bang lenh OPN DB( open data block)
- DBD: Ch o nh co kch th c 2 t trong khoi d lieu DB c m
bang lenh OPN DB( open data block)
- DBx.DBX: Ch trc tiep o nh co kch th c 1 bit trong kho i d lieu
DBx, vi x la ch so cua khoi DB.V du:DB5.DBX 1.6
- DBx.DBB: Ch trc tie p o nh co kch thc 1 byte trong khoi d lieu
DBx, vi x la ch so cua khoi DB.V du:DB5.DBB 1.
- DBx.DBW: Ch trc tiep o nh co kch th c 1 t trong kho i d lieu
DBx, vi x la ch so cua khoi DB.V du:DB5.DBW 1.
- DBx.DBD: Ch trc tiep o nh co kch thc 2 t trong kho i d lieu
DBx, vi x la ch so cua khoi DB.V du:DB5.DBD 1.
- DIX: Ch o nh co kch thc 1 bit trong khoi d lieu DB c m
bang lenh OPN DI(Open distance data block)
- DIB:Ch o nh co kch th c 1 byte trong khoi d lie u DB c m
bang lenh OPN DI(Open distance data block)
- DBW:Ch o nh co kch th c 1 t trong kho i d lieu DB c m
bang lenh OPN DI(Open distance data block)
- DBD:Ch o nh co kch th c 2 t trong kho i d lieu DB c m ba ng
lenh OPN DI(Open distance data block)
- L: Ch o nh co kch th c 1 bit trong mien d lieu a phng (local
block) cu a cac khoi chng trnh OB,FC,FB.
- LB: Ch o nh co kch th c 1 byte trong mien d lieu a phng
(local block) cua cac khoi chng trnh OB,FC,FB.
- LW: Ch o nh co kch thc 1 t trong mien d lie u a phng (local
block) cu a cac khoi chng trnh OB,FC,FB.
- LD: Ch o nh co kch th c 2 t trong mien d lieu a phng (local
block) cu a cac khoi chng trnh OB,FC,FB.



Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 20
b. Pha n so ch a ch cua byte hoa c cu a bit trong mien nh a xa c nh.
- Neu o nh a c xac nh thong qua phan ch la co kch th c 1 bit
th phan so se gom a ch cua byte va so th t cu a bit trong byte o
c ta ch vi nhau bang dau cham. V du :
I 1.3 // Ch bit th 3 trong byte 1 cua mien nh bo em cong vao
so
M 101.5 // Ch bit th 5 trong byte 101 cua mien cac bien c M.
Q 4.5 // Ch bit th 5
- Trong tr ng hp o nh a c xa c nh la byte, t hoa c t kep th
pha n so se la a ch byte au tien trong mang byte cua o nh o.
V du
DIB 15 // Ch o nh co kch thc 1 byte (byte 15) trong khoi DB a
c m bang lenh OPN DI
DBW 18 // Ch o nh co kch thc 1 t gom 2 bytes 18 va 19
trong khoi DB a c m bang lenh OPN DB
DB2.DBW 15 // Ch o nh co kch thc 2 bytes 15 va 16
trong khoi d lieu DB2.
MD 105 // Ch o nh co kch thc 2 t gom
4 bytes 105, 106, 107, 108 trong mien nh cac bien c M.

2.1.3 Thanh ghi tra ng thai
Khi th c hien le nh, CPU se ghi nhan lai trang tha i cua phep tnh trung
gian cu ng nh cu a ke t qua vao mot thanh ghi a c biet 16 bits, c goi la
thanh ghi trang thai ( Status Word). Mac du thanh ghi trang thai na y co o dai
16 bits nhng ch s dung 9 bits vi cau truc nh sau:
8 7 6 5 4 3 2 1 0
BR CC1 CC0 OV OS OR STA RLO FC

FC (First check): Khi phai thc hie n mot day ca c lenh logic lie n tie p nhau
gom cac phep tnh , va nghch ao, bit FC co gia tr ba ng 1. No i ca ch
kha c, FC =0 khi day lenh logic tiep iem v a c ket thuc.
V du :
A I0.2 //FC = 1
AN I0.3 //FC = 1
= Q4.0 //FC = 0
RLO (Result of logic operation): Ke t qua t c thi cu a phep tnh logic v a
c th c hien. V du lenh
A I0.3
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 21
- Neu trc khi thc hien bit FC = 0 th co ta c dung chuyen no i dung cu a
cong vao so I 0.3 vao bit trang thai RLO.
- Neu trc khi th c hien bit FC = 1 th co ta c dung th c hien phep tnh
gi a RLO va gia tr logic cong va o I 0.3. Ket qua cu a phep tnh c ghi lai
vao bit trang thai RLO.
STA (Status bit) : Bit tra ng thai nay luon co gia tr logic cua tiep iem c
ch nh trong lenh. V du ca hai le nh:
A I 0.3
AN I0.3
eu gan cho bit STA cung mot gia tr la noi dung cu a co ng va o so I 0.3.
OR: Ghi la i gia tr cua phep tnh logic cuoi cung c thc hie n e phu
giup cho vie c thc hien phep toan sau o. ieu nay la can thiet v trong
mot bie u thc ham hai tr, phep tnh bao gi cung phai c th c hien
trc ca c phe p tnh .
OS (Store overflow bit): Ghi la i gia tr bit b tran ra ngoai mang o nh.
OV (Overflow bit): Bit bao ket qua phe p tnh b tran ra ngoa i mang o nh.
CC0 va CC1(Condition code): Hai bit bao trang tha i cu a ket qua phep tnh vi
so nguye n, so th c, phep dch chuyen hoa c phep tnh logic trong ACCU
BR(Binary result bit): Bit tra ng thai cho phep lien ket hai loai ngon ng lap
trnh STL. Chang han cho phep ngi s dung co the vie t mot kho i chng
trnh FB hoa c FC tren ngon ng STL nhng goi va s du ng chu ng trong mot
chng trnh kha c vie t tren LAD. e ta o ra c moi lie n ket o, ta can phai
ket thu c chng trnh trong FB, FC bang lenh ghi:
- 1 vao BR, ne u chng trnh chay khong co loi .
- 0 vao BR, ne u chng trnh chay co loi.
Khi s du ng ca c khoi ham ac bie t cua he thong (SFC hoac SFB), trang thai
lam viec cua chng trnh cung c thong bao ra ngoai qua bit tra ng thai
BR nh sau
- 1 ne u SFC hay SFB thc hie n khong co loi.
- 0 ne u co loi khi th c hie n SFC hay SFB.
Chu y: Mot chng trnh vie t tren STL (tuy thuo c vao tng ngi lap trnh) co
the bao gom nhieu Network. Moi mo t Network cha mot co ng oan cu the.
moi au Network, thanh ghi trang tha i nhan gia tr 0, ch sau lenh au tien
cua Network, cac bit trang thai mi thay oi theo ke t qua phep tnh.
Network 1
oan chng trnh 1
Network 2
oa n chng trnh 2
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 22
Network 3
oa n chng trnh 3


2.2 CAC LENH C BAN

2.2.1 Nhom le nh logic
Bao gom ca c lenh sau

A And
AN And Not
O Or
ON Or Not
X ExOr
XN ExOr Not

a. Le nh ga n

Cu phap = <toan hang>
Toan hang la a ch I, Q, M, L, D.

Lenh gan gia tr logic cu a RLO t i o nh co a ch c ch th trong toan
hang. Lenh ta c ong va o thanh ghi trang tha i (Status word) nh sau (K hieu
ch no i dung bit khong b thay oi, x la b thay o i theo le nh):

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x - 1
V du : Th c hien Q4.0 = I0.3
Network 1
A I0.3 //o c noi dung cua I0.3 vao RLO
= Q4.0 //a ket qua ra cong Q4.0

b. Le nh thc hie n phe p tnh AND

Cu phap A <toa n ha ng>

Toan hang la d lieu kieu BOOL hoa c a ch I, Q, M, L, D, T, C.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 23
Neu FC = 0 lenh se ga n gia tr logic cua toan hang vao RLO. Ngc lai khi FC
= 1 no se thc hien phe p tnh AND gi a RLO vi toa n hang va ghi la i ket qua
vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - x x x 1

V du1: Th c hien Q4.0 = I0.3 AND I0.4 (ma c noi tie p hai cong ta c)
Network 1
A I0.3 // o c noi dung cua I0.3 vao RLO
A I0.4 //Ke t hp AND v i no i dung cong I0.4
= Q4.0 //a ket qua ra cong Q4.0
V du 2:









c. Lenh thc hie n phe p tnh AND vi gia tr nghch ao

Cu phap AN <toa n ha ng>

Toan hang la d lieu kieu BOOL hoa c a ch I, Q, M, L, D, T, C.
Neu FC = 0 lenh se gan gia tr logic nghch a o cu a toa n hang vao RLO.
Ngc lai khi FC = 1 no se th c hien phe p tnh AND gi a RLO vi gia tr
nghch ao cu a toan ha ng va ghi la i ket qua va o RLO.

Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - x x x 1
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 24

V du1: Th c hien Q4.0 = I0.3 AND NOT (I0.4) (ma c noi tiep hai cong ta c)
Network 1
A I0..3 // o c noi dung cua I0.3 vao RLO
AN I0.4 //Ke t hp AND vi ao no i dung cong I0.4
= Q4.0 //a ket qua ra cong Q4.0

V du 2








d. Le nh OR

Cu phap O <Toan hang >

Toan hang la d lieu kieu BOOL hoa c a ch I, Q, M, L, D, T, C.
Neu FC = 0 lenh se ga n gia tr logic cua toan hang vao RLO. Ngc lai khi FC
= 1 no se th c hien phep tnh OR gi a RLO v i toan hang va ghi lai ket qua
vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - x x x 1
V du








Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 25
e. Lenh OR NOT
Cu phap ON <Toan hang >

Toan hang la d lieu kieu BOOL hoa c a ch I, Q, M, L, D, T, C.
Neu FC = 0 lenh se ga n gia tr logic cua toan hang vao RLO. Ngc lai khi FC
= 1 no se th c hien phe p tnh OR gi a RLO vi NOT toan hang va ghi lai ket
qua vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):
V du








f. Lenh thc hie n phe p tnh AND vi mot bieu thc

Cu phap A(

Neu FC = 0 le nh se ga n gia tr logic cu a bie u th c trong dau ngoa c sau no vao
RLO. Ngc la i khi FC = 1 no se th c hien phep tnh AND gia RLO vi gia tr
logic cu a bie u trong dau ngoa c sau no va ghi lai ket qua vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):



V du Q0.4 = (( I0.0 OR M10.0) AND (I0.2 OR M10.3)) AND (M10.1)






Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 26
g. Lenh thc hie n phe p tnh AND vi gia tr nghch ao cua mot bieu thc

Cu phap AN(

Neu FC = 0 le nh se ga n gia tr logic cu a bie u th c trong dau ngoa c sau no vao
RLO. Ngc la i khi FC = 1 no se th c hien phep tnh AND gia RLO vi gia tr
nghch ao logic cua bieu trong dau ngoa c sau no va ghi lai ke t qua vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):



h. Lenh thc hie n phe p tnh OR vi gia 1tr mot bieu thc

Cu phap O(

Neu FC = 0 le nh se ga n gia tr logic cu a bie u th c trong dau ngoa c sau no vao
RLO. Ng c la i khi FC = 1 no se th c hien phep tnh OR gi a RLO v i gia tr
logic cu a bie u trong dau ngoa c sau no va ghi lai ket qua vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):




i. Lenh thc hie n phe p tnh OR vi nghch ao gia tr mo t bieu thc
Cu phap ON(

Neu FC = 0 le nh se ga n gia tr logic cu a bie u th c trong dau ngoa c sau no vao
RLO. Ng c la i khi FC = 1 no se th c hien phep tnh OR gi a RLO v i gia tr
nghch ao logic cua bieu trong dau ngoa c sau no va ghi lai ke t qua vao RLO.
Lenh ta c ong vao thanh ghi trang thai ( Status word) nh sau (k hieu ch noi
dung bit khong b thay o i, x la b thay oi theo lenh):




Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 27
j. Le nh ghi gia tr logic 1 va o RLO

Cu phap SET

Lenh kho ng co toan hang va co ta c dung ghi 1 vao RLO
Lenh tac o ng va o thanh ghi trang thai (Status word) nh sau:

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - - 1 1 0

k. Le nh gan co ie u kie n gia tr logic 1 vao o nh
Cu phap S <toa n ha ng>
Toan hang la a ch bit I, Q, M, L, D.
Neu RLO = 1, lenh se ghi gia tr 1 vao o nh co a ch cho trong toa n hang.
Lenh tac o ng va o thanh ghi trang thai (Status word) nh sau:

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x - 0

l. Le nh ga n co ieu kien gia tr logic 0 va o o nh

Cu phap R <toa n ha ng>

Toan hang la a ch bit I, Q, M, L, D.
Neu RLO = 1, lenh se ghi gia tr 0 vao o nh co a ch cho trong toa n hang.
Lenh tac o ng va o thanh ghi trang thai (Status word) nh sau:

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x - 0

m. Le nh phat hie n sn len

Cu phap FP <toa n ha ng>

Toan hang la a ch bit I, Q, M, L, D va c s dung nh mot bien c e ghi
nha n la i gia tr cu a RLO tai v tr na y trong chng trnh, nhng cu a vong quet
trc. Tai moi vong lenh se kiem tra: ne u bien c (toan hang) co gia tr 0 va
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 28
RLO co gia tr 1 th se ghi 1 vao RLO, cac tr ng hp kha c th ghi 0, ong thi
chuyen noi dung cua RLO va o lai bie n c. Nh vay RLO se co gia tr 1 trong
mot vong quet khi co sn le n trong RLO. V du: Le nh phat hie n sn le n.
A I0.0
FP M10.0
= Q4.5
Se tng ng vi
oa n chng trnh sau
A I0.0
AN M10.0
= Q4.5
A I0.0
= M10.0
Lenh tac o ng va o thanh ghi trang thai (Status word) nh sau:

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1

n. Le nh phat hien s n xuo ng

Cu phap FN <toa n ha ng>

Toan hang la a ch bit I, Q, M, L, D va c s dung nh mot bien c e
ghi nhan la i gia tr cu a RLO tai v tr na y trong chng trnh, nhng cu a
vong que t tr c. Tai moi vong lenh se kiem tra: ne u bien c (toan hang)
co gia tr 1 va RLO co gia tr 0 th se ghi 1 vao RLO, cac trng h p kha c
th ghi 0, ong thi chuyen noi dung cu a RLO vao lai bien c. Nh vay
RLO se co gia tr 1 trong mot vong quet khi co sn xuong trong
RLO.Lenh ta c ong vao thanh ghi trang thai (Status word) nh sau:

BR CC1 CC0 OV OS OR STA RLO FC
- - - - - 0 x x 1

2.2.2 Lenh oc, ghi trong ACCU

Ca c CPU cu a S7_300 th ng co hai thanh ghi Accunulator (ACCU) k hieu la
ACCU1 va ACCU2. Hai thanh ghi ACCU co cung kch thc 32 bits (1 t
kep). Moi phe p tnh toa n tren so thc, so nguyen, cac phep tnh logic vi ma ng
Gia tr RLO ta i
vo ng que t hie n thi
Gia tr RLO tai vong
que t trc c nh
va o M10.0
Hnh 2.1. Hnh mo ta le nh FP
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 29
nhieu bits e u c thc hien tren hai thanh ghi nay. Chu ng co cau tru c nh
sau:
31 24 23 16 15 8 7 0
ACCU1


ACCU2

T cao T tha p

a. Le nh oc vao ACCU
Cu phap L <toa n ha ng>
Toan hang la d lie u (so nguye n, thc, nh phan) hoac a ch. Neu la a ch
th
- Byte IB, QB, PIB, MB, LB, DBB, DIB trong khoang 0 65535
- T IW, QW, PIW, MW, LW, DBW, DIW trong khoang 0 65534
- T kep ID, QD, PID, MD, LD, DBD, DID trong khoang t 0 65534
Neu la d lieu th ca c da ng d lie u hp le cu a toan hang cho trong bang sau

Byte
cao
Byte
tha p
Byte
cao
Byte tha p
Byte
cao
Byte
tha p
Byte
cao
Byte tha p
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 30
Bang 2.1: Cac da ng d lieu hp le cu a toan ha ng

Lenh L co ta c dung chuye n d lieu hoa c noi dung cu a o nh co a ch la toan
hang va o thanh ghi ACCU1. No i dung cu cua ACCU1 c chuyen vao
ACCU2. Trong trng hp gia tr chuyen vao co kch thc nho hn t kep th
chung se c ghi va o theo th t byte tha p cu a t thap, byte cao cu a t thap,
byte tha p cua t cao, byte cao cu a t cao. Nhng bit co n trong trong ACCU1
c ghi 0.
V du1
L IB0
se chuyen noi dung cu a IB0 vao ACCU1 nh sau
31 24 23 16 15 8 7 0
ACCU1

V du 2
L MW20 //se chuyen noi dung cua MW20 gom 2 bytes MB20, MB21
vao ACCU1 theo th t
31 24 23 16 15 8 7 0
D lieu V du Gia i thch
L +5 Ghi 5 va o t thap cua ACCU1
B#(,) L B#(1,8) Ghi 1 vao byte cao cu a t thap va 8 vao
byte thap cua t tha p trong ACCU
L# L L#5 Ghi 5 va o ACCU1(so nguye 32 bits)
16# L B#16#2E
L W#A2EB
L DW#2C1E_A2EB
D lieu da ng c so 16
2# L 2#11001101 D lieu da ng c so 2
L AB
L ABCD
D lieu da ng k t
C# L C#1000 D lieu la gia tr at trc cho bo em
S5TIME#

L S5TIME#2S D lieu la gia tr a t trc cho Timer
(PV)
P# L P#M10.2 D lieu la a ch o nh(dung cho con
tro)
D# L D#2000-6-20 D lie u la gia tr ve
nga y/thang/nam(16bits)
T# L T#0H_1M_10S D lieu ve th i gian gi/phu t/gia y(32bits)
0 0 0 IB 0
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 31
ACCU1

Lenh kho ng s a oi thanh ghi trang thai (Status word).

V du 3
L 100 // ACCU1 =100
L 200 // ACCU1 =200, ACCU2=100

b. Le nh chuye n no i dung cua ACCU1 ti o nh .

Cu phap T <toa n ha ng>

Toan hang la ai ch:
- Byte IB, QB, PIB, MB, LB, DBB, DIB trong khoang 0 65535
- T IW, QW, PIW, MW, LW, DBW, DIW trong khoang 0 65534
- T kep ID, QD, PID, MD, LD, DBD, DID trong khoang t 0 - 65534

Lenh chuye n noi dung cu a ACCU1 vao o nh co a ch la toa n hang. Lenh
khong thay oi noi dung cua ACCU2.Trong trng hp o nh co kch th c nho
hn t ke p th noi dung cu a ACCU1 c chuyen ra theo th t byte thap cu a
t tha p, byte cao cua t tha p, byte thap cu a t cao, byte cao cu a t cao.
V du
T QB0
se ch chuyen no i dung cua byte thap cu a t thap trong ACCU1 vao IB0 va
lenh
T MW20
se ch chuyen byte cao cu a t tha p vao MW20, byte tha p cu a t thap vao
MW21.
Lenh kho ng s a oi thanh ghi trang thai (Status word).
0 0 MB20 MB21
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 32
CHNG 3
NGON NG GRAPH VA NG DUNG

Khi lap trnh cho PLC s dung khoi FB th chung ta co the s dung ngon
ng Graph. Ngon ng na y rat thuan li trong nhng he thong ieu khien tuan
t. Lu y trong khi ca i at phan mem Step7 ta phai chon cai at ngo n ng na y.

3.1 To mt khi FB di dng ngn ng Graph
3.1.1. To mt khi FB Graph
Bc 1: Double click vo folder Blocks.
Bc 2: Chn trn thanh Menu: Insert > S7 Block > Function Block.
Bc 3: Mt hp thoi Properties xut hin. Chn ngn ng lp trnh l Graph



















Ri chn OK. Nh vy kt qu l mt khi FB1 c to ra trong folder
Blocks

3.1.2. Vit chng trnh theo kieu tun t
e tie n theo doi xet v du ieu khien kh i o ng SAO/TAMGIAC cua ong
c 3 pha nh sau

ng c khng ng b 3 pha r to lng sc phi c vn hnh c 2 chiu
quay. khc phc c dng khi ng ln, ng c phi c khi ng vi
ch kt ni sao - tam gic
Hnh 3.1. Chon ngo n ng Graph khi la p trnh tre n khoi FB
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 33
YU CU

Khi nhn nt S1 th ng c chy v quay cng chiu kim ng h, v ng
c s quay theo chiu ngc li nu nhn nt S2. Cng tc t chnh K1 cho chiu
quay cng chiu kim ng h v K2 cho chiu ngc li, kch hot cng tc t
ch sao l K4 v mt timer. Sau mt khong thi gian khi ng gn 5 s, ng
c t ng ngt ch chy sao. Cng tc t chnh K1 vn cn c kch hot v
ngt s kt ni vi ch chy sao cng tc t K4 trc khi chuyn sang kt ni
vi ch tam gic cng tc t K3. Chiu quay ca ng c ch c thay i
khi ng c c tt trc . ng c ch c th c tt khi nhn nt S0,
c lp vi trng thi hot ng . Trng thi ON ca ng c phi c hin th
qua n H1 v H2 tu thuc chiu quay ca ng c. Khi ng c qu ti n s
c t ng tt qua r le Q1(S5).
Hoat ong Sao/tamgiac cua ong c 3 pha c trnh ba y theo lu o
gia i thuat sau



























START

S1=1
S2=1

C QUAY CNG
CHIU KIM NG
H (K1) CH
SAO (K4)
C QUAY NGC
CHIU KIM NG H
(K2) CH SAO
(K3)
S0=1

SAU
10S
SAU
10S
C QUAY CNG
CHIU KIM NG
H (K1) CH
TAM GIC (K3)
N
N
N
N
Y
Y
Y

Y
Y
C QUAY CNG
CHIU KIM NG
H (K1) CH
TAM GIC (K3)
Hnh 3.2. Lu o ng giai thuat cua khi ong Sao/tamgiac
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 34
Bang c ch vao ra

Ngo vao Ngo ra
THIT B
NGOI
A CH THIT B NGOI A CH
S0 I0.0 Q1 Q01
S1 I0.1 Q2 Q0.2
S2 I0.2 Q3 Q0.3
S5 I0.5 Q4 Q0.4
Trnh t lap trnh nh sau

Sau khi bt u lm vic vi S7 Graph bng cch double click vo khi
FB1 th h thng c chn vo mt STEP u tin v mt TRANSITION u
tin.
C 2 phng php to cu trc Sequencer.
Phng php 1: ch Direct: Insert > Direct
Phng php 2: ch Drap-and-Drop: Insert > Drap-and-Drop
Sau y ch trnh by cch vit theo phng php 1
Bc 1: Chn transition 1 v nhp chut vo biu tng mt ln




Kt qu to ra mt step 2. Ti step ny ng c thc hin ch quay cng
chiu kim ng h, v mch c kt ni dng SAO.
Bc 2: Chn step 2 v chn biu tng



iu ny s m ra mt nhnh xen vo cho ch ng c cng quay cng
chiu kim ng h nhng mch c kt ni dng TAM GIC. Nhnh ny bt
u vi transition 3 (T3)
Bc 3: Tip tc vi con chut ang ti v tr T3, nhp chut chn biu
tng



V s c chn vo step 3 cng vi transition 4

Bc 4: Chn step 1 v chn biu tng


Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 35

iu ny s m ra mt nhnh xen vo cho ch ng c ngc chiu kim ng
h. Nhnh ny bt u vi transition 5 (T5)

Bc 5: Tng t nh nhnh chnh ng vi ch quay ca ng c l
cng chiu kim ng h.Vn con chut ti transition 5 v nhp chut vo biu
tng sau mt ln




Kt qu to ra mt step 4 v transition 6. Ti step ny ng c thc hin
ch quay ngc chiu kim ng h, v mch c kt ni dng SAO.
tip tc thc hin ging nh nhnh chinh ta c mch nh sau:

Bc 6: V by gi ta hon thnh cu trc ca mt Sequencer bng cch
u tin ta chn transition 1 (T1) ri nhp chut chn biu tng



v ri chn step1 hoc g vo s 1





















Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 36
Hnh 3.2. Tao nhanh trong Graph







































3.2. Vit chng trnh cc ACTION cho cc step

Cng c 2 phng php viit chng trnh cc action cho cc step v cc
transition: Direct v Drap-and-Drop
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 37
Sau y s s dng phng php Drap-and-Drop : Insert > Drap-and-Drop
Bc 1: Chn trn thanh menu Insert > Action
Kt qu l: Trn con chut s xut hin biu tng sau




Bc 2: chn dng action rng bng cch nhp chut vo action
Bc 3: Enter vo cc action
Mt action bao gm 1 lnh v 1 a ch. Trong ngo n ng Graph co 4 lnh hay s
dung :
S Set ng ra
R Reset ng ra
D Delay 1 khoang thi gian (xem them S4 hnh 3)
C em s kien

S1 CU C20
S1 CR C20


3.3. Vit chng trnh cc TRANSITION

C cc hm logic Cong tc thng m, Cng tc thng ng, Hm
so snh c s dng cho cc iu kin-CONDITION trong cc transition. Vit
chng trnh cho cc transition nh sau:
Bc 1: Chn View >LAD

Chn vo cng tc thng m

Chn vo cng tc thng ng

Chn vo php so snh

Bc 2: Sau khi nhp chn v chn vo ng v tr c th thot ra bt c lc no
bng cch nhn phm ESC

Bc 3: Enter a ch vo. Nhp chut vo vng yu cu
Ri g vo a ch hoc k hiu ca a ch ( V d I0.0 hoc
I0.0_Nut_nhan_dung )
Bc 4:
S dung Counter C20 em so hanh ong cu a S1
Reset Counter C20
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 38











































Khi S4 chay
c 20 S th
M0.1 a o trang
tha i
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 39
































3.4. Lu v ng chng trnh li

Khi lu chng trnh li, th phan mem t ng c kim tra (compile)
Bc 1: Chn trn thanh menu File > Save
Kt qu l: mt hp thoi Select Instance DB c m ra vi thng s mc nh
l DBx (vi x tru ng vi x cu a kho i FBx v du neu FB1 th DB1)
Bc 2: ng vi mc nh ny bng cch nhp chn OK
Kt qu l: Khi d liu DB-Data block t ng c to ra trong folder
Blocks
Bc 3: ng chng trnh li bng cch chn File > Close
Hnh 3.3. Chng trnh kh i ong sao/tamgiac dung ngon ng Graph
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 40

3.5 Gi chng trnh t trong khi FB1 vo khi OB1

Chng trnh iu khin ng c c gi vo trong khi OB1. Chng ta
c th to khi OB1 vit di dng LAD, FBD, STL, hoc SCL ( y khi
OB1 c to ra di dng LAD. Chng trnh ca khi OB1 c biu din nh
s sau. Lm trnh t cc bc nh sau:
Bc 1: M folder Blocks trong S7 program trong ca s SIMATIC Manager
Bc 2: Double- click vo khi OB1
Bc 3: Chn ngn ng vit dng LAD bng cch View > LAD
Bc 4: M Overviews ra nu ch c sn bng cch View > Overwiews
Ri nhp chn FB, ri double-click vo FB1
Bc 5: G tn ca khi d liu DB1 vo pha trn























Bc 6: Lu v ng khi OB1 li bng cch File > Save ri File >Close

3.6. Download chng trnh xung CPU v kim tra tua n t chng trnh

3.6.1. Download chng trnh xung CPU
cho php download chng trnh xung CPU, ta phi download tt c cc khi
( DB1, FB1, OB1, FC70/71, FC72 v/hoc FC73) xung CPU theo cc bc sau:
Hnh 3.4. Go i khoi FB trong OB1
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 41
Bc 1: M ca s chnh SIMATIC Manager v chn folder Blocks.
Bc 2: Chn menu PLC> Download

3.6.2 Kim tra chng trnh

kim tra chng trnh , i hi cn phi kt ni vi vi CPU
Bc 1: M ca s chnh SIMATIC Manager.
Bc 2: M Sequencer bng cch double-click vo khi FB1.
Bc 3: Chn menu Debug > Monitor.

Kt qu l: Trng tri chng trnh c hin th ( Step u tin c kch hot).
Step no hot ng c hin th mu xanh
















Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 42
Chng 4
PHAN MEM STEP7
4.1 S lc ve phan mem STEP7.
STEP 7 la mot phan mem dung e phuc vu cho viec at cau hnh va lap trnh
cho cac bo ieu khie n lap trnh c (PLC_Programmable Logic Controller). ay
la bo phan mem do hang Siemens thiet ke, bao gom cac version c ban sau :
STEP 7 Micro/Dos va STEP 7 Micro/Win danh cho cac ng dung chuan, n
gian tren SIMATIC S7-200.
STEP 7 Mini danh cho cac ng dung chuan, n gian tren SIMATIC S7-300 va
SIMATIC C7-620.
STEP 7 danh cho cac ng dung tren SIMATIC S7-300/S7-400, SIMATIC M7-
300/M7-400 va SIMATIC C7 vi cac chc nang rong hn:
Co kha nang gan cac thong so cho cac module ham va cac bo x ly truyen
thong.
Co the hoat ong che o nhieu may tnh.
Truyen thong d lieu toan cuc.
Truyen d lieu theo s kien s dung cac khoi ham truyen thong
(communication function blocks).
at cau hnh ket noi.
4.1.1 Cai at Step7.
Yeu cau phan cng:
He ieu hanh : Windows 95, Windows 98 hay Windows NT.
Phan cng :
Bo x ly 80486 hay cao hn.
RAM: t nhat la 32Mbytes.
Man hnh, chuot, ban phm co ho tr Win 95/98/NT.
Cai at STEP 7:
Cho a STEP 7 vao o a CD-ROM.
Chay chng trnh setup tren a, cung giong nh viec cai at cac phan
mem khac. Tuy nhien viec cai at STEP 7 co vai iem khac biet so v i cac
phan mem khac:
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 43
Khai bao so hieu san pham: so hieu san pham luo n i kem theo a.
Do o khi qua trnh cai a t ye u cau so hieu san pham, ban phai ien ay u
cac thong tin vao cac muc yeu cau.
ang ky ban quyen (AuthorsW): ban quyen cua STEP 7 do Simens
cung cap thng c cha trong a mem rieng (mau o). Ta co the ang
ky ban quyen ngay trong qua trnh cai at hay sau khi cai at phan mem
xong ban chay chng trnh AuthorsW.exe co trong danh sach cua
SIMATIC.
4.1.2 Cac cong viec khi lam viec vi phan mem STEP 7.
Lap ke hoach cho bo ieu khien.
Thiet ke cau truc chng trnh.
Khi ong STEP 7.
Tao cau truc project.
at cau hnh cho tram.
at cau hnh mang va cac ket noi truyen thong.
nh ngha cac ky hieu.
Tao chng trnh.
oi vi S7: tao va anh gia cac d lieu tham chieu.
at cau hnh cac thong iep.
at cau hnh cac bien ieu khien.
Download chng trnh xuo ng bo ie u khien.
Kiem tra chng trnh.
Quan sat hoat ong va chan oan loi.
4.1.3. Set giao dien PG/PC.
Vi viec thiet lap nay, giup ban thie t lap kieu ket noi giao tie p gia thiet b
lap trnh (PC) va bo ieu khien logic kha trnh (PLC).
Khi Set PG/PC Interfaces lan au tien, ta phai cai at module giao tiep nh
sau:
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 44


Hnh 4.1. Set giao dien PG/PC
Trong hop thoai Set PG/PC Interfaces ta chon loai card phu hp chuan
giao tiep he thong mang va click vao nut Properties
Hop thoai Properties - PC Adapter hien ra, ta thiet lap cac thong so giao
tiep can thiet nh: a ch, toc o truyen,
4.2 CACH TAO 1 CHNG TRNH NG DUNG VI STEP7
4.2.1. Cac bc soan thao 1 Project
Cach xay dng cau hnh phan cng cho tram PLC.
V du xay dng cau hnh phan cng cho 1 tram nh sau:
- Phan cng cua tram gom mot thanh ray
RACK-300 : thanh RAIL
- Tren thanh nay co gan cac moun : nguon PS , CPU, DI/DO, AI, AO tren cac
SLOT Trong o :
SLOT 1: co gan moun nguon PS 307 5A vi ma so : 6ES7 307-1EA00-
0AA0
Chon loai module
thch hp.
Click chon Install.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 45
SLOT 2 va SLOT 3 : Co gan moun CPU 300 CPU 315-2 DP vi ma so :
6ES7 315- 2AFO3-OABO- V1.2 moun nay e truyen d lieu t S7-300
bang ng truyen mang MPI va PROFIBUS vi toc o 1.5 MB
SLOT 4 : Moun tn hieu ngo vao/ ra digital DI8 /DO8 x24V/0.5A v i ma
so : 6ES7 323-1BH00-0AA0
SLOT 5 : Moun tn hieu ngo vao analog AI 2x12bit v i ma so : 6ES7 331-
7KB02-0AB0
SLOT 6 : Moun tn hieu ngo ra analog A0 2x12bit vi ma so : 6ES7 332-
5HB01-0AB0
Chu y: ta khong the at cac thanh phan ca so be n phai vao ca so be n trai
mot cach tuy tien khong theo mot th t. Thng th cac thanh phan c at
vao cac Slot ca so ben trai theo th t nh sau:
Slot 1: ch s dung at modul nguon.
Slot 2: ch s dung at modul CPU.
Slot 3: thong thng e rong.
Slot 4 t i Slot 11: du ng cho cac module truyen thong x ly( modul xuat,
modul nhap, modul vao ra tng t).










Hnh 4.2. Th t sap xep cua cac Slot tren mot Rack
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 46
Chon New Project Wizard
4.2.2. Thiet lap phan cng cho tram
1. Khi ong phan mem SIMATIC Manager
Start -> SIMATIC Manager hoac doub_ click vao bieu tng :






Hnh 4.2. Bieu tng cua SIMATIC Manager
2. Tao 1 Project mi : File ->New Project Wizard








Hnh 4.3. Tao 1 Project mi
3. at ten cho Project :














Hnh 4.4. at ten cho Project
Doub_click vao icon
SIMATIC Manager
1.at ten Project
2.Chon OK
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 47
4. Chen SIMATIC 300 Station : Insert -> station -> SIMATIC 300 Station




Hnh 4.5. Chen 1 tram SIMATIC mi


5. Chon SIMATIC 300 Station(1)




Hnh 4.6: Chon tram SIMATIC va tao



6. M cau hnh phan cng :
Chon SIMATIC
300 Station
Chon SIMATIC 300(1)
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 48
Click doub vao Hardware hoac vao Edit -> Open Object



Hnh 4.7: M cau hnh phan cng
7. Lay thanh rail slot 0 nam di dau + cua RACK :
SIMATIC 300 -> RACK-300 -> doub- click Rail


Hnh 4.8: V tr cua moi Slot
8. Click vao SLOT 1
Chon Open Object
Slot 1: moun nguon PS
Slot 2: moun CPU 300
Slot 4: moun SM-DI/DO
Slot 5: moun SM-AI
Slot 6: moun SM-A0
Doub_click
vao Rail
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 49
chon moun nguon PS 307 5A vi ma so : 6ES7 307-1EA00-0AA0, bang
cach doub_click vao PS 307 5A

Hnh 4.9. Khai bao a ch nguon
9. Tng t nh bc 8 click vao Slot 2 chon moun CPU 300 CPU 315-2
DP vi ma so : 6ES7 315- 2AFO3-OABO bang cach doub_click vao V1.2
luc o se xuat hien hop thoai Properties nhap a ch DP cua CPU la 15 va
nhan phm NEW sau o chon OK














Hnh 4.10: Khai bao a ch va mang ket noi Profibus
Ket qua se tao ra : he thong chu (1) PROFIBUS(1) : DP
Doub_click vao
PS 307 5A
Nhap a ch
CPU
Chon New

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 50



Hnh 4.11: Khai bao CPU

10. Click vao slot 4 : t SM-300 chon moun tn hieu ngo vao/ ra digital
DI8 /DO8 x24V/0.5A vi ma so : 6ES7 323-1BH00-0AA0 bang cach doub _click
vao DI8 /DO8 x24V/0.5A

11. Click vao slot 5 t SM-300 chon mo un tn hieu ngo vao analog AI 2x12bit
vi ma so : 6ES7 331-7KB02-0AB0 bang cach doub _click vao AI 2x12bit

12. Click va o slot 6 t SM-300 chon moun tn hieu ngo ra analog A0 2x12bit vi
ma so : 6ES7 332-5HB01-0AB0 bang cach doub _click vao A0 2x12bit

13. Sau khi thiet lap phan cng xong ta tien hanh lu va kiem tra bang cach chon
menu Station > Save and Compile

14. Download cau hnh phan cng xuo ng di CPU cua PLC bang cach chon menu
PLC -> Download


1.Chon Save and
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 51















Hnh 4.12. Save va download cau hnh phan cng
4.2.3 Soan thao chng trnh cho cac khoi logic
Sau khi khai bao xong cau hnh cng cho mot tram PLC va quay tr ve ca
so chnh cua step7 ta se thay Step7 trong th muc SIMATIC 300(1) bay gi co
them cac th muc con CPU315-2DP, S7 Program(1), Sources, Blocks
M ca so SIMATIC manager len va chon Block
M tat ca cac khoi logic (OB, FC, FB, DB) cha chng trnh ng du ng se nam
trong th muc Block. Mac nh san trong th muc nay a co san kho OB1



1. Click + Simatic 300(1)
2. Click + S7 program(1)
3. chon Block
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 52

Hnh 4.13. Chon khoi Blocks
Muon soan thao chng trnh cho khoi OB1 ta doud-click vao bieu t ng OB1
ben na ca so ben phai


Hnh 4.14: Lap trnh tren khoi OB1
e khai bao va soan thao chng trnh cho cac khoi OB khac hoac cho cac khoi FC
, FB hay DB, ta co the tao mot khoi mi ngay trc tiep t chng trnh soan thao
bang cach chen them khoi mi o trc t ca so chnh cua step7 bang phm
Double click
vao khoi OB
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 53
Insert -> S7 Block -> chon kieu khoi -> chon so khoi -> nhan OK




Hnh 4.15. Chen them khoi ieu khien


Chon kieu khoi
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 54
CHNG 5

BO HIEU CHNH PID, CAC HAM X LY TN HIEU TNG T VA
NG DUNG

5.1 Gii thieu.
Nhieu nam trc ay bo ie u khien PID c coi la bo ie u khien ly
tng o i vi cac o i tng co mo hnh lien tuc. Bo PID thc s la bo ieu
khien ong ma viec thay oi cac tham so cua bo ieu khien co kha nang lam
thay oi ac tnh ong va tnh cua he thong ieu khien t o ng.
Bo ieu khie n PID thc chat la thiet b ieu khien thc hien luat ieu
khien c mo ta bang phng trnh sau:
u
.
(t) = k
p
e(t) +
D
t
I
T d e
T


0
1
e
.
(t)
trong o e(t) la tn hieu vao, u(t) la tn hieu ra cua bo ieu khien, k
p
la he so
khuech ai cua luat ieu khie n ty le , T
I
hang so thi gian tch phan va T
D
la
hang so thi gian vi phan .






Hnh 5.1. ieu khien v i bo ieu khien PID

Vi bo ie u khien PID, ngi s dung de dang tch h p cac luat ieu
khien khac nh luat ieu khien t le (luat P), ieu khien t le - tch phan (luat
PI), ieu khie n t le -vi phan (luat PD). Bo ie u khien PID luo n la mot phan
t khong the thay the c trong cac qua trnh t o ng khong che nhiet o,
mc, toc o
Mot trong nhng ng dung cua bo ieu khie n PID trong ie u khien
thch nghi va ie u khien m la thng xuyen phai chnh nh lai cac tham so
cua no cho phu hp vi s thay oi khong biet trc cua oi tng cung nh
cua moi trng nham am bao c cac ch tieu chat lng a e ra trong he
thong. Neu nh ta a t o ng hoa c cong viec thay oi tham so nay th bo
ieu khien PID o se la mot bo ieu khien be n vng vi moi tac ong cua
nhieu no i cung nh nhieu ngoai le n he thong.
Bo hieu
chnh PID
oi tng
ieu
khien
Ngo ra
Ngo vao
-
e(t)
u(t)
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 55
Cung chnh v vay ma cac thiet b ieu khien qua trnh nh DCS
Disbuted Control system, PLC Programmeble Logic Control,PCS Process
Control System cua cac hang san xuat thie t b t ong tren the gii kho ng
the thieu c module ieu khien PID hoac cng hoac mem.
e s dung tot cac module nay, ng i thiet ke phai nam c cac
phng phap chon luat ieu khie n va cac tham so cho bo ieu khien.

Trong phan mem Step 7 co nhieu khoi FB e ho tr viec viet chng trnh ieu
khien thiet b s dung luat hieu chnh PID, nh FB40,FB41, FB58, FB59..
5.2 Moun me m FB58
5.2.1 Gii thieu
S o khoi FB58


























Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 56

Hnh 5.2. S o khoi cua khoi FB58
Hnh 5.3. Ca c cau lenh cua FB58
iem Setpoint
iem Setpoint at ngo vao SP- INT dang so thc nh mot ai lng vat l
hoac t le phan tram. iem setpoint va gia tr x l thng tao thanh sai so
phai co cung mot n v
S la chon gia tr x l (PVPER_ON)
Tuy thuoc vao PVER ON, gia tr co the co c t thiet b ngoai vi hoac
dang so thc
PVER-ON x l gia tr ngo vao:
- TRUE: Gia tr x l c o thong qua thiet b ngoai vi Analog (PIWxxx)
tai ngo
vao PV PER.
- FALSE: Gia tri x l co c dang so thc at tai ngo vao PV-IN.
S chuyen oi gia tr x l bang ham CRP-IN (PER-MOD)
Ham CRP_IN chuyen gia tr ngoai vi sang dang so thc tuy thuoc vao s la
chon PER_MODE

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 57
Viec tieu chuan hoa gia tr x l PV_NORM (PF_FAC, PV_OFFS)
Ham PV_NORM tnh toan gia tr ngo ra cua ha m CRP_IN nh sau:
"Output of PV_NORM" = "Output of CPR_IN" * PV_FAC + PV_OFFS
No c dung vi y nh:
PV_FAC:nh he so cua gia tr x l.
PV_OFFS:s offset cua gia tr x l.
S tieu chuan hoa nhie t o sang t le phan tram: iem setpoint dang %, ta
phai chuyen gia tr nhiet o c o sang t le %.
S tie u chuan hoa t le % sang nhie t o: iem setpoint dang nhiet o ta
phai chuyen ie n ap/dong ien.
Viec tnh toan cac thong so:
- PV_FAC = range of PV_NORM/range of CRP_IN;
- PV_OFFS = LL(PV_NORM) - PV_FAC * LL(CRP_IN);
Vi:
range: dai,vung,mien
LL : gii han di
Vi gia tr mac nh (PV_FAC = 1.0 va PV_OFFS = 0.0) th s tieu chuan
hoa se khong c thch hp th ke t qua gia tr x l la ngo ra tai PV.
V du viec tieu chuan hoa gia tr x l
Neu at gia tr setpoint la t le % va ban co mien nhiet o la (-20 +85)
o
C th
ban phai tieu chuan hoa dai nhiet o thanh t le %.
S o di ay trnh bay mot v du ve viec chuye n mien nhiet o (-
20+85)
o
C sang t le t 0 100%













Hnh 5.4. V du viec tieu chuan hoa gia tr x l

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 58


Hnh thc sai so
S khac biet gia iem setpoint va gia tr x l trc khi b a vao mien
chet se tao ra sai so. iem setpoint va gia tr x l phai co cung kie u n
v(% hoac ai lng vat l)
Mien che t (Deadb_W)
Vi moi mot gia tr, Deaband se a ra mot khoang sai so
Neu DEADB_W = 0 th Deaband se b giam s kch hoat. Sai so c ac
trng bang tho ng so ER









Hnh 5.5. Minh hoa ve mien chet

Thua t toan PID (GAIN, TI, TD, D_F)

S o di ay la s o khoi cua thuat toan PID













Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 59
Hnh 5.6. S o khoi cua that toan PID

Thuat toan PID hoat ong nh mot thuat toan ve s nh v. Cac c cau t
le,tch phan,vi phan(DIF) c ket noi song song va co the c kch hoat
hoac khong kch hoa t mot cach rieng le. ie u nay cho phep bo P, bo PI, bo
PID c cau hnh.
S ieu chnh cua ng i ieu khien se ho tr bo PI,PID. Bo ieu khien
nghch c thi hanh khi s dung mot bo GAIN (cooling controller).
Neu set gia tr TI,TD en gia tr 0.0 th ban se thu c mot bo ieu khien P
tai iem hoat ong

Tng bc ap ng trong mien thi gian la:
LMN_Sum(t):la bien tong trong che o t ong cua bo ieu khien
ER (0) : la s thay oi tng bc cua sai so a c chuan hoa
GAIN : o li cua bo ieu khie n
TI : thi gian tch phan
TD :thi gian vi phan
D_ :he so vi phan












Hnh 5.7. Minh hoa cac thong so cua thuat toan PID

Bo tch phan (TI, I_ITL_ON, I_ITLVAL)
Trong ie u khie n tay no c ieu chnh nh sau:
LMN_I = LMN - LMN_P - DISV.
Neu bien van hanh (manipulated variable) b gi i han th c cau I se b ngng
hoat ong. Neu sai so a c cau I tr ve trong khoang cho phep cua bien
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 60
van hanh th c cau I co the c thay oi bang cach: c cau I cua bo ieu
khien co the c kch hoat bi TI = 0.
S hoat ong cua c cau P se b yeu i khi co s thay oi cua iem setpoint.
S hoat ong cu a c cau P se b yeu i khi co s thay oi cua iem
setpoint (PFAC_SP)
e ngan chan s qua tam(vt qua gii han),ban co the lam giam s hoat
ong cua c cau P bang cach s dung he so t le oi v i s thay o i cua
iem setpoint, thong so PFAC_SP. S dung PFAC_SP, ban co the chon cac
gia tr lien tie p t 0.0 1.0 e quyet nh hieu qua cua c cau P khi iem
setpoint thay o i.
- PFAC_SP=1.0: c cau P b anh hng neu iem setpoint b thay oi.
- PFAC_SP=0.0: c cau P hoan toan khong b thay oi neu iem setpoint b
thay
oi.
Viec giam anh hng cua c cau P se at c bang s can bang them
c cau I
Cac nhan to cua c cau vi phan (TD, D_F)
C cau D cua bo ieu khien se b giam kch hoat vi TD = 0.
Neu c cau D c kch hoat ,theo moi quan he gia cac thong so ta co:
TD = 0.5 * CYCLE * D_F
Cai at thong so cua bo ieu khien P va PD vi iem ang hoa t ong
Trong giao dien cua ngi s dung, c cau I kho ng c kch hoat (TI = 0.0)
va c cau (TD = 0.0) cung vay. Sau o cai at cac thong so:
I_ITL_ON = TRUE
I_ITLVAL = iem ang hoat ong.
ieu khien hoi tiep (DISV)
Bien hoi tie p co the c them vao trong ngo vao DISV
Viec tnh toan bien van hanh
S o khoi di ay la s o ve s tnh toan bien van hanh








Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 61

Hnh 5.8. S o khoi tnh toan bie n van hanh
Vung ieu khie n (CONZ_ON, CON_ZONE)
Neu CONZ_ON = TRUE, bo ie u khien se hoat ong trong vung ieu
khien. ieu nay co ngha la bo ieu khien hoat o ng theo thuat toan sau:
- Neu PV vt qua gia tr SP_INT gan can tre n cua CON_ZONE, th gia tr
LMN_LLM la gia tr ngo ra nh bien van hanh (ieu khien theo chu trnh
kn)
- Neu PV nam di gia tr SP_INT gan can di cua CON_ZONE,th gia tr
LMN_HLM la gia tr ngo ra nh bien van hanh(ie u khien theo chu trnh
kn)
- Neu PV nam trong khoang vung ieu khien (CON_ZONE) bien van hanh
se gi gia tr cua no lai t LMN_Sum cua thuat toan.
Chu y: S chuyen oi t ieu khien kn sang ieu khien t ong theo chu
trnh kn th s ieu khien se tnh toan 1 khoang tre khoang 20% cua vu ng
ieu khien.














Hnh 5.9. S o vung ieu khie n (CONZ_ON, CON_ZONE)

Trc khi kch hoat vung ieu khien tay, phai chac chan rang vu ng ieu
khien kho ng qua hep, neu vu ng ieu khie n qua nho, s dao ong se xuat
hien trong bien van hanh va bien x l.
Thuan li cua vung ieu khien
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 62
Khi gia tr x l thuoc vung ieu khien , c cau D tao ra mot s giam cc
nhanh cua bien van hanh. ieu nay co ngha la vung ieu khien ch hu ch
khi c cau D c kch hoat. Kho ng co vung ieu khie n, ve c ban c cau P
se lam giam bie n van hanh. Vung ieu khie n se co tac o ng ti s on nh
nhanh hn ma khong co s v t qua gi i han hoac sai lech d i( thap hn tr
so danh ngha).
X l gia tr bang tay (MAN_ON, MAN)
Ban co the bat cong tac ieu khien tay hoac t o ng . Trong ieu khie n tay
bien van hanh c ie u chnh en mot gia tr theo hng dan .
C cau tch phan (INT) c set en gia tr LMN - LMN_P DISV va c cau
vi phan (DIF) c set en gia tri 0 va c ong bo hoa be n trong. Do o
chuyen sang che o t ong se t b va cham hn.
Chu y : trong khi ieu khien tho ng so MAN_ON khong co anh hng g.
S gii han cua bien van hanh LMNLIMIT (LMN_HLM, LMN_LLM)
Gia tr cua bien van hanh c gii han en 2 gia tr gi i han LMN_HLM va
LMN_LLM bi ham LMNLIMIT. Neu s gii han nay at c, ieu nay
c ch nh bi bit tho ng tin QLMN_HLM va QLMN_LLM. Neu bien van
hanh b gii han th c cau se b ngng hoat ong. Neu sai so a c cau I ve
ung vung bien van hanh th c cau I se c phuc hoi.
Tay oi s gii han cua bien ket qua
Neu mien bien van hanh b giam va gia tr mi khong c gii han cua bien
van hanh nam ngoai khoang gii han, th c cau I va gia tr cua bie n van
hanh se b thay oi.
Viec tieu chuan hoa bien va n hanh (LMN_FAC, LMN_OFFS)
Ham LMN_NORM chuan hoa bien van hanh theo cong thc sau:
LMN = LmnN * LMN_FAC + LMN_OFFS
No c dung vi y nh:
LMN_FAC: nh he so cua gia tr x l.
LMN_OFFS: s offset cua gia tr x l.
Gia tr bien van hanh cung co kha nang c nh dang t ben ngoai.
Ham CRP_OUT chuyen so thc sang gia tr ngoai vi theo cong thc sau:
LMN_PER = LMN * 27648/100
Vi gia tr mac nh (LMN_FAC = 1.0 va LMN_OFFS = 0.0) th s chuan
hoa se khong c thch hp. Luc nay ket qua cua bien van hanh la ngo ra
tai LMN.
Ghi nhan va chuyen tai cac thong so cua bo ieu khien
- Viec ghi nhan cac thong so cua bo ieu khien SAVE_PAR
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 63
Neu viec cai at cac thong so hien hanh c dung, ban co the ghi nhan
chung vao mot cau truc ac biet trong ham FB 58"TCONT_CP" trc khi tao
ra mot s thay oi .Neu ban ieu chnh bo ieu khie n, viec cac thong so ghi
nhan c viet e le n thay gia tr trc khi chuyen oi.
PFAC_SP, GAIN, TI, TD, D_F, CONZ_ON va CONZONE c chuyen sang
cau truc PAR_SAVE.
- Viec tai cac thong so a c ghi nhan cua bo ieu khien UNDO_PAR
Ham nay c s dung e kch hoat thong so c cai at cuoi cung cua bo
ieu khien ma ban a ghi nhan e phuc hoi bo ieu khien (ch trong ieu
khien tay)







Hnh 5.10. S o khoi cua viec ghi nhan va chuyen tai cac thong so cua bo
ieu khien

Viec chuyen oi cac thong so gia bo PI va PID LOAD_PID (PID_ON)
Theo qua trnh ieu chnh cac thong so PID va PI se c lu vao trong cau
truc PI_CON va PID_CON. Tuy vao PID_ON,ban co the s dung
LOAD_PID trong ie u khien tay oi v i cac tho ng so PI hoac PID e tao ra
cac thong so cua bo ieu khien

Chu y:
Cac thong so cua bo ieu khien ch c ghi tr lai vao bo ie u khien vi
UNDO_PAR hoac LOAD_PID khi o li cua bo ieu khien khac 0.
LOAD_PID copy cac thong so neu o l i GAIN <> 0 (cac thong so cua mot
trong hai bo PI va PID )
D_F, PFAC_SP co the c set e n gia tr mac nh bang cach ieu chnh.
Nhng gia tr nay sau o co the c xac nh bi ngi ieu chnh.
LOAD_PID khong thay oi cac thong so nay.
Vi LOAD_PID vung ieu khie n luon c tnh toan lai.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 64
(CON_ZONE = 250/GAIN) ngay khi CONZ_ON = FALSE c set.
Qua trnh chay (Tuning) cua FB 58 "TCONT_CP"
Gii thieu
Vi viec ie u khie n viec chay t ie u chnh cua "TCONT_CP", bo ieu
khien PI/PID cap nhat t ong cac thong so cua bo ie u khien. Co hai
phng thc chay Tuning:
- Chay t ieu chnh bang s tien gan ti iem hoat ong vi s thay o i
tng bc cua iem at.
- Chay t ieu chnh iem hoat ong bang viec at mot bit bat au.
Ca hai cach tren, qua trnh x l c kch thch bi co the la chon
bien gan thay oi. Sau khi ch ra iem uon, cac thong so bo ieu khien
co gia tr va bo ieu khie n c khi hoat ong t ong va tie p tuc
ieu khien vi nhng thong so nay.
Ban co the ieu khie n viec chay ie u chnh bang viec s du ng cac
thong so c thie t lap tren giao dien chng trnh thiet ke.
Cac bc tien hanh:
- Start -> Simatic Manager -> Project -> Block -> DB58 -> Option ->
Curve Recorder








Hnh 5.11. Man hnh cap nhat o th

- Thiet lap cac thong so vao sau khi vao Curve Recorder nhap vao
Setting
( cai at thong so )






Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 65



Hnh 5.12. Man hnh cai at thong so
- Sau khi cai at thong so xong ta vao Data Block -> Open Oline ->
Option Controller Tuning
Ket qua
Cac thong so ieu khien c cap nhat trong qua trnh FB58
"TCONT_CP" chay t ieu chnh.
Nhan to e lam giam thong so tac ong P la PFAC_SP = 0.8
- o li cua bo ieu khien GAIN
- Thi gian bo tch phan: TI
- Thi gian bo vi phan: TD
- Nhan to bo vi phan: D_F = 5.0
- Vung ieu khien Control zone on/off: CONZ_ON
- o rong vung Control zone: CON_ZONE













Hnh 5.13. o th ket qua cua qua trnh cap nhat thong so

V du ve lam giam ap ng ieu khien vi PFAC_SP
Thong so qua trnh:
GAIN = 6
T1 = 50s
T2 = 5s
Thong so bo ieu khien:
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 66
GAIN = 6
TI = 19.6s


Bang 5.2: Chu thch ket qua cua o th










5.2.2 Cac thong so cua FB58

A
CH
THONG
SO
IN/OUT KIEU
D
LIEU
VUNG
GT
GT
AU
MO TA
0.0 PV_IN INPUT REAL Tuy
cam
bien s
dung
0.0 PROCESS VARIABLE
IN.
Gia tr khi tao co the
at au vaoprocess
variable on hoac t
bien qua trnh c
bieu dien di dang so
thc dau phay ong
4.0 PV_PER INPUT INT 0 PROCESS VARIABLE
PERIPHERY
Bien qua trnh c noi
vi CPU thong qua
cong vao tng t.
6.0 DISV INPUT REAL 0.0 DISTURBANCE
VARIABLE
oi vi ieu khien
thuan bien nhieu se
Thi
gian
th
He so
SP
Chu thch o vot lo
8:18 1.0 C cau P khong co s hoi tiep 32%
8:19 0.8 C cau P co s hoi tiep 20%, s
ap ng ie u khien ieu kien
tot nhat
2%
8:20 0.0 C cau P hoi tiep hoan toan, s
chan ong giam manh.
-
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 67
c no i ngo vao
disturbance variable
10.0 INT_HPO
S
INPUT BOOL FALS
E
INTEGRAL ACTION
HOLD IN POSITIVE
DIRECTION .
Ngo ra cua c cau I co
the c ch ra trong
mot pham vi trc tie p.
e at c ieu nay,
ngovao INT_HPOS
phai c set en gia
tr TRUE . Trong tng
t ie u khie n,
INT_HPOS cua bo ieu
khien au tien c ket
noi e n ngo
QLMN_HLM cua bo
ieu khien th 2
10.1 INT_HNE
G
INPUT BOOL FALS
E
INTEGRAL ACTION
HOLD IN NEGATIVE
DIRECTION.
Ngo ra cua c cau I co
the c ch ra trong
mot hng phu nh.
e at c ieu nay
,ngo vao INT_HPOS
phai c set en gia
tr TRUE . Trong tng
t ie u khie n,
INT_HPOS cua bo ieu
khien au tien c ket
noi e n ngo
QLMN_LLM cua bo
ieu khien th 2
12.0 SELECT INPUT INT 0EN
3
0 SELECTION OF CALL
PID AND PULSE
GENERATOR.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 68
Neu may phat sung
c kch hoa t, co mot
vai cach e goi thuat
toan PID va bo phat
sung.
SELECT =0: Bo ieu
khien se c go i trong
mot chu k nhanh mc
o gian oan, thuat toa
PID va bo phat sung se
c x l.
SELECT =1:Bo ieu
khien se c go i trong
khoi OB1 va ch thuat
toan PID c x l.
SELECT = 2: bo ieu
khien se c go i trong
mot chu k nhanh mc
o gian oan va ch bo
phat sung c x l.
SELECT =3: Bo ieu
khien se c go i trong
mot chu k cham mc
o gian oan va ch
thuat toan PID c x
l.
14.0 PV OUTPU
T
REAL Tuy
cam
bien s
dung
0.0 PROCESS VARIABLE
Tn hieu qua trnh c
xuat qua cong ra
process variable
18.0 LMN OUTPU
T
REAL 0.0 MANIPULATED
VARIABLE
Gia tr ra c thiet lap
bang tay tho ng qua
cong ra manipulated
variable
22.0 LMN_PER OUTPU INT 0 MANIPULATED
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 69
T VARIABLE
PERIPHERY.
Gia tr au ra thiet lap
bang tay theo kie u bieu
dien phu hp vi cac
cong vao/ra tng t
c chon qua ngo
ramanipulated variable
periphery
24.0 QPULSE OUTPU
T
BOOL FALS
E
OUTPUT PULSE
SIGNAL.
Khi co gia tr xung
c kch hoat th se co
tn hieu ra tai ngo ra
QPULSE
24.1 QLMN
HLM
OUTPU
T
BOOL FALS
E
HIGH LIMIT OF
MANIPULATED
VARIABLE
REACHED.
Cong ra hight limit of
manipulated variable
reached thong bao gia
tr cua bien qua trnh
vt qua gia tr gii
han
24.2
QLMN_LL
M
OUTPU
T
BOOL FALS
E
LOW LIMIT OF
MANIPULATED
VARIABLE
REACHED
Cong ra low limit of
manipulated variable
reached thong bao
gia t cua bien qua trnh
nho hn gia tr gi i han
24.3 QC_ACT OUTPU
T
BOOL TRUE NEXT CYCLE, THE
CONTINUOUS
CONTROLLER IS
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 70
WORKING.
Thong so nay se c
ch ro khi co hay khong
trang thai ieu khien
tiep theo se c thi
hanh tai lan goi tiep
theo (ch thch hp khi
SELECT co gia tr 1
hoac 0)
26.0 CYCLE INPUT/
OUTPU
T
REAL 0.001
s
0.1s SAMPLE TIME OF
CONTINUOUS
CONTROLLER[s].
Tai ay se set thi gian
mau oi v i thuat toan
PID. Bo ieu chnh
trong pha th nhat va
a vao CYCLE. Thi
gian lay mau la khoang
thi gian khong oi
gia cac lan kho i c
cap nhat.
30.0 CYCLE_P INPUT/
OUTPU
T
REAL 0.001
s
0.02s SAMPLE TIME OF
PULSE
GENERATOR[s].
Tai ngo vao nay, ban
a vao thi gian mau
oi v i may phat xung.
FB 58 TCONT_CP
tnh toan th i gian mau
trong pha th nhat va
a no vao trong
CYCLE_P.
34.0 SP_INT INPUT/
OUTPU
T
REAL vung
gia tr
cua gia
tr x l
0.0 INTERNAL
SETPOINT
au vao internal
setpoint c s dung
e thiet lap tn hie u chu
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 71
ao.
38.0 MAN INPUT/
OUTPU
T
REAL 0.0 MANUAL VALUE.
Cong vao manual
value c s dung e
at gia tr bang cac
ham giao dien
42.0 COM_RST INPUT/
OUTPU
T
BOOL FALS
E
COMPLETE
RESTART
Khoi co chc nang khi
tao lai he thong hoan
toan khi au vao
complete restart c
thie t lap gia tr logic
TRUE
42.1 MAN_ON INPUT/
OUTPU
T
BOOL TRUE MANUAL
OPERATION ON.
Khi au vao manual
operation on co gia tr
logic TRUE mach vong
ieu khien se b ngat,
cac gia tr se c thiet
lap bang tay.
90.0 PVPER_O
N
INPUT BOOL FALS
E
PROCES VARIABLE
PERIPHERY ON
Neu ban muon gia tr
x l c oc thong
qua thie t b I/O, ngo
vao PV_PER phai c
ket noi en I/O va gia
tr x l ngoai vi phai
c set len (TRUE).
186.5 LOAD_PI
D
INPUT/
OUTPU
T
BOOL FALS
E
LOAD OPTIMIZED
PI/PID PARAMETERS

186.6 PID_ON INPUT/
OUTPU
T
BOOL FALS
E
PID MODE ON
PID controller:
PID_ON = TRUE
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 72
PI controller:
PID _ON = FALSE



5.3 HAM FC105, FC106
e thuat l i trong qua trnh x ly cac tn hieu tng t phan mem Step 7 co san
ham th vien FC105,FC106
5.3.1 Ham FC105_nh t le ga tr ngo vao Analog







Hnh 5.14. Ca c cau lenh cua ham FC105

- V du: Mc ay trong bon c o bang lt .Bo
chuyen oi o c chon 500 lt th tng ng
vi mot gia tr o la 10V.
- T le: Module Analog chuyen oi gia tr analog
10V thanh so nguye n 27 648. Gia tr nay bay
gi a c chuyen oi thanh ai lng vat l lt.
Qua trnh nay ng i ta goi la nh t le gia tr
Analog.
- Chng trnh:Viec nh t le gia tr analog c
thc hie trong khoi chuan FC 105. Khoi FC 105 nam trong th vien
Standard Librarytrong chng trnh S7TI-S7 Converting Block cua
phan mem Step 7.
- IN : Gia tr Analog tai ngo vao IN co the c oc trc tiep t module
hoac
oc qua ngo giao tiep d lieu trong dang INTEGR
- LO_LIM,HI_LIM: Cac gii han chuyen oi cac ai lng vat l se c
at
trc cac ngo vao LO_LIM (gii han di) va HI_LIM (gii han
tren). Trong th du tren th gii han chuyen oi t 0 en 500 lt.
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 73
- OUT: Gia tr t le (ai lng vat l) th c lu tr nh la mot so thc tai
ngo
ra OUT.

Hang so K
1
, K
2
se c set da tren gia tr ngo vao la BIPPOLAR hay
UNBIPPOLAR
- BIPPOLAR: Ngo vao BIPPOLAR xac nh lieu gia tr am co c
chuyen oi
hay khong.
BIPPOLAR: Gia tr ngo vao la so nguye n c tha nhan gia -27648
va +27648,do o K
1
la -27648, K
2
la +27648.
UNBIPPOLAR: Gia tr ngo vao la so nguye n c tha nhan gia 0
va +27648,do o K
1
la0, K
2
la +27648.
Trong v du tren, bit nh 0.0 co tn hieu 0 va v the bao hieu gia tr ngo
vao la mot cc.
- RET_VAL: Ngo ra RET_VAL co gia tr 0 neu s hoat ong khong co s
co.
Neu gia tr tai ngo vao ln hn K
2
, ngo ra OUT c kiem soat bi
HI_LIM va loi xuat hien, neu gia tr tai ngo vao nho hn K
1
, ngo ra OUT
c kiem soat bi LO_LIM va loi xuat hien. Luc nay ENO se c set
gia tr 0, va RET_VALse co gia tr W#16#0008.

5.3.2 Ham FC106_Khong chia t le so thc cho ngo ra Analog











Hnh 5.15. Cac cau lenh cua ham FC106


Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 74
V du: Chng trnh tnh toan gia tr Analog
trong
pham vi t 0 en 100.0%. Gia tr nay c
chuyen ti ngo ra nh mot module ngo ra
Analog.
- Khong chia t le: Kho i chuan FC106 c s
dung
cho viec khong chia t le (s bien o i cua mot
so thc t 0 en 100.0% thanh mot so nguyen
16 bits t 0 en 27648)
- OUT:Gia tr Analog khong chia t le tai ngo ra out co the c tryen i
di
dang mot so nguyen 16 bits en ngo giao tie p d lie u hoac trc tiep en
ngoai vi.
Chng trnh: Kho i FC106 nam trong th vien Standard Library trong chng
trnh S7 TI-S7 Converting Block cua phan mem Step7.

5.4 V du ng dung ieu khien mc nc trong bon
5.4.1 Nguyen Ly hoat ong :
Nc c bm t bnh cha 1 le n bnh 2 bang bm ly tam ,bm ly tam
hoat ong theo hai che o co the ieu khien bang tay hoac ieu khien t PLC
bang num chuyen hai che o AUTO va MAN, bm hoat ong hieu ien the
xoay chieu 220-240 VAC ,cong suat la 30 W, lu lng nc khoang 20
lt/phut, no c tac o ng bi 2 rle(250 VAC/5A). Dong nc qua bm chia
lam 2 phan, 1 lng se qua van solenoid va 1 lng c hoi ve be 1 e bao
am an toan cho bm khi van solenoid ong lai. Van solenoid cung co the c
ieu khien bang tay hoac bang PLC dung e o ng m tc thi do ng nc len
bon cha 1 khi ieu khien. Ap suat hoat o ng t 0-10 bar, cap dong 24 VDC,
dong co the c cap t rle hoac trc tiep t PLC.

Dong tiep tuc qua bo phan hie n th lu lng dong c kh dung e ieu chnh
lu lng sao cho v a phai on nh gi a 2 luong n c pha n nhanh t bm. Khi qua bo
chuyen o i lu l ng bo pha n nay se hie n th gia tr lu l ng dong chay chnh xa c
va truyen thong so na y ve cho PLC x ly d i dang dong ien t 0/4 20 mA tuy
theo gia tr ln nho cu a lu lng n c.

PLC nhan 2 ngo va o analog la bo chuye n oi lu lng va cam bien, tuy thuo c vao
chng trnh phan mem ieu khien c vie t san ma PLC se ieu khie n proportional
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 75
vale( vale ieu khien ty le ) e ong m gop m cu a van . Do o lu lng nc se
c ieu khie n 1 ca ch ty le vi dong ma PLC xua t ra t ngo ra AO.






















Hnh 5.16. S o kho i ieu khien m c n c.

5.4.2 S o khoi cua he thong t ong












Hnh 5.17. S o kho i cua he thong t ong
PS CPU DI DO AO AI
BO O MC
BO
O
LNG
V3
ON/OFF
BM
V2 (0%-100 %)
Van tuyen t nh
LIS1
V1
ON/ OFF
4
-
2
0
m
A
PQW288 PIW272
Start /
Stop
I
0
.
0
I
0
.
1
4
-
2
0
m
A
1
-
1
0
0
c
m
V4
Van xa
Bon 1
Bon 2
Q
0
.
2
Q
0
.
1
PV
Fuzzy
control
Bon nc 2
Cam bien
-
SP
N
+ + +
ess
PID
(FB58)
Cam bien
o mc
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 76

PID control: c ieu khien thong qua cu c PLC
Bo n nc 2: la o i t ng ieu khien
Cam bien o : cam bie n o mc n c d a va o ap lc e len be ma t t 0 0.1 bar e
a ra dong va ap tng ng t 4-20mA
Tn hie u nhie u e
ss
do tac ong cu a van xa
Trong o : SP : la gia tr t nhap vao ( c nhap va o t ben ngoai ).
PV : la gia tr hien tai co trong bo n n c (gia tr c oc t vung nh vao analog)
Ta lay gia tr hien tai PV so vi gia tr a vao SP ta c e
ss
= SP-PV
S o ie u khien





5.4.3. Khai Bao Thong So Phan C ng:






















Hnh 5.18. Khai bao phan cng cho tram PLC

Slot 1
Nguon 230VAC
- 24VDC
PS 307 5A
6ES7 307 -
1EA00-0AA0
Slot 2 va slot 3
CPU 315
(S7-300)
CPU 315- 2DP
6ES7 315 -
2AF03-0AB0-
V1.2
Slot 4
8DI/D0 x 24V/
0.5A
6ES7 323 -
1BH00-0AA0
Slot 5
AI 2x 12 bit
(4-20 mA)
6ES7 331-
7KB02-0AB0
Slot 6
A0 2x 12 bit
(4-20 mA)
6ES7 332 -
5HB01-0AB0
AI
A/D
IN
4-20 mA
Vung
nh
So thc So thc
PIW PQW
A0
D/A
FC105 FB 30 FC106
Tn hieu
ra
FB58

Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 77
Bang a ch vao ra

a Ch Ten thiet b
I0.0 S1 Nut Start/Stop
I0.1 LIS1 Cam bien phat hien ngng tren
Q0.0 en bao trang thai Start/ Stop
Q0.1 Van V3 va Bm
Q0.2 Van V1
AI 0 LIS1 Cam bien o mc 420mA
AI 1 FIS1 Cam bien o lu lng 420mA
AO 0 V2 Van tuyen tnh

Phan mem ieu khien

















OB1:
Network 1
A "Start/Stop push button"
FN M 0.0
S "Start/Stop push lamp"
S "Pump_Valve3"
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 78

Network 2
A "Level_Switch"
= "V1"

Network3
// Lay gia tri hien thoi cua level

CALL "SCALE"
IN :=MW10
HI_LIM :=1.000000e+002
LO_LIM :=0.000000e+000
BIPOLAR:=FALSE
RET_VAL:=MW12
OUT :="Curr_Level"

L "Curr_Level"
T "db58".PV_IN

// Lay SP cua PID Controller


L "Level_SP"
T "db58".SP_INT

CALL "TCONT_CP" , "db58"
PV_IN :=
PV_PER :=
DISV :=
INT_HPOS:=
INT_HNEG:=
SELECT :=1
PV :=
LMN :=
LMN_PER :=
QPULSE :=
QLMN_HLM:=
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 79
QLMN_LLM:=
QC_ACT :=
CYCLE :=
CYCLE_P :=
SP_INT :=
MAN :=
COM_RST :=
MAN_ON :=

L "db58".LMN_PER
T "Proportional_Valve"



// Lay % do mo vua Van V2

L "db58".LMN
T "Op_Per_V2"

Network 4

A "db58".PID_ON
S M 0.2
S "db58".LOAD_PID

Network 5

A "Start/Stop push lamp"
A "Start/Stop push button"
FN M 0.1
R "Start/Stop push lamp"
R "Pump_Valve3"


OB100:

Network1:
Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M
Trang 80
SET
R "db58".MAN_ON
R "db58".PVPER_ON

DB58:

























Truong DH SPKT TP. HCM http://www.hcmute.edu.vn
Thu vien DH SPKT TP. HCM - http://www.thuvienspkt.edu.vn
B
a
n

q
u
y
e
n


T
r
u
o
n
g

D
H

S
u

p
h
a
m

K
y

t
h
u
a
t

T
P
.
H
C
M

You might also like