You are on page 1of 30

5

MODEL:

REV:

ECN NO

MODEL : ZR1 MB
PAGE

1A

FIRST RELEASE

E200604-0013
E200605-0194

2A

PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE

02
03
06
10
11
13
16
17

:
:
:
:
:
:
:
:

E200605-3569
E200605-6558

PAGE
PAGE
PAGE
PAGE
PAGE
PAGE

18
20
21
22
23
24

:
:
:
:
:
:

ADD EMI SOLUTION


MODIFY MDC NUT / UN-STUFF CPURST# PU R151
STUFF LIBG R430 FOR 940GML / MOVE TMDS PU AT NB SIDE
ADD LCD PIN 31 & 33 TO GND FOR EMI / MODIFY S-VIDEO CONNECTOR P/N
MODIFY RTC BATTERY CONNECTOR / ADD MB GPIO FOR FACTORY IDENTIFY / REMOVE SUSCLK FOR SMSC SIO
MODIFY VMAIN_AVAL TO +3V_S5 / UN-STUFF R28 & R29 FOR LAN LED NO ACTIVE ISSUE
ADD MINI-CARD BYPASS CAPACITOR BY ACER REQUEST / ALWAYS STUFF D11 FOR MINI-CARD W/L CAN'T ENABLE
ADD L64 ON SPDIF FOR EMI / MODIFY BEEP NOISE ( R281 ) / ADD C695,C696 FOR REDUCE LINE IN NOISE / MODIFY SPDIF CIRCUIT
MODIFY R522,R524 FOR REDUCE DISTORTION / RESERVE R541,R542,R543 FOR NOISE REDUCTION / STUFF U41 / UN-STUFF D30 FOR POP ISSUE
MODIFY RF LED ; EMAIL LED ; IDE LED CIRCUIT / MODIFY TP PIN DEFINE
MODIFY SIO TO NS87383 / ADD RGB EMI SOLUTION / RESERVE DVI EMI SOLUTION / UN-STUFF TMDS PU AT DOCKING SIDE
MODIFY PL2,PL5 TO 2R5 FOR REDUCE POWER RIPPLE & INCREASE POWER BUGET
SOLVE CPU VCORE UN-STABLE ISSUE TO CHANGE SERVAL COMPONENT VALUE
MODIFY PL8 TO 2R5 FOR COST DOWN / ADD PC16,PC21 FOR PWM STABILITY / UN-STUFF PR21 FOR WRONG PU POWER LEVEL
MODIFY PR30 FOR NVVDD POWER QUALITY

PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE
PAGE

02
03
04
06
08
09
10
11
13
14
15
16
17
18
20
21
22
23
24
25

:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:

MODIFY C509,C503,C491,C498 to 4.7uF


REMOVE R102 0 ohm
Modify C187 to 330uF , remove C222
MODIFY C605,C590,C609 to 4.7uF / Add EMI solution C705 ~ C708
MODIFY L22,L23,Add PC166,C712~C715 for TV noise / Modify C327,C594 to 330uF;C158,C548 to 10uF / remove R449,R451 0 ohm
Remove C76,C88 / modify C75 to 100uF
Modify TV filter circuit / modify LCD VCC circuit
Add media board GPIO pin
Modify C99,C97,C98,C61,C79 to 4.7uF
Remove R497,R222 0 ohm / modify C390,C391,C405,C412 to 4.7uF
Modify C642,C409 to 4.7uF / modify R163,R164,R161,R153 to RN92,RN93
Modify R452,R454 to RN91, R389,R392 to RN90
Modify C651,C430,C440,C658,C666 to 4.7uF / Modify SPDIF circuit
Remove R208,R510 for ODD / Remove R459,R106 0 ohm
Modify SIO clock & strap R303
Remove PR110,PR155,PR114,PR106,PR153 0 ohm / Add PC167 for TV noise
Remove PR149 0 ohm
Remove PR24 0 ohm
Remove NVVDD switching voltage circuit / remove PR135,PR100 0 ohm
Remove PR28 0 ohm

ZR1
MotherBoard

3A

CHANGE LIST:

FROM

TO

1A

2A

3A

2A

3A

1A

3A

1A

2A

1A

1A

3A

1A

3A

10

2A

3A

11

2A

3A

12

1A

13

2A

3A

14

1A

3A

15

1A

3A

16

2A

3A

17

2A

3A

18

2A

3A

19

1A

20

2A

3A

21

2A

3A

22

2A

3A

23

2A

3A

24

2A

3A

25

1A

3A

26

1A

27

1A

28

1A

29

1A

3A

PROJECT : ZR1

APPROVE BY: JIM HSU

DRAWING BY:JACKY CHENG

REV 3A

MB ASSY'S P/N :
31ZR1MB0008,16,24,32,41,59,83,91,B4

PROJECT LEADER: JIM HSU

DOCUMENT NO: 204

DATE :2006/06/26

COVER SHEET 1 OF 1

Quanta Computer Inc.


5

ZR1 Block Diagram

HOST 100/133MHz
PCI-E 100MHz

GDDR2
Page : 27

VGA 96MHz

CLOCK GEN
ICS954310

PCIE

Yonah / Merom

USB 48MHz

INTEL Mobile_479 CPU

PCI 33MHz

Page : 3,4

REF 14MHz

Page : 2

5V / 3.3V / 10V

3V_591

Page : 21

+3V_S5

Channel A

DDR2-SODIMM
Page : 9

+3VSUS

DDR2
667/533MHz

+5VSUS

Channel B

DDR2-SODIMM

DVO

TVOUT

Calistoga
945GM / 945PM
/ 940GML
1466 BGA

LVDS
DVO

DMI I/F

ATA 66/100

Page : 18

SATA-HDD

+0.9VSUS

MINI CARD

MP^

MINI PCI

SA^

SATA

PA^

PATA

FW^

1394
NO 1394

GL^

GIGA LAN

Page : 27

NL^

10/100 LAN

BT^

BLUETOOTH

CRT

DOCKING

Page : 10

Page : 20

EVDV^

nVIDIA DVO

DJ^

MEDIA KEY

SVC^

NC FOR SINGLE VCORE

NDVC^

STUFF FOR SINGLE VCORE

ICH7-M

PCI BUS
USB0,1,2

USB 2.0

MARVELL
10-100/1G LAN
88E8038 /
88E8055

RJ45

TI

1394

Page : 13

Page : 13

SYSTEM USB
3 PORT
Page : 16

Page : 11,12

LPC

Page : 6,20

Azalia

Page : 18

+VCCP

Page: 15

PCIE

NVVDD

EZ4

MC^

GDDR2

PCIe

SATA

+0.9V

+2.5V

NO DOCKING

EZ^

DVO

CH7307

652 BGA

+1.8V

+1.2V

UMA

NZ^

14.1" W LVDS

Page : 6

HDD & ODD

+1.8VSUS

Page : 24

nVIDIA

IV^

Page : 10

+5V_S5

NVVDD / +1.2V

IR

EV^

CHRONTEL

15V

Page : 23

CH7307

IR^

NFW^

Page : 10

RGB

Page : 5 ~ 8

Page : 9

+5V

1.8V / 0.9V / VCCP

GDDR2
Page : 27

S-VIDEO

+3V
C

Page : 27

Page : 26 ~ 29

HOST BUS
667/533MHz

5VPCU

GDDR2

NVIDIA
G72M-V
533 BGA
23X23 PACKAGE

IDV^

5 IN 1
MMC/SD/MS/MS PRO/XD

PCI8412
PCI6412

USB4

Page: 15

BLUETOOTH
Page : 16

NS

SIO
NS
87383

KBC(87541V)

CPU CORE / 1.5V

VCC_CORE

Page : 22

+1.5V

Page : 19

Page : 20

MINICARD

Page : 14,15
Page : 16

PCMCIA TypeII

EZ4

Page: 14

Page : 20

MINI-PCI
USB6

Touchpad

BATTERY
CHARGER

DOCKING
COM

Page : 18

Camera

Page : 20

Page : 16

Page : 16

Page : 25

Keyboard

DOCKING
PRINTER

Page : 19

DOCKING
PS2
Page : 20

Page : 20

Azalia
MDC

FIR

Page : 17

RJ11

MB PCB P/N
DA0ZR1MB6A6
DA0ZR1MB6B4

Page : 13

Page : 20

AUDIO CODEC
ALC883

AMP
MAX4411

AMP
MAX9710

Page : 17

Page : 17

Page : 17

HP
OUT

INT
SPK

DC PCB P/N
DA0ZR1PB6A7
DA0ZR1PB6B5

DJ PCB P/N
DA0ZR1AB6A5
DA0ZR1AB6B3
A

PROJECT : ZR1
PCI ROUTING TABLE
REQ2# / GNT2#
REQ0# / GNT0#

IDSEL
AD19
AD25
5

INTERRUPT
INTC#,INTD#
INTE#,INTF#,INTG#

DOCKING
AUDIO

DEVICE
MINI PCI
TI XX12
4

MIC

LINE
IN

Quanta Computer Inc.


Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
1A

BLOCK DIAGRAM
3

Sheet
1

of

30

CLOCK GENERNATOR
R71

14M_SIO

IR^12.1/F_4

14M_SIO 20

CLK_PCIE_LAN
CLK_PCIE_LAN#

RN23 1
3

2 49.9/F_4P2R
4

CLK_PCIE_VGA
CLK_PCIE_VGA#

RN33 3
1

4 EV^49.9/F_4P2R
2

HCLK_CPU
HCLK_CPU#

RN39 1
3

2 49.9/F_4P2R
4

HCLK_MCH
HCLK_MCH#

RN35 1
3

2 49.9/F_4P2R
4

CLK_PCIE_ICH
CLK_PCIE_ICH#

RN27 1
3

2 49.9/F_4P2R
4

CLK_MCH_3GPLL
CLK_MCH_3GPLL#

RN31 1
3

2 49.9/F_4P2R
4

CLK_PCIE_EZ1
CLK_PCIE_EZ1#

RN30 3
1

4 EZ^49.9/F_4P2R
2

CLK_PCIE_EZ2
CLK_PCIE_EZ2#

RN16 3
1

4 EZ^49.9/F_4P2R
2

CLK_PCIE_EZ1
CLK_PCIE_EZ1#

C690
C691

EZ^10p_4
EZ^10p_4

CLK_PCIE_EZ2
CLK_PCIE_EZ2#

C692
C693

EZ^10p_4
EZ^10p_4

C117
1

CKG_XIN
33p_4

With SIO , stuff 12.1 ohm

U3
Y2
14.318MHz

58

REF0
REF1/FSC/TEST_SEL

60
61

14M_REF0
SELPSB2_CLK

R74

XOUT

CPUCLK0
CPUCLK0#

52
51

R_HCLK_CPU
R_HCLK_CPU#

RN40

4
2

3
1

33_4P2R

HCLK_CPU
HCLK_CPU#

SMCLK
SMDAT

CPUCLK1
CPUCLK1#

49
48

R_HCLK_MCH
R_HCLK_MCH#

RN36

4
2

3
1

33_4P2R

HCLK_MCH
HCLK_MCH#

DOT_96
DOT_96#

14
15

R_DOT96
R_DOT96#

RN42

2
4

1
3

IV^33_4P2R

DOT96
DOT96#

27FIX/LCD_SS/PCIE0
27SS/LCD_SS#/PCIE0#

17
18

R_DREFSSCLK
R_DREFSSCLK#

RN38

2
4

1
3

IV^33_4P2R

DREFSSCLK
DREFSSCLK#

TEST_MODE/FSB

PCIE1
PCIE1#

19
20

R_PCIE_VGA
R_PCIE_VGA#

RN34

2
4

1
3

EV^33_4P2R

CLK_PCIE_VGA
CLK_PCIE_VGA#

IREF

PCIE2
PCIE2#

22
23

R_PCIE_EZ1
R_PCIE_EZ1#

RN29

2
4

1
3

EZ^33_4P2R

CLK_PCIE_EZ1
CLK_PCIE_EZ1#

VDDPCI1
VDDPCI2

PCIE3
PCIE3#

24
25

R_PCIE_MINICARD
R_PCIE_MINICARD#

RN25

2
4

1
3

MC^33_4P2R

CLK_PCIE_MINICARD
CLK_PCIE_MINICARD#

PCIE4
PCIE4#

30
31

R_PCIE_EZ2
R_PCIE_EZ2#

RN15

2
4

1
3

EZ^33_4P2R

CLK_PCIE_EZ2
CLK_PCIE_EZ2#

RN24

4
2

3
1

33_4P2R

CLK_PCIE_LAN
CLK_PCIE_LAN#

4
2

3
1

33_4P2R

XIN

C115
2

CKG_XOUT

57

SMBCK
SMBDT

54
55

CLK_EN#

10

VTT_PWRGD#/PD

STP_CPU#

62

CPU_STOP#

STP_PCI#

63

PCI/PCIE_STOP#

SELPSB1_CLK

16
47

33p_4

11,22 CLK_EN#
11 STP_CPU#
11 STP_PCI#

R347

CLK_IREF

475/F_4

L38
VDDCKGPCI

+3V
BK1608HS220_6_1A

REV:3A
MODIFY

C509

C508

C505

C507

C506

4.7u/6.3V_6

.1u/16V_4

.1u/16V_4

.01u/16V_4

.01u/16V_4 2
6

R357

VDDCKGREF

1/F

C504
R353

.01u/16V_4

VDDCKG48

2.7_6

REV:3A
MODIFY

C503
4.7u/6.3V_6

C492

C494

C499

C497

C495

C493

4.7u/6.3V_6

.1u/16V_4

.1u/16V_4

.01u/16V_4

.01u/16V_4

.01u/16V_4

.01u/16V_4

36
35

R_PCIE_LAN
R_PCIE_LAN#

59

GND7

PCIE6
PCIE6#

39
38

R_PCIE_ICH
R_PCIE_ICH#

11

VDD48

PEREQ1#/PCIE7
PEREQ2#/PCIE7#

41
40

PEREQ1#
PEREQ2#

ITPCLK/PCIE8
ITPCLK#/PCIE8#

44
43

R_MCH_3GPLL
R_MCH_3GPLL#

RN32

4
2

3
1

33_4P2R

CLK_MCH_3GPLL
CLK_MCH_3GPLL#

SATACLK
SATACLK#

26
27

R_PCIE_SATA
R_PCIE_SATA#

RN17

2
4

1
3

SA^33_4P2R

CLK_PCIE_SATA
CLK_PCIE_SATA#

ITP_EN/PCICLK0
*SELLCD_27#/PCICLK1
**REQ_SEL/PCICLK2
PCICLK3
PCICLK4
*SELPCIE0_LCD#/PCICLK5

8
9
64
3
4
5

R_PCLK_ICH
R_PCLK_SIO

R68
R67

33_4
IR^33_4

PCLK_ICH
PCLK_SIO

R_PCLK_MINI
R_PCLK_PCM
R_PCLK_591

R75
R72
R69

33_4
33_4
33_4

PCLK_MINI
PCLK_PCM
PCLK_591

USB_48/FSA

12

SELPSB0_CLK

R66

12.1/F_4

CLK48_USB

R351

12.1/F_4

CLK48_PCM

*PEREQ3#

32

EZ_CLKREQ#

*PEREQ4#

33

MINI_CLKREQ#

*PWRSAVE#

34

21
28
42
50

+3V

VDDACKG

2.7_6

REV:3A
MODIFY

BK1608HS220_6_1A

C498

C496

4.7u/6.3V_6

.01u/16V_4

45

46

GND3
VDDPCIEX1
VDDPCIEX2
VDDPCIEX3
VDDCPU

GND4
GND5
GND6
VDDA

RN28

HCLK_CPU 3
HCLK_CPU# 3
HCLK_MCH 5
HCLK_MCH# 5
DOT96 5
DOT96# 5

PCIE5
PCIE5#

29
37
53

L37

14M_ICH 11

VDDREF

.01u/16V_4
13

C491

R343

GND1
GND2

33_4

56

C501

VDDCKGCPU

REV:3A
MODIFY

1
7

14M_ICH

CLK_PCIE_ICH
CLK_PCIE_ICH#

DREFSSCLK 5
DREFSSCLK# 5
CLK_PCIE_VGA 26
CLK_PCIE_VGA# 26
CLK_PCIE_EZ1 20
CLK_PCIE_EZ1# 20

REV:2A MODIFY

CLK_PCIE_MINICARD 16
CLK_PCIE_MINICARD# 16

EMI

CLK_PCIE_MINICARD RN26 3
CLK_PCIE_MINICARD#
1

CLK_PCIE_EZ2 20
CLK_PCIE_EZ2# 20

4 MC^49.9/F_4P2R
2

nVIDIA : 0 OHM
UMA : 49.9 1% OHM

CLK_PCIE_LAN 13
CLK_PCIE_LAN# 13
CLK_PCIE_ICH 11
CLK_PCIE_ICH# 11

CLK_MCH_3GPLL 5
CLK_MCH_3GPLL# 5
CLK_PCIE_SATA 11
CLK_PCIE_SATA# 11

DOT96
DOT96#

RN41 3
1

4 49.9/F_4P2R
2

DREFSSCLK
DREFSSCLK#

RN37 3
1

4 49.9/F_4P2R
2

CLK_PCIE_SATA
CLK_PCIE_SATA#

RN18 3
1

4 49.9/F_4P2R
2

W/O SATA : 0 OHM


W SATA : 49.9 1% OHM

PCLK_ICH 11
PCLK_SIO 20
PCLK_MINI 15,16
PCLK_PCM 14
PCLK_591 19
CLK48_USB 11
CLK48_PCM 14

EZ_CLKREQ# 20
MINI_CLKREQ# 16

PCLK_591
PCLK_ICH
PCLK_PCM
PCLK_SIO
PCLK_MINI

C118
C116
C120
C694
C123

IR^10p_4
*10p_4
*10p_4
10p_4
*10p_4

14M_ICH
14M_SIO
CLK48_USB
CLK48_PCM

C122
C119
C502
C500

10p_4
IR^10p_4
*10p_4
10p_4

REV:2A MODIFY

EMI

GNDA
ICS954310BGLF
+3V

PEREQ1#
PEREQ2#
PEREQ3#
PEREQ4#

R65
2

+3V

11,16,20 PDAT_SMB

4.7K_4

Q25

SMBDT

SMBDT 9

-->
-->
-->
-->

PCIE0
PCIE1
PCIE2
PCIE3

&
&
&
&

+3V

PCIE6
PCIE8
PCIE4
PCIE5 & PCIE7

+3V
+3V

R341

8.2K_4

PEREQ1#

R340

8.2K_4

PEREQ2#

R339

8.2K_4

MINI_CLKREQ#

R57

8.2K_4

EZ_CLKREQ#

R356

8.2K_4

R_PCLK_591

R355

8.2K_4

R_PCLK_ICH

R354

8.2K_4

R_PCLK_SIO

LCD / PCI SELECT


0: LCD 1: PCI

2N7002

ITP/SRC7 SELECT
0: SRC7 1: ITP

R64
2

+3V

11,16,20 PCLK_SMB

4.7K_4

Q24

+3V

SMBCK

2N7002

FSB SETTING

SMBUS Address : D2 ( read ) , D3 ( write )

FSC FSB FSA


+VCCP
+VCCP

+VCCP
R358
R344

R350

*1K_4
*1K_4

*1K_4
R349
4

FSA

R346

SELPSB0_CLK

BSEL0
R348

1K_4

*1K_4

5 MCH_BSEL0

R77

FSB
4

SELPSB1_CLK

BSEL1
4.7K_4

5 MCH_BSEL1

FSC
SELPSB2_CLK

BSEL2

0
0
0
0
1
1
1
1

0
0
1
1
0
0
1
1

0
1
0
1
0
1
0
1

CPU

PCIE

266
133
200
166
333
100
400
200

100
100
100
100
100
100
100
100

PCI
33
33
33
33
33
33
33
33

4.7K_4

4.7K_4
R352

SELLCD_27# SELECT
0: 27MSS 1: LCD
CLK

SMBCK 9

R342

R345

1K_4

*1K_4

R359

R76

1K_4

*1K_4

PROJECT : ZR1
CFG[2,1,0]

5 MCH_BSEL2

STUFF 0 FOR 533MHz


NC FOR 667MHz

Quanta Computer Inc.

001=PSB533
011=PSB667

Size

Document Number

Rev
3A

CLOCK GENERATOR
Date:
5

Wednesday, August 02, 2006

Sheet
1

of

30

5 HBREQ0#
5
BPRI#
5
BNR#
5 HLOCK#
5
HIT#
5
HITM#
5 DEFER#

5 HTRDY#
5
RS#0
5
RS#1
5
RS#2

T1

R86

ADS#

IERR#

D20

IERR#

F1
G5
E2
H4

BREQ0#
BPRI#
BNR#
LOCK#

ARBITRATION
PHASE
SIGNALS

HIT#
HITM#
DEFER#

G6
E4
H5

HIT#
HITM#
DEFER#

SNOOP PHASE
SIGNALS

HTRDY#
RS#0
RS#1
RS#2

AD4
AD3
AD1
AC4
G2
F3
F4
G3

BPM0#
BPM1#
BPM2#
BPM3#
TRDY#
RS0#
RS1#
RS2#

RESPONSE
PHASE
SIGNALS

A6
A5
C4
D6
A3

A20M#
FERR#
IGNNE#
PWRGOOD
SMI#

TCK
TDO
TDI
TMS
TRST#

AC5
AB3
AA6
AB5
AB6

TCK
TDO
TDI
TMS
TRST#

PREQ#

AC1
AC2
C20

PREQ#
PRDY#
DBR#

INTR
NMI
STPCLK#
CPUSLP#_NB
DPSLP#
DPRSLP#

C6
B4
D5
D7
B5
E5

LINT0
LINT1
STPCLK#
SLP#
DPSLP#
DPRSTP#

THERMDA
THERMDC

A24
A25

THERMDA
THERMDC

R_THERMTRIP#

C7

CPU_PROCHOT#

75_4

ERROR
SIGNALS

HBREQ0#
BPRI#
BNR#
HLOCK#

DBR#

11 DBR#

11
INTR
11
NMI
11 STPCLK#
5 CPUSLP#_NB
11 DPSLP#
11,22 DPRSLP#

+VCCP

H1

A20M#
FERR#
IGNNE#
CPUPWRGD
SMI#

11 A20M#
11 FERR#
11 IGNNE#
11 CPUPWRGD
11
SMI#

REV:3A MODIFY

ADS#

D21

THERMTRIP#

DSTBN0#
DSTBP0#
DSTBN1#
DSTBP1#
DSTBN2#
DSTBP2#
DSTBN3#
DSTBP3#

PC
COMPATIBILITY
SIGNALS

DIAGNOSTIC
& TEST
SIGNALS

EXECUTION
CONTROL
SIGNALS

J26
M26
V23
AC20

HDBI0#
HDBI1#
HDBI2#
HDBI3#

DBSY#
DRDY#

E1
F21

DBSY#
DRDY#

BCLK1#
BCLK0

A21
A22

HCLK_CPU#
HCLK_CPU

INIT#

B3

CPUINIT#

RESET#

B1

CPURST#

*54.9/F_4

IERR#

R105

56_4

TDI

R149

54.9/F_4

TMS

R148

54.9/F_4

125 degree protection

2N7002
C

+VCCP

R167
R162
1K_4

56_4
R155
R_THERMTRIP#

RR_THERMTRIP#

33_4

Q11
+3V

1999_SHT# 21

MMBT3904

+3V

R84

R83

1K_4

330_4
ICH_PROCHOT# 11

HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#

5
5
5
5
5
5
5
5

CPU_N2

HDBI0#
HDBI1#
HDBI2#
HDBI3#

Q5

MMBT3904
R85
CPU_N1

CPU_PROCHOT#

Q6

MMBT3904

5
5
5
5

+3V

SMBUS Address : 98

DBSY# 5
DRDY# 5
HCLK_CPU# 2
HCLK_CPU 2

R81

R78

10K_4

2.2K_4

U4

THERMAL DIODE

DPWR#

D24

DPWR#

CPUINIT# 11

KBSMDAT
KBSMCLK

7
8

SDAT
SCLK

3V_THM

VCC

R82

CPURST# 5
+3V
DPWR# 5

47_6

PROCHOT#

C128
5

GND

OVT

MAX6648_OV#

ALERT

MAX6648_AL#

DXP

DXN

MAX6648_OV# 15
MAX6648_AL# 19

THERMDA
C127
2200p_4

G781

TDO

R147

*54.9/F_4

CPURST#

R151

*54.9/F_4

R80

TCK

R145

54.9/F_4

TRST#

R146

54.9/F_4

MBDATA

3
Q4

1
2N7002

KBSMDAT

MAXIM 6657 : AL006657020


GMT G781 : AL000781101

19,25 MBCLK

REV:2A MODIFY
4

PROJECT : ZR1
+3V

R79

10K_4

Quanta Computer Inc.

MBCLK

3
Q3

1
2N7002

KBSMCLK

Size

Document Number

Rev
3A

CPU ( HOST )
Date:

Width : 10mil
Length <
500mil

10K_4

2
19,25 MBDATA

PREQ#

HOLE8
DCB_HOLE

Q10
2

5,11,19,22 IMVP_PWRGD

+3V

54.9/F_4

HOLE4
DCB_HOLE

HOLE23
MDC_HOLE

HOLE20
HOLE22
HOLE21
MC^MC_HOLE MC^MC_HOLE MDC_HOLE

+VCCP

479P_SOCKET

R150

8
1
9

HOLE14
VGA_HOLE

HOLE15
VGA_HOLE

R99

HOLE9
*H-C276D118P2

REV:2A MODIFY

+VCCP

DBR#

HOLE6
*Hole

THERMDC

8
1
9

8
1
9
EMIPAD

HOLE13
CPU_HOLE

.1u/16V_4

22 CPU_PROCHOT#

PAD3

EMIPAD

HOLE12
CPU_HOLE

HOLE16
CPU_HOLE

330_4
DINV0#
DINV1#
DINV2#
DINV3#

8
1
9

8
1
9

8
1
9
8
1
9

H23
G22
M24
N25
W24
Y25
AD23
AE24

HDSTBN0#
HDSTBP0#
HDSTBN1#
HDSTBP1#
HDSTBN2#
HDSTBP2#
HDSTBN3#
HDSTBP3#

PAD2

EMIPAD

ADS#

PAD1

HOLE24
*H-C276D118P2-8
2
5
3
6
4
7

HOLE25
*H-C276D118P2-8
5
6
7

2
3
4

HOLE3
*H-C276D118P2-8
2
5
3
6
4
7

AUDGND
HOLE17
CPU_HOLE

REQ0#
REQ1#
REQ2#
REQ3#
REQ4#

8
1
9

K3
H2
K2
J3
L5

HOLE10
*H-C276D118P2-8
2
5
3
6
4
7

8
1
9

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

HOLE7
*H-C276D118P2-8
2
5
3
6
4
7

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

HOLE11
*H-C276D118P2-8
2
5
3
6
4
7

5
5
5
5
5

HOLE19
*H-C276D118P2-8
2
5
3
6
4
7

ADSTB0#
ADSTB1#

HOLE27
*H-C276D118P2-8
2
5
3
6
4
7

L2
V4

DATA
PHASE
SIGNALS

REQUEST
PHASE
SIGNALS

HOLE26
*H-C276D118P2-8
2
5
3
6
4
7

HOLE1
*H-C276D118P2-8
2
5
3
6
4
7

5 HADSTB0#
5 HADSTB1#

1 OF 3

D0#
D1#
D2#
D3#
D4#
D5#
D6#
D7#
D8#
D9#
D10#
D11#
D12#
D13#
D14#
D15#
D16#
D17#
D18#
D19#
D20#
D21#
D22#
D23#
D24#
D25#
D26#
D27#
D28#
D29#
D30#
D31#
D32#
D33#
D34#
D35#
D36#
D37#
D38#
D39#
D40#
D41#
D42#
D43#
D44#
D45#
D46#
D47#
D48#
D49#
D50#
D51#
D52#
D53#
D54#
D55#
D56#
D57#
D58#
D59#
D60#
D61#
D62#
D63#

HD#[63..0] 5

8
1
9

HADSTB0#
HADSTB1#

YONAH/MEROM

HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

HOLE2
*H-C276D118P2-8
2
5
3
6
4
7

8
1
9

A3#
A4#
A5#
A6#
A7#
A8#
A9#
A10#
A11#
A12#
A13#
A14#
A15#
A16#
A17#
A18#
A19#
A20#
A21#
A22#
A23#
A24#
A25#
A26#
A27#
A28#
A29#
A30#
A31#

HD#[63..0]
E22
F24
E26
H22
F23
G25
E25
E23
K24
G24
J24
J23
H26
F26
K22
H25
N22
K25
P26
R23
L25
L22
L23
M23
P25
P22
P23
T24
R24
L26
T25
N24
AA23
AB24
V24
V26
W25
U23
U25
U22
AB25
W22
Y23
AA26
Y26
Y22
AC26
AA24
AC22
AC23
AB22
AA21
AB21
AC25
AD20
AE22
AF23
AD24
AE21
AD21
AE25
AF25
AF22
AF26

J4
L4
M3
K5
M1
N2
J1
N3
P5
P2
L1
P4
P1
R1
Y2
U5
R3
W6
U4
Y5
U2
R4
T5
T3
W3
W5
Y4
W2
Y1

HOLE5
*H-C276D118P2-8
2
5
3
6
4
7

8
1
9

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

HOLE18
*H-C276D118P2-8
2
5
3
6
4
7

8
1
9

HA#[31..3]

5 HA#[31..3]

CPU

U32A

Wednesday, August 02, 2006

Sheet
1

of

30

CPU
R26
U26
U1
V1

COMP0
COMP1
COMP2
COMP3

GTLREF
Width : 5mil
Length < 500mil

YONAH /
MEROM

1K/F_4
GTLREF

AD26

GTLREF0

R90
R88
R87

TEST1
TEST2

*1K/F_4
51/F_4

2K/F_4

+1.5V
C130

C133

10u/6.3V_6

.01u/16V_4

+VCCP

C207

C569

C571

C570

C537

C535

C536

330u/2.5V_7343

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

22
22
22
22
22
22
22

BSEL0
BSEL1
BSEL2

22

PSI#

CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5
CPU_VID6

22 VCCSENSE
22 VSSSENSE

TEST1
TEST2

B26

VCCA

V6
G21
J6
K6
M6
J21
K21
M21
N21
N6
R21
R6
T21
T6
V21
W21

VCCP00
VCCP01
VCCP02
VCCP03
VCCP04
VCCP05
VCCP06
VCCP07
VCCP08
VCCP09
VCCP10
VCCP11
VCCP12
VCCP13
VCCP14
VCCP15

AA1
AA4
AB2
AA3
M4
N5
T2
V3
B2
C3
B25
T22
D2
F6
D3
C1
AF1
D22
C23
C24

RSVC00
RSVC01
RSVC02
RSVC03
RSVC04
RSVC05
RSVC06
RSVC07
RSVC08
RSVC09
RSVC10
RSVC11
RSVC12
RSVC13
RSVC14
RSVC15
RSVC16
RSVC17
RSVC18
RSVC19

BSEL0
BSEL1
BSEL2

B22
B23
C21

BSEL0
BSEL1
BSEL2

PSI#

AE6

PSI#

CPU_VID0
CPU_VID1
CPU_VID2
CPU_VID3
CPU_VID4
CPU_VID5
CPU_VID6

AD6
AF5
AE5
AF4
AE3
AF2
AE2

VID0
VID1
VID2
VID3
VID4
VID5
VID6

VCCSENSE

AF7

VCCSENSE

VSSSENSE

AE7

VSSSENSE

2
2
2

C26
D25

2 OF 3
POWER,
RESERVED
SIGNALS

VCC00
VCC01
VCC02
VCC03
VCC04
VCC05
VCC06
VCC07
VCC08
VCC09
VCC10
VCC11
VCC12
VCC13
VCC14
VCC15
VCC16
VCC17
VCC18
VCC19
VCC20
VCC21
VCC22
VCC23
VCC24
VCC25
VCC26
VCC27
VCC28
VCC29
VCC30
VCC31
VCC32
VCC33
VCC34
VCC35
VCC36
VCC37
VCC38
VCC39
VCC40
VCC41
VCC42
VCC43
VCC44
VCC45
VCC46
VCC47
VCC48
VCC49
VCC50
VCC51
VCC52
VCC53
VCC54
VCC55
VCC56
VCC57
VCC58
VCC59
VCC60
VCC61
VCC62
VCC63
VCC64
VCC65
VCC66
VCC67
VCC68
VCC69
VCC70
VCC71
VCC72
VCC73
VCC74
VCC75
VCC76
VCC77
VCC78
VCC79
VCC80
VCC81
VCC82
VCC83
VCC84
VCC85
VCC86
VCC87
VCC88
VCC89
VCC90
VCC91
VCC92
VCC93
VCC94
VCC95
VCC96
VCC97
VCC98
VCC99

A7
A9
A10
A12
A13
A15
A17
A18
A20
B7
B9
B10
B12
B14
B15
B17
B18
B20
C9
C10
C12
C13
C15
C17
C18
D9
D10
D12
D14
D15
D17
D18
E7
E9
E10
E12
E13
E15
E17
E18
E20
F7
F9
F10
F12
F14
F15
F17
F18
F20
AA7
AA9
AA10
AA12
AA13
AA15
AA17
AA18
AA20
AB9
AC10
AB10
AB12
AB14
AB15
AB17
AB18
AB20
AB7
AC7
AC9
AC12
AC13
AC15
AC17
AC18
AD7
AD9
AD10
AD12
AD14
AD15
AD17
AD18
AE9
AE10
AE12
AE13
AE15
AE17
AE18
AE20
AF9
AF10
AF12
AF14
AF15
AF17
AF18
AF20

YONAH/MEROM

C233

C214

C243

C559

C201

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

C187

A4
A8
A11
A14
A16
A19
A23
A26
B6
B8
B11
B13
B16
B19
B21
B24
C5
C8
C11
C14
C16
C19
C2
C22
C25
D1
D4
D8
D11
D13
D16
D19
D23
D26
E3
E6
E8
E11
E14
E16
E19
E21
E24
F5
F8
F11
F13
F16
F19
F2
F22
F25
G4
G1
G23
G26
H3
H6
H21
H24
J2
J5
J22
J25
K1
K4
K23
K26
L3
L6
L21
L24
M2
M5
M22
M25
N1
N4
N23
N26
P3

R89

COMP0
COMP1
COMP2
COMP3

27.4/F_4
54.9/F_4
27.4/F_4
54.9/F_4

VCC_CORE

+
330u/2.5V_7343
2

R362
R363
R144
R143

U32C

U32B

CAPACITOR HEIGHT:1.25 +/ 0.2 mm

REV:3A
MODIFY
1

+VCCP

C574

C553

C544

C534

C552

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

C244

C177

C564

C165

C557

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

C222

*330u/2.5V_7343
2

COMP0 ~ COMP3
Width : 20mil
Length < 500mil

C248

C179

C543

C200

C563

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

C572

C215

C164

C232

C538

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

10u/6.3V_8

GND000
GND001
GND002
GND003
GND004
GND005
GND006
GND007
GND008
GND009
GND010
GND011
GND012
GND013
GND014
GND015
GND016
GND017
GND018
GND019
GND020
GND021
GND022
GND023
GND024
GND025
GND026
GND027
GND028
GND029
GND030
GND031
GND032
GND033
GND034
GND035
GND036
GND037
GND038
GND039
GND040
GND041
GND042
GND043
GND044
GND045
GND046
GND047
GND048
GND049
GND050
GND051
GND052
GND053
GND054
GND055
GND056
GND057
GND058
GND059
GND060
GND061
GND062
GND063
GND064
GND065
GND066
GND067
GND068
GND069
GND070
GND071
GND072
GND073
GND074
GND075
GND076
GND077
GND078
GND079
GND080

3 OF 3
GROUND

GND081
GND082
GND083
GND084
GND085
GND086
GND087
GND088
GND089
GND090
GND091
GND092
GND093
GND094
GND095
GND096
GND097
GND098
GND099
GND100
GND101
GND102
GND103
GND104
GND105
GND106
GND107
GND108
GND109
GND110
GND111
GND112
GND113
GND114
GND115
GND116
GND117
GND118
GND119
GND120
GND121
GND122
GND123
GND124
GND125
GND126
GND127
GND128
GND129
GND130
GND131
GND132
GND133
GND134
GND135
GND136
GND137
GND138
GND139
GND140
GND141
GND142
GND143
GND144
GND145
GND146
GND147
GND148
GND149
GND150
GND151
GND152
GND153
GND154
GND155
GND156
GND157
GND158
GND159
GND160
GND161

P6
P21
P24
R2
R5
R22
R25
T1
T4
T23
T26
U3
U6
U21
U24
V2
V5
V22
V25
W1
W4
W23
W26
Y3
Y6
Y21
Y24
AA2
AA5
AA8
AA11
AA14
AA16
AA19
AA22
AA25
AB1
AB4
AB8
AB11
AB13
AB16
AB19
AB23
AB26
AC3
AC6
AC8
AC11
AC14
AC16
AC19
AC21
AC24
AD2
AD5
AD8
AD11
AD13
AD16
AD19
AD22
AD25
AE1
AE4
AE8
AE11
AE14
AE16
AE19
AE23
AE26
AF3
AF6
AF8
AF11
AF13
AF16
AF19
AF21
AF24

479P_SOCKET

479P_SOCKET

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Rev
3A

CPU ( POWER )
Date:
5

Wednesday, August 02, 2006

Sheet
1

of

30

NB_945GM/PM/940GML

HYRCOMP
HYSCOMP
HYSWING

Y1
U1
W1

H_YRCOMP
H_YSCOMP
H_YSWING

HCLK_MCH
HCLK_MCH#

AG2
AG1

HDBI0#
HDBI1#
HDBI2#
HDBI3#

H_DSTBN#_0
H_DSTBN#_1
H_DSTBN#_2
H_DSTBN#_3

K4
T7
Y5
AC4

HDSTBN0#
HDSTBN1#
HDSTBN2#
HDSTBN3#

H_DSTBP#_0
H_DSTBP#_1
H_DSTBP#_2
H_DSTBP#_3

K3
T6
AA5
AC5

HDSTBP0#
HDSTBP1#
HDSTBP2#
HDSTBP3#

H_HIT#
H_HITM#
H_LOCK#

D3
D4
B3

HIT#
HITM#
HLOCK#

H_REQ#_0
H_REQ#_1
H_REQ#_2
H_REQ#_3
H_REQ#_4

D8
G8
B8
F8
A8

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

H_RS#_0
H_RS#_1
H_RS#_2

B4
E6
D6

RS#0
RS#1
RS#2

H_CLKIN
H_CLKIN#

H_SLPCPU#
H_TRDY#

E3
E7

CPUSLP#_NB
HTRDY#

CLK_SDRAM0#
CLK_SDRAM1#
CLK_SDRAM3#
CLK_SDRAM4#
9
9
9
9

SM_CKE0
SM_CKE1
SM_CKE2
SM_CKE3

9
9
9
9

SM_CS0#
SM_CS1#
SM_CS2#
SM_CS3#

9
9
9
9

HDBI0#
HDBI1#
HDBI2#
HDBI3#

3
3
3
3
3
3
3
3

HDSTBP0#
HDSTBP1#
HDSTBP2#
HDSTBP3#

3
3
3
3

HIT# 3
HITM# 3
HLOCK# 3

HREQ#0
HREQ#1
HREQ#2
HREQ#3
HREQ#4

SM_CK_0
SM_CK_1
SM_CK_2
SM_CK_3

CLK_SDRAM0#
CLK_SDRAM1#
CLK_SDRAM3#
CLK_SDRAM4#

AW35
AT1
AY7
AY40

SM_CK#_0
SM_CK#_1
SM_CK#_2
SM_CK#_3

SM_CKE0
SM_CKE1
SM_CKE2
SM_CKE3

AU20
AT20
BA29
AY29

SM_CKE_0
SM_CKE_1
SM_CKE_2
SM_CKE_3

SM_CS0#
SM_CS1#
SM_CS2#
SM_CS3#

AW13
AW12
AY21
AW21

SM_CS#_0
SM_CS#_1
SM_CS#_2
SM_CS#_3

SM_ODT0
SM_ODT1
SM_ODT2
SM_ODT3

11
11
11
11

DMI_TXN0
DMI_TXN1
DMI_TXN2
DMI_TXN3

11
11
11
11

DMI_TXP0
DMI_TXP1
DMI_TXP2
DMI_TXP3

11
11
11
11

DMI_RXN0
DMI_RXN1
DMI_RXN2
DMI_RXN3

11
11
11
11

DMI_RXP0
DMI_RXP1
DMI_RXP2
DMI_RXP3

AL20
AF10

SM_OCDCOMP_0
SM_OCDCOMP_1

BA13
BA12
AY20
AU21

SM_ODT_0
SM_ODT_1
SM_ODT_2
SM_ODT_3

AV9
AT9

SM_RCOMP#
SM_RCOMP

AK1
AK41

SM_VREF_0
SM_VREF_1

AF33
AG33
A27
A26
C40
D41

G_CLKIN#
G_CLKIN
D_REFCLKIN#
D_REFCLKIN
D_REFSSCLKIN#
D_REFSSCLKIN

DMI_TXN0
DMI_TXN1
DMI_TXN2
DMI_TXN3

AE35
AF39
AG35
AH39

DMI_RXN_0
DMI_RXN_1
DMI_RXN_2
DMI_RXN_3

DMI_TXP0
DMI_TXP1
DMI_TXP2
DMI_TXP3

AC35
AE39
AF35
AG39

DMI_RXP_0
DMI_RXP_1
DMI_RXP_2
DMI_RXP_3

DMI_RXN0
DMI_RXN1
DMI_RXN2
DMI_RXN3

AE37
AF41
AG37
AH41

DMI_TXN_0
DMI_TXN_1
DMI_TXN_2
DMI_TXN_3

DMI_RXP0
DMI_RXP1
DMI_RXP2
DMI_RXP3

AC37
AE41
AF37
AG41

DMI_TXP_0
DMI_TXP_1
DMI_TXP_2
DMI_TXP_3

+0.9VSUS

2 CLK_MCH_3GPLL#
2 CLK_MCH_3GPLL
2 DOT96#
2 DOT96
2 DREFSSCLK#
2 DREFSSCLK

HDSTBN0#
HDSTBN1#
HDSTBN2#
HDSTBN3#

AY35
AR1
AW7
AW40

M_RCOMPN
M_RCOMPP

ADS# 3
HADSTB0# 3
HADSTB1# 3
BNR# 3
BPRI# 3
HBREQ0# 3
CPURST# 3
DBSY# 3
DEFER# 3
DPWR# 3
DRDY# 3

SM_ODT0
SM_ODT1
SM_ODT2
SM_ODT3

CLK_SDRAM0
CLK_SDRAM1
CLK_SDRAM3
CLK_SDRAM4

RSVD

J7
W8
U3
AB10

H_DINV#_0
H_DINV#_1
H_DINV#_2
H_DINV#_3

9
9
9
9

CLK_SDRAM0
CLK_SDRAM1
CLK_SDRAM3
CLK_SDRAM4

CLK_MCH_3GPLL#
CLK_MCH_3GPLL
DOT96#
DOT96
DREFSSCLK#
DREFSSCLK

CFG

ADS#
HADSTB0#
HADSTB1#
HVREF
BNR#
BPRI#
HBREQ0#
CPURST#
DBSY#
DEFER#
DPWR#
DRDY#
HVREF

U31B
9
9
9
9

PM

H_ADS#
H_ADSTB#_0
H_ADSTB#_1
H_VREF_0
H_BNR#
H_BPRI#
H_BREQ#0
H_CPURST#
H_DBSY#
H_DEFER#
H_DPWR#
H_DRDY#
H_VREF_1

E8
B9
C13
J13
C6
F6
C7
B7
A7
C3
J9
H8
K13

HA#[31..3] 3

DMI

H_XRCOMP
H_XSCOMP
H_XSWING

HA#3
HA#4
HA#5
HA#6
HA#7
HA#8
HA#9
HA#10
HA#11
HA#12
HA#13
HA#14
HA#15
HA#16
HA#17
HA#18
HA#19
HA#20
HA#21
HA#22
HA#23
HA#24
HA#25
HA#26
HA#27
HA#28
HA#29
HA#30
HA#31

CLK

2 HCLK_MCH
2 HCLK_MCH#

E1
E2
E4

H9
C9
E11
G11
F11
G12
F9
H11
J12
G14
D9
J14
H13
J15
F14
D12
A11
C11
A12
A13
E13
G13
F12
B12
B14
C12
A14
C14
D14

MUXING

HXRCOMP
HXSCOMP
HXSWING

H_A#_3
H_A#_4
H_A#_5
H_A#_6
H_A#_7
H_A#_8
H_A#_9
H_A#_10
H_A#_11
H_A#_12
H_A#_13
H_A#_14
H_A#_15
H_A#_16
H_A#_17
H_A#_18
H_A#_19
H_A#_20
H_A#_21
H_A#_22
H_A#_23
H_A#_24
H_A#_25
H_A#_26
H_A#_27
H_A#_28
H_A#_29
H_A#_30
H_A#_31

DDR

H_D#_0
H_D#_1
H_D#_2
H_D#_3
H_D#_4
H_D#_5
H_D#_6
H_D#_7
H_D#_8
H_D#_9
H_D#_10
H_D#_11
H_D#_12
H_D#_13
H_D#_14
H_D#_15
H_D#_16
H_D#_17
H_D#_18
H_D#_19
H_D#_20
H_D#_21
H_D#_22
H_D#_23
H_D#_24
H_D#_25
H_D#_26
H_D#_27
H_D#_28
H_D#_29
H_D#_30
H_D#_31
H_D#_32
H_D#_33
H_D#_34
H_D#_35
H_D#_36
H_D#_37
H_D#_38
H_D#_39
H_D#_40
H_D#_41
H_D#_42
H_D#_43
H_D#_44
H_D#_45
H_D#_46
H_D#_47
H_D#_48
H_D#_49
H_D#_50
H_D#_51
H_D#_52
H_D#_53
H_D#_54
H_D#_55
H_D#_56
H_D#_57
H_D#_58
H_D#_59
H_D#_60
H_D#_61
H_D#_62
H_D#_63

HOST

F1
J1
H1
J6
H3
K2
G1
G2
K9
K1
K7
J8
H4
J3
K11
G4
T10
W11
T3
U7
U9
U11
T11
W9
T1
T8
T4
W7
U5
T9
W6
T5
AB7
AA9
W4
W3
Y3
Y7
W5
Y10
AB8
W2
AA4
AA7
AA2
AA6
AA10
Y8
AA1
AB4
AC9
AB11
AC11
AB3
AC2
AD1
AD9
AC1
AD7
AC6
AB5
AD10
AD4
AC8

MISC

HA#[31..3]
U31A
HD#0
HD#1
HD#2
HD#3
HD#4
HD#5
HD#6
HD#7
HD#8
HD#9
HD#10
HD#11
HD#12
HD#13
HD#14
HD#15
HD#16
HD#17
HD#18
HD#19
HD#20
HD#21
HD#22
HD#23
HD#24
HD#25
HD#26
HD#27
HD#28
HD#29
HD#30
HD#31
HD#32
HD#33
HD#34
HD#35
HD#36
HD#37
HD#38
HD#39
HD#40
HD#41
HD#42
HD#43
HD#44
HD#45
HD#46
HD#47
HD#48
HD#49
HD#50
HD#51
HD#52
HD#53
HD#54
HD#55
HD#56
HD#57
HD#58
HD#59
HD#60
HD#61
HD#62
HD#63

RSVD_0
RSVD_1
RSVD_2
RSVD_3
RSVD_4
RSVD_5
RSVD_6
RSVD_7
RSVD_8
RSVD_9
RSVD_10
RSVD_11
RSVD_12
RSVD_13
RSVD_14
RSVD_15
CFG_0
CFG_1
CFG_2
CFG_3
CFG_4
CFG_5
CFG_6
CFG_7
CFG_8
CFG_9
CFG_10
CFG_11
CFG_12
CFG_13
CFG_14
CFG_15
CFG_16
CFG_17
CFG_18
CFG_19
CFG_20
PM_BMBUSY#
PM_EXTTS#_0
PM_EXTTS#_1
PM_THRMTRIP#
PWROK
RSTIN#
SDVO_CTRLCLK
SDVO_CTRLDATA
LT_RESET#
NC0
NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10
NC11
NC12
NC13
NC14
NC15
NC16
NC17
NC18

NC

HD#[63..0]

3 HD#[63..0]

3
3
3
3
3

RS#0 3
RS#1 3
RS#2 3

MCH_BSEL1
HXSCOMP

R156

54.9/F_4

HYSCOMP

R386

54.9/F_4

HXRCOMP

R399

24.9/F_4

HYRCOMP

R381

24.9/F_4

10K_4

EXTTS#1

R436

10K_4

1.MCH_CFG_5 : Low = DMI X2, High=DMIX4

+3V

MCH_BSEL2

2.MCH_CFG_6 : Low = Moby Dick, High = Calistoga (Default)

M_RCOMPN

R91

80.6/F_4

M_RCOMPP

R92

80.6/F_4

3.MCH_CFG_7 : Low = RSVD, High = Mobile CPU


+1.8VSUS

4.MCH_CFG_9 PCI Exp Graphics Lane: Low =Reverse lane ,High=Normal

7.MCH_CFG_16 FSB Dynmic ODT: Low = Dynamic ODT Disabled,


High= Dynamic ODT Enabled.

PM_BMBUSY#
EXTTS#0
EXTTS#1
THERMTRIP#
IMVP_PWRGD
RSTIN#_MCH

H28
H27
K28

SDVO_CTRLCLK
SDVO_CTRLDATA
MCH_SYNC#

CFG9

CFG16
CFG19
CFG20
C

D1
C41
C1
BA41
BA40
BA39
BA3
BA2
BA1
B41
B2
AY41
AY1
AW41
AW1
A40
A4
A39
A3

R100

PM_BMBUSY# 11
EXTTS#0 9
EXTTS#1 11
THERMTRIP# 11
IMVP_PWRGD 3,11,19,22
PLTRST#_MCH 11

100/F_4

SDVO_CTRLCLK 6
SDVO_CTRLDATA 6
MCH_SYNC# 11

AJSL8Z20T25-->945GM A3
AJSL8Z40T51-->945PM A3
B

AJSL8Z50T13-->940GML A3

MCH_BSEL0 2

CFG[2,1,0]

MCH_BSEL1 2

001=PSB533

MCH_BSEL2 2

011=PSB667

GMCH Strap pin


CFG5

R138

*2.2K_4

CFG9

R139

*2.2K_4

W: 10 mil /
S: 20 mil

8.MCH_CFG_18 VCC Select: LOW=1.05V, High=1.5V

+VCCP

W: 10 mil /
S: 20 mil

R157

R384

221/F_4
HXSWING

221/F_4
HYSWING

R158

R383
C317

100/F_4

HVREF
Width : 20mil
Length <
100mil

R126

C259

R122

HVREF

9.MCH_CFG_19 DMI LANE Reversal:Low=Normal,High=LANES Reversed.


10.MCH_CFG_20 PCIE Backward interpoerability mode: Low=
only SDVO or PCIE x1 is operational (defaults) ,
High=SDVO and PCIE x1 are operation
simultaneously via the PEG port.

C558

CFG16

R159

*2.2K_4

CFG19

R439

*1K_4

+3V

CFG20

R440

*1K_4

+3V

PROJECT : ZR1

.1u/16V_4
100/F_4

.1u/16V_4

G28
F25
H26
G6
AH33
AH34

CFG5

6.MCH_CFG_11: PSB 4x Enable : Low=Rsvd, High=Calistoga.

Width : 20mil
Length <
500mil
+VCCP

100/F_4

MCH_BSEL0
MCH_BSEL1
MCH_BSEL2

5.MCH_CFG_10 Host PLL VCC Select: Low=Reserved, High=Mobility

W: 10 mil / S: 20 mil
+VCCP

R154

K16
K18
J18
F18
E15
F15
E18
D19
D16
G16
E16
D15
G15
K15
C15
H16
G18
H15
J25
K27
J26

CPUSLP#_NB 3
HTRDY# 3
MCH_BSEL0

EXTTS#0

Calistoga_945GM

Calistoga_945GM
+VCCP

H32
T32
R32
F3
F7
AG11
AF11
H7
J19
K30
J29
A41
A35
A34
D28
D27

.1u/16V_4

200/F_4

Quanta Computer Inc.


Size

Document Number

Rev
1A

GMCH ( HOST / DMI )


Date:
5

Wednesday, August 02, 2006

Sheet
1

of

30

NB_945GM/PM/940GML

DVO_CH7307
U34

U31C

A33
A32
E27
E26

LA_CLK#
LA_CLK
LB_CLK#
LB_CLK

INT_LVDS_TXL0INT_LVDS_TXL1INT_LVDS_TXL2-

C37
B35
A37

LA_DATA#_0
LA_DATA#_1
LA_DATA#_2

INT_LVDS_TXU0INT_LVDS_TXU1INT_LVDS_TXU2-

INT_LVDS_TXU0+
INT_LVDS_TXU1+
INT_LVDS_TXU2+

B37
B34
A36
G30
D30
F29

F30
D29
F28

LA_DATA_0
LA_DATA_1
LA_DATA_2
LB_DATA#_0
LB_DATA#_1
LB_DATA#_2

LB_DATA_0
LB_DATA_1
LB_DATA_2

A16
C18
A19

TV_DACA_OUT
TV_DACB_OUT
TV_DACC_OUT

TV_REFSET

J20
B16
B18
B19

TV_IREF
TV_IRTNA
TV_IRTNB
TV_IRTNC

INT_VGA_GRN

INT_CRTDCLK
INT_CRTDDAT
INT_CRTHSYNC
REFSET
INT_CRTVSYNC
B

CRT_BLUE
CRT_BLUE#
CRT_GREEN
CRT_GREEN#
CRT_RED
CRT_RED#

C26
C25
G23
J22
H23

CRT_DDC_CLK
CRT_DDC_DATA
CRT_HSYNC
CRT_IREF
CRT_VSYNC

VGA

INT_VGA_RED

E23
D23
C22
B22
A21
B21

TV

INT_TV_COMP
INT_TV_Y/G
INT_TV_C/R

INT_VGA_BLU

LVDS

INT_LVDS_TXLCKINT_LVDS_TXLCK+
INT_LVDS_TXUCKINT_LVDS_TXUCK+

INT_LVDS_TXL0+
INT_LVDS_TXL1+
INT_LVDS_TXL2+

L_BKLTCTL
L_BKLTEN
L_CLKCTLA
L_CLKCTLB
L_DDC_CLK
L_DDC_DATA
L_IBG
L_VBG
L_VDDEN
L_VREFH
L_VREFL

GRAPHICS

INT_LCD_ON

D32
J30
H30
H29
G26
G25
B38
C35
F32
C33
C32

PCI-EXPRESS

INT_BLON
INT_LCD_CLKCTLA
INT_LCD_CLKCTLB
INT_LCD_EDIDCLK
INT_LCD_EDIDDAT
LIBG

EXP_A_COMP

EXP_A_COMPI
EXP_A_COMPO

D40
D38

EXP_A_RXN_0
EXP_A_RXN_1
EXP_A_RXN_2
EXP_A_RXN_3
EXP_A_RXN_4
EXP_A_RXN_5
EXP_A_RXN_6
EXP_A_RXN_7
EXP_A_RXN_8
EXP_A_RXN_9
EXP_A_RXN_10
EXP_A_RXN_11
EXP_A_RXN_12
EXP_A_RXN_13
EXP_A_RXN_14
EXP_A_RXN_15

F34
G38
H34
J38
L34
M38
N34
P38
R34
T38
V34
W38
Y34
AA38
AB34
AC38

GMCHEXP_RXN0
GMCHEXP_RXN1
GMCHEXP_RXN2
GMCHEXP_RXN3
GMCHEXP_RXN4
GMCHEXP_RXN5
GMCHEXP_RXN6
GMCHEXP_RXN7
GMCHEXP_RXN8
GMCHEXP_RXN9
GMCHEXP_RXN10
GMCHEXP_RXN11
GMCHEXP_RXN12
GMCHEXP_RXN13
GMCHEXP_RXN14
GMCHEXP_RXN15

EXP_A_RXP_0
EXP_A_RXP_1
EXP_A_RXP_2
EXP_A_RXP_3
EXP_A_RXP_4
EXP_A_RXP_5
EXP_A_RXP_6
EXP_A_RXP_7
EXP_A_RXP_8
EXP_A_RXP_9
EXP_A_RXP_10
EXP_A_RXP_11
EXP_A_RXP_12
EXP_A_RXP_13
EXP_A_RXP_14
EXP_A_RXP_15

D34
F38
G34
H38
J34
L38
M34
N38
P34
R38
T34
V38
W34
Y38
AA34
AB38

GMCHEXP_RXP0
GMCHEXP_RXP1
GMCHEXP_RXP2
GMCHEXP_RXP3
GMCHEXP_RXP4
GMCHEXP_RXP5
GMCHEXP_RXP6
GMCHEXP_RXP7
GMCHEXP_RXP8
GMCHEXP_RXP9
GMCHEXP_RXP10
GMCHEXP_RXP11
GMCHEXP_RXP12
GMCHEXP_RXP13
GMCHEXP_RXP14
GMCHEXP_RXP15

EXP_A_TXN_0
EXP_A_TXN_1
EXP_A_TXN_2
EXP_A_TXN_3
EXP_A_TXN_4
EXP_A_TXN_5
EXP_A_TXN_6
EXP_A_TXN_7
EXP_A_TXN_8
EXP_A_TXN_9
EXP_A_TXN_10
EXP_A_TXN_11
EXP_A_TXN_12
EXP_A_TXN_13
EXP_A_TXN_14
EXP_A_TXN_15

F36 CGMCHEXP_TXN0
G40 CGMCHEXP_TXN1
H36 CGMCHEXP_TXN2
J40 CGMCHEXP_TXN3
L36 CGMCHEXP_TXN4
M40 CGMCHEXP_TXN5
N36 CGMCHEXP_TXN6
P40 CGMCHEXP_TXN7
R36 CGMCHEXP_TXN8
T40 CGMCHEXP_TXN9
V36 CGMCHEXP_TXN10
W40 CGMCHEXP_TXN11
Y36 CGMCHEXP_TXN12
AA40 CGMCHEXP_TXN13
AB36 CGMCHEXP_TXN14
AC40CGMCHEXP_TXN15

C581
C580
C577
C575
C565
C562
C556
C554
C549
C542
C532
C527
C524
C519
C517
C515

EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4

GMCHEXP_TXN0
GMCHEXP_TXN1
GMCHEXP_TXN2
GMCHEXP_TXN3
GMCHEXP_TXN4
GMCHEXP_TXN5
GMCHEXP_TXN6
GMCHEXP_TXN7
GMCHEXP_TXN8
GMCHEXP_TXN9
GMCHEXP_TXN10
GMCHEXP_TXN11
GMCHEXP_TXN12
GMCHEXP_TXN13
GMCHEXP_TXN14
GMCHEXP_TXN15

EXP_A_TXP_0
EXP_A_TXP_1
EXP_A_TXP_2
EXP_A_TXP_3
EXP_A_TXP_4
EXP_A_TXP_5
EXP_A_TXP_6
EXP_A_TXP_7
EXP_A_TXP_8
EXP_A_TXP_9
EXP_A_TXP_10
EXP_A_TXP_11
EXP_A_TXP_12
EXP_A_TXP_13
EXP_A_TXP_14
EXP_A_TXP_15

D36 CGMCHEXP_TXP0
F40 CGMCHEXP_TXP1
G36 CGMCHEXP_TXP2
H40 CGMCHEXP_TXP3
J36 CGMCHEXP_TXP4
L40 CGMCHEXP_TXP5
M36 CGMCHEXP_TXP6
N40 CGMCHEXP_TXP7
P36 CGMCHEXP_TXP8
R40 CGMCHEXP_TXP9
T36 CGMCHEXP_TXP10
V40 CGMCHEXP_TXP11
W36 CGMCHEXP_TXP12
Y40 CGMCHEXP_TXP13
AA36 CGMCHEXP_TXP14
AB40 CGMCHEXP_TXP15

C583
C582
C578
C576
C568
C567
C560
C555
C551
C545
C539
C531
C526
C523
C518
C516

EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4
EV^.1u/16V_4

GMCHEXP_TXP0
GMCHEXP_TXP1
GMCHEXP_TXP2
GMCHEXP_TXP3
GMCHEXP_TXP4
GMCHEXP_TXP5
GMCHEXP_TXP6
GMCHEXP_TXP7
GMCHEXP_TXP8
GMCHEXP_TXP9
GMCHEXP_TXP10
GMCHEXP_TXP11
GMCHEXP_TXP12
GMCHEXP_TXP13
GMCHEXP_TXP14
GMCHEXP_TXP15

R434

IV^150/F_4

INT_TV_COMP

R433

IV^150/F_4

INT_TV_Y/G

R432

IV^150/F_4

INT_TV_C/R

R136

IV^150/F_4

INT_VGA_BLU

R140

IV^150/F_4

INT_VGA_GRN

IV^150/F_4

1.5V_PCIE
24.9/F_4
GMCHEXP_RXN[0..15] 26

10,26 TV_COMP
10,26 TV_C/R

REFSET

R125

4.99K/F_4

TV_REFSET

+2.5V
IDV^SBK160808T-301Y-N_6_0.2A

R430

1.5K/F_4

Intel D32387 REV:1.601 delete

REV:2A MODIFY , NEED STUFF

+3V

10,26 VGA_GRN
10,26 VGA_RED
10,26 CRTVSYNC

R437

IV^10K_4

INT_LCD_CLKCTLA

R438

IV^10K_4

INT_LCD_CLKCTLB

10,26 CRTHSYNC

TDC0
TDC0*
TDC1
TDC1*
TDC2
TDC2*
TLC
TLC*

17
16
20
19
23
22
14
13

7307_DVI_TX0+
7307_DVI_TX07307_DVI_TX1+
7307_DVI_TX17307_DVI_TX2+
7307_DVI_TX27307_DVI_CLK+
7307_DVI_CLK-

SD_DDC
SC_DDC

10
11

7307_TMDS_DAT RN43 3
7307_TMDS_CLK
1

HPDET

29

TMDS_HPD

RSV

35

SPD
SPC

RESET*

DVI_AS

AS

BSCAN

34

25

VSWING

12
28

DVDD1
DVDD2

AVDD1
AVDD2
AVDD3

36
42
48

DVI_VSWING

C600

C604

IDV^.1u/16V_4

IDV^.1u/16V_4

DVI_AVDD_PLL

IDV^SBK160808T-301Y-N_6_0.2A

C590

INT_TV_Y/G

R446

IV^0_4

INT_TV_COMP

R444

IV^0_4

INT_TV_C/R

4 IV^0_4P2R INT_CRTDCLK
INT_CRTDDAT
2
INT_VGA_BLU

IV^0_4

R426

IV^0_4

INT_VGA_GRN

R425

IV^0_4

INT_VGA_RED

R427

IV^0_4

INT_CRTVSYNC

R428

IV^0_4

INT_CRTHSYNC

4
2
4
2
4
2
2
4

IDV^0_4P2R

DVI_TX0+ 20,26
DVI_TX0- 20,26
DVI_TX1+ 20,26
DVI_TX1- 20,26
DVI_TX2+ 20,26
DVI_TX2- 20,26
DVI_CLK+ 20,26
DVI_CLK- 20,26

IDV^0_4P2R
IDV^0_4P2R
IDV^0_4P2R

4 IDV^0_4P2R
2

TMDS_DDCDATA 20,26
TMDS_DDCCLK 20,26
TMDS_HPD 20,26

DVI_AVDD

+2.5V

C587

C588

IDV^1u/10V_4

IDV^.1u/16V_4 IDV^.1u/16V_4 IDV^.1u/16V_4

C598

C591

L50
IDV^SBK160808T-301Y-N_6_0.2A

15
21

TVDD1
TVDD2

DVI_TVDD

+3V

C609

C610

C611

7
30
6
31
39
45
18
24

DGND1
DGND2
AGND_PLL
AGND1
AGND2
AGND3
TGND1
TGND2

IDV^4.7u/6.3V_6

IDV^.1u/16V_4

IDV^.1u/16V_4

PROM1
PROM2

26
27

49
50
51
52
53

GND_PAD1 GND_PAD10
GND_PAD2 GND_PAD9
GND_PAD3 GND_PAD8
GND_PAD4 GND_PAD7
GND_PAD5 GND_PAD6

58
57
56
55
54

8
9

SD_PROM
SC_PROM

REV:3A
MODIFY

IDV^CH7307

GMCHEXP_TXP[0..15] 26

DVI_AS

R431

IDV^10K_4

R429

*100K_4

+2.5V

5 SDVO_CTRLDATA

10,26 LVDS_TXL010,26 LVDS_TXL0+


10,26 LVDS_TXL110,26 LVDS_TXL1+

10,26 LVDS_TXU110,26 LVDS_TXU1+


10,26 LVDS_TXU210,26 LVDS_TXU2+
10,26 LCD_EDIDCLK
10,26 LCD_EDIDDAT
10,26 BLON
10,26 LCD_ON

SDVO_CTRLCLK

R435

IDV^4.7K_4

SDVO_CTRLDATA

R447

IDV^4.7K_4

REV:2A MODIFY

CLOSE NORTH BRIDGE


5 SDVO_CTRLCLK

+2.5V

TMDS_DDCDATA

R554

EZ^2.2K_4

TMDS_DDCCLK

R555

EZ^2.2K_4

+5V

Signal Cross Moat

LVDS_TXLCKLVDS_TXLCK+

RN52 3
1

4 IV^0_4P2R
2

INT_LVDS_TXLCKINT_LVDS_TXLCK+

LVDS_TXL0LVDS_TXL0+

RN55 3
1

4 IV^0_4P2R
2

INT_LVDS_TXL0INT_LVDS_TXL0+

LVDS_TXL1LVDS_TXL1+

RN54 3
1

4 IV^0_4P2R
2

INT_LVDS_TXL1INT_LVDS_TXL1+

LVDS_TXL2LVDS_TXL2+

RN53 3
1

4 IV^0_4P2R
2

INT_LVDS_TXL2INT_LVDS_TXL2+

LVDS_TXUCKLVDS_TXUCK+

RN48 3
1

4 IV^0_4P2R
2

INT_LVDS_TXUCKINT_LVDS_TXUCK+

LVDS_TXU0LVDS_TXU0+

RN51 3
1

4 IV^0_4P2R
2

INT_LVDS_TXU0INT_LVDS_TXU0+

LVDS_TXU1LVDS_TXU1+

RN50 3
1

4 IV^0_4P2R
2

INT_LVDS_TXU1INT_LVDS_TXU1+

LVDS_TXU2LVDS_TXU2+

RN49 3
1

4 IV^0_4P2R
2

INT_LVDS_TXU2INT_LVDS_TXU2+

+1.8VSUS

LCD_EDIDCLK
LCD_EDIDDAT

RN47 1
3

2 IV^0_4P2R
4

INT_LCD_EDIDCLK
INT_LCD_EDIDDAT

+VCCP

BLON
LCD_ON

RN46 1
3

2 IV^0_4P2R
4

INT_BLON
INT_LCD_ON

+3V

+3V

+3V

+VCCP

+VCCP

+VCCP

+1.8V

+1.2V

C470

C26

C31

C33

C42

C113

C125

C141

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

VIN

VIN

+1.5V

VIN
+1.2V

VIN
+5V

+1.8VSUS
+1.8V

+1.8VSUS

+1.8V

+1.8VSUS

+1.8VSUS

+1.8VSUS

+1.8VSUS

C328

C697

C698

C699

C700

C701

C702

.1u/16V_4

1000p_4

1000p_4

1000p_4

1000p_4

1000p_4

1000p_4

REV:3A MODIFY

PROJECT : ZR1

Quanta Computer Inc.


C705

C706

C707

C708

1000p_4

1000p_4

1000p_4

1000p_4

Size

Document Number

Rev
3A

GMCH ( VGA )
Date:

RN74 3
1
RN75 3
1
RN77 3
1
RN76 1
3

IDV^.1u/16V_4

REV:3A
MODIFY

GMCHEXP_TXN[0..15] 26

AVDD_PLL

C589

IDV^4.7u/6.3V_6

IV^0_4

R424

SDVOB_R+
SDVOB_RSDVOB_G+
SDVOB_GSDVOB_B+
SDVOB_BSDVOB_CLK+
SDVOB_CLKSDVOB_INT+
SDVOB_INT-

PLTRST#

DVI_DVDD

+3V

10,26 LVDS_TXU010,26 LVDS_TXU0+

10,26 VGA_BLU

LIBG

C605
IDV^4.7u/6.3V_6

R445

RN68 3
1

37
38
40
41
43
44
46
47
32
33

L45

10,26 LVDS_TXL210,26 LVDS_TXL2+

10,26 CRTDCLK
10,26 CRTDDAT

IDV^1.2K/F_6

REV:3A MODIFY

L49

GMCHEXP_RXP[0..15] 26

10,26 LVDS_TXUCK10,26 LVDS_TXUCK+


255/F_4

SDVOB_R+
SDVOB_RSDVOB_G+
SDVOB_GSDVOB_B+
SDVOB_BSDVOB_CLK+
SDVOB_CLKINT+
INT-

L44
IDV^SBK160808T-301Y-N_6_0.2A
R450

INT_VGA_RED

R130

IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4
IDV^.1u/16V_4

11,13,16,18,19,20,26 PLTRST#

10,26 LVDS_TXLCK10,26 LVDS_TXLCK+


10,26 TV_Y/G

C286
C277
C292
C284
C261
C253
C257
C250
C586
C585

SDVO_CTRLDATA 5
SDVO_CTRLCLK
4

0.1u Capacitors place at


first 1/3 of trace

Calistoga_945GM

R152

CGMCHEXP_TXP0
CGMCHEXP_TXN0
CGMCHEXP_TXP1
CGMCHEXP_TXN1
CGMCHEXP_TXP2
CGMCHEXP_TXN2
CGMCHEXP_TXP3
CGMCHEXP_TXN3
GMCHEXP_RXP1
GMCHEXP_RXN1

R419

Wednesday, August 02, 2006

Sheet
1

of

30

NB_945GM/PM/940GML
SMA_BA0
SMA_BA1
SMA_BA2

SA_CAS#
SA_DM_0
SA_DM_1
SA_DM_2
SA_DM_3
SA_DM_4
SA_DM_5
SA_DM_6
SA_DM_7

AY13
AJ33
AM35
AL26
AN22
AM14
AL9
AR3
AH4

SMA_CAS#
SMA_DM0
SMA_DM1
SMA_DM2
SMA_DM3
SMA_DM4
SMA_DM5
SMA_DM6
SMA_DM7

SA_DQS_0
SA_DQS_1
SA_DQS_2
SA_DQS_3
SA_DQS_4
SA_DQS_5
SA_DQS_6
SA_DQS_7
SA_DQS#_0
SA_DQS#_1
SA_DQS#_2
SA_DQS#_3
SA_DQS#_4
SA_DQS#_5
SA_DQS#_6
SA_DQS#_7

AK33
AT33
AN28
AM22
AN12
AN8
AP3
AG5
AK32
AU33
AN27
AM21
AM12
AL8
AN3
AH5

SMA_DQS0
SMA_DQS1
SMA_DQS2
SMA_DQS3
SMA_DQS4
SMA_DQS5
SMA_DQS6
SMA_DQS7
SMA_DQS0#
SMA_DQS1#
SMA_DQS2#
SMA_DQS3#
SMA_DQS4#
SMA_DQS5#
SMA_DQS6#
SMA_DQS7#

SA_MA_0
SA_MA_1
SA_MA_2
SA_MA_3
SA_MA_4
SA_MA_5
SA_MA_6
SA_MA_7
SA_MA_8
SA_MA_9
SA_MA_10
SA_MA_11
SA_MA_12
SA_MA_13

AY16
AU14
AW16
BA16
BA17
AU16
AV17
AU17
AW17
AT16
AU13
AT17
AV20
AV12

SMA_MA0
SMA_MA1
SMA_MA2
SMA_MA3
SMA_MA4
SMA_MA5
SMA_MA6
SMA_MA7
SMA_MA8
SMA_MA9
SMA_MA10
SMA_MA11
SMA_MA12
SMA_MA13

SA_RAS#
SA_RCVENIN#
SA_RCVENOUT#
SA_WE#

AW14 SMA_RAS#
AK23
AK24
AY14 SMA_WE#

SMA_BA0 9
SMA_BA1 9
SMA_BA2 9
SMA_CAS# 9
SMA_DM0 9
SMA_DM1 9
SMA_DM2 9
SMA_DM3 9
SMA_DM4 9
SMA_DM5 9
SMA_DM6 9
SMA_DM7 9
SMA_DQS0 9
SMA_DQS1 9
SMA_DQS2 9
SMA_DQS3 9
SMA_DQS4 9
SMA_DQS5 9
SMA_DQS6 9
SMA_DQS7 9
SMA_DQS0# 9
SMA_DQS1# 9
SMA_DQS2# 9
SMA_DQS3# 9
SMA_DQS4# 9
SMA_DQS5# 9
SMA_DQS6# 9
SMA_DQS7# 9

SMA_RAS# 9
SMA_WE# 9

Calistoga_945GM
SMA_MD[63..0]

SMB_MD0
SMB_MD1
SMB_MD2
SMB_MD3
SMB_MD4
SMB_MD5
SMB_MD6
SMB_MD7
SMB_MD8
SMB_MD9
SMB_MD10
SMB_MD11
SMB_MD12
SMB_MD13
SMB_MD14
SMB_MD15
SMB_MD16
SMB_MD17
SMB_MD18
SMB_MD19
SMB_MD20
SMB_MD21
SMB_MD22
SMB_MD23
SMB_MD24
SMB_MD25
SMB_MD26
SMB_MD27
SMB_MD28
SMB_MD29
SMB_MD30
SMB_MD31
SMB_MD32
SMB_MD33
SMB_MD34
SMB_MD35
SMB_MD36
SMB_MD37
SMB_MD38
SMB_MD39
SMB_MD40
SMB_MD41
SMB_MD42
SMB_MD43
SMB_MD44
SMB_MD45
SMB_MD46
SMB_MD47
SMB_MD48
SMB_MD49
SMB_MD50
SMB_MD51
SMB_MD52
SMB_MD53
SMB_MD54
SMB_MD55
SMB_MD56
SMB_MD57
SMB_MD58
SMB_MD59
SMB_MD60
SMB_MD61
SMB_MD62
SMB_MD63

AK39
AJ37
AP39
AR41
AJ38
AK38
AN41
AP41
AT40
AV41
AU38
AV38
AP38
AR40
AW38
AY38
BA38
AV36
AR36
AP36
BA36
AU36
AP35
AP34
AY33
BA33
AT31
AU29
AU31
AW31
AV29
AW29
AM19
AL19
AP14
AN14
AN17
AM16
AP15
AL15
AJ11
AH10
AJ9
AN10
AK13
AH11
AK10
AJ8
BA10
AW10
BA4
AW4
AY10
AY9
AW5
AY5
AV4
AR5
AK4
AK3
AT4
AK5
AJ5
AJ3

SB_DQ0
SB_DQ1
SB_DQ2
SB_DQ3
SB_DQ4
SB_DQ5
SB_DQ6
SB_DQ7
SB_DQ8
SB_DQ9
SB_DQ10
SB_DQ11
SB_DQ12
SB_DQ13
SB_DQ14
SB_DQ15
SB_DQ16
SB_DQ17
SB_DQ18
SB_DQ19
SB_DQ20
SB_DQ21
SB_DQ22
SB_DQ23
SB_DQ24
SB_DQ25
SB_DQ26
SB_DQ27
SB_DQ28
SB_DQ29
SB_DQ30
SB_DQ31
SB_DQ32
SB_DQ33
SB_DQ34
SB_DQ35
SB_DQ36
SB_DQ37
SB_DQ38
SB_DQ39
SB_DQ40
SB_DQ41
SB_DQ42
SB_DQ43
SB_DQ44
SB_DQ45
SB_DQ46
SB_DQ47
SB_DQ48
SB_DQ49
SB_DQ50
SB_DQ51
SB_DQ52
SB_DQ53
SB_DQ54
SB_DQ55
SB_DQ56
SB_DQ57
SB_DQ58
SB_DQ59
SB_DQ60
SB_DQ61
SB_DQ62
SB_DQ63

U31I

AU12
AV14
BA20

MEMORY

SA_BS_0
SA_BS_1
SA_BS_2

SYSTEM

A
MEMORY
SYSTEM

U31E

SA_DQ0
SA_DQ1
SA_DQ2
SA_DQ3
SA_DQ4
SA_DQ5
SA_DQ6
SA_DQ7
SA_DQ8
SA_DQ9
SA_DQ10
SA_DQ11
SA_DQ12
SA_DQ13
SA_DQ14
SA_DQ15
SA_DQ16
SA_DQ17
SA_DQ18
SA_DQ19
SA_DQ20
SA_DQ21
SA_DQ22
SA_DQ23
SA_DQ24
SA_DQ25
SA_DQ26
SA_DQ27
SA_DQ28
SA_DQ29
SA_DQ30
SA_DQ31
SA_DQ32
SA_DQ33
SA_DQ34
SA_DQ35
SA_DQ36
SA_DQ37
SA_DQ38
SA_DQ39
SA_DQ40
SA_DQ41
SA_DQ42
SA_DQ43
SA_DQ44
SA_DQ45
SA_DQ46
SA_DQ47
SA_DQ48
SA_DQ49
SA_DQ50
SA_DQ51
SA_DQ52
SA_DQ53
SA_DQ54
SA_DQ55
SA_DQ56
SA_DQ57
SA_DQ58
SA_DQ59
SA_DQ60
SA_DQ61
SA_DQ62
SA_DQ63

DDR

AJ35
AJ34
AM31
AM33
AJ36
AK35
AJ32
AH31
AN35
AP33
AR31
AP31
AN38
AM36
AM34
AN33
AK26
AL27
AM26
AN24
AK28
AL28
AM24
AP26
AP23
AL22
AP21
AN20
AL23
AP24
AP20
AT21
AR12
AR14
AP13
AP12
AT13
AT12
AL14
AL12
AK9
AN7
AK8
AK7
AP9
AN9
AT5
AL5
AY2
AW2
AP1
AN2
AV2
AT3
AN1
AL2
AG7
AF9
AG4
AF6
AG9
AH6
AF4
AF8

DDR

U31D
SMA_MD0
SMA_MD1
SMA_MD2
SMA_MD3
SMA_MD4
SMA_MD5
SMA_MD6
SMA_MD7
SMA_MD8
SMA_MD9
SMA_MD10
SMA_MD11
SMA_MD12
SMA_MD13
SMA_MD14
SMA_MD15
SMA_MD16
SMA_MD17
SMA_MD18
SMA_MD19
SMA_MD20
SMA_MD21
SMA_MD22
SMA_MD23
SMA_MD24
SMA_MD25
SMA_MD26
SMA_MD27
SMA_MD28
SMA_MD29
SMA_MD30
SMA_MD31
SMA_MD32
SMA_MD33
SMA_MD34
SMA_MD35
SMA_MD36
SMA_MD37
SMA_MD38
SMA_MD39
SMA_MD40
SMA_MD41
SMA_MD42
SMA_MD43
SMA_MD44
SMA_MD45
SMA_MD46
SMA_MD47
SMA_MD48
SMA_MD49
SMA_MD50
SMA_MD51
SMA_MD52
SMA_MD53
SMA_MD54
SMA_MD55
SMA_MD56
SMA_MD57
SMA_MD58
SMA_MD59
SMA_MD60
SMA_MD61
SMA_MD62
SMA_MD63

SB_BS_0
SB_BS_1
SB_BS_2

AT24
AV23
AY28

SMB_BA0
SMB_BA1
SMB_BA2

SB_CAS#
SB_DM_0
SB_DM_1
SB_DM_2
SB_DM_3
SB_DM_4
SB_DM_5
SB_DM_6
SB_DM_7

AR24
AK36
AR38
AT36
BA31
AL17
AH8
BA5
AN4

SMB_CAS#
SMB_DM0
SMB_DM1
SMB_DM2
SMB_DM3
SMB_DM4
SMB_DM5
SMB_DM6
SMB_DM7

SB_DQS_0
SB_DQS_1
SB_DQS_2
SB_DQS_3
SB_DQS_4
SB_DQS_5
SB_DQS_6
SB_DQS_7
SB_DQS#_0
SB_DQS#_1
SB_DQS#_2
SB_DQS#_3
SB_DQS#_4
SB_DQS#_5
SB_DQS#_6
SB_DQS#_7

AM39
AT39
AU35
AR29
AR16
AR10
AR7
AN5
AM40
AU39
AT35
AP29
AP16
AT10
AT7
AP5

SMB_DQS0
SMB_DQS1
SMB_DQS2
SMB_DQS3
SMB_DQS4
SMB_DQS5
SMB_DQS6
SMB_DQS7
SMB_DQS0#
SMB_DQS1#
SMB_DQS2#
SMB_DQS3#
SMB_DQS4#
SMB_DQS5#
SMB_DQS6#
SMB_DQS7#

SB_MA_0
SB_MA_1
SB_MA_2
SB_MA_3
SB_MA_4
SB_MA_5
SB_MA_6
SB_MA_7
SB_MA_8
SB_MA_9
SB_MA_10
SB_MA_11
SB_MA_12
SB_MA_13

AY23
AW24
AY24
AR28
AT27
AT28
AU27
AV28
AV27
AW27
AV24
BA27
AY27
AR23

SMB_MA0
SMB_MA1
SMB_MA2
SMB_MA3
SMB_MA4
SMB_MA5
SMB_MA6
SMB_MA7
SMB_MA8
SMB_MA9
SMB_MA10
SMB_MA11
SMB_MA12
SMB_MA13

SB_RAS#
SB_RCVENIN#
SB_RCVENOUT#
SB_WE#

AU23
AK16
AK18
AR27

Calistoga_945GM
SMB_MD[63..0]

SMA_MD[63..0] 9

SMB_MD[63..0] 9

SMA_MA[13..0]

SMB_MA[13..0]

SMA_MA[13..0] 9

SMB_MA[13..0] 9

SMB_RAS#
SMB_WE#

SMB_BA0 9
SMB_BA1 9
SMB_BA2 9
SMB_CAS# 9
SMB_DM0 9
SMB_DM1 9
SMB_DM2 9
SMB_DM3 9
SMB_DM4 9
SMB_DM5 9
SMB_DM6 9
SMB_DM7 9
SMB_DQS0 9
SMB_DQS1 9
SMB_DQS2 9
SMB_DQS3 9
SMB_DQS4 9
SMB_DQS5 9
SMB_DQS6 9
SMB_DQS7 9
SMB_DQS0# 9
SMB_DQS1# 9
SMB_DQS2# 9
SMB_DQS3# 9
SMB_DQS4# 9
SMB_DQS5# 9
SMB_DQS6# 9
SMB_DQS7# 9

SMB_RAS# 9
SMB_WE# 9

AC41
AA41
W41
T41
P41
M41
J41
F41
AV40
AP40
AN40
AK40
AJ40
AH40
AG40
AF40
AE40
B40
AY39
AW39
AV39
AR39
AN39
AJ39
AC39
AB39
AA39
Y39
W39
V39
T39
R39
P39
N39
M39
L39
J39
H39
G39
F39
D39
AT38
AM38
AH38
AG38
AF38
AE38
C38
AK37
AH37
AB37
AA37
Y37
W37
V37
T37
R37
P37
N37
M37
L37
J37
H37
G37
F37
D37
AY36
AW36
AN36
AH36
AG36
AF36
AE36
AC36
C36
B36
BA35
AV35
AR35
AH35
AB35
AA35
Y35
W35
V35
T35
R35
P35
N35
M35
L35
J35
H35
G35
F35
D35
AN34

U31J

VSS_0
VSS_1
VSS_2
VSS_3
VSS_4
VSS_5
VSS_6
VSS_7
VSS_8
VSS_9
VSS_10
VSS_11
VSS_12
VSS_13
VSS_14
VSS_15
VSS_16
VSS_17
VSS_18
VSS_19
VSS_20
VSS_21
VSS_22
VSS_23
VSS_24
VSS_25
VSS_26
VSS_27
VSS_28
VSS_29
VSS_30
VSS_31
VSS_32
VSS_33
VSS_34
VSS_35
VSS_36
VSS_37
VSS_38
VSS_39
VSS_40
VSS_41
VSS_42
VSS_43
VSS_44
VSS_45
VSS_46
VSS_47
VSS_48
VSS_49
VSS_50
VSS_51
VSS_52
VSS_53
VSS_54
VSS_55
VSS_56
VSS_57
VSS_58
VSS_59
VSS_60
VSS_61
VSS_62
VSS_63
VSS_64
VSS_65
VSS_66
VSS_67
VSS_68
VSS_69
VSS_70
VSS_71
VSS_72
VSS_73
VSS_74
VSS_75
VSS_76
VSS_77
VSS_78
VSS_79
VSS_80
VSS_81
VSS_82
VSS_83
VSS_84
VSS_85
VSS_86
VSS_87
VSS_88
VSS_89
VSS_90
VSS_91
VSS_92
VSS_93
VSS_94
VSS_95
VSS_96

VSS

VSS_97
VSS_98
VSS_99
VSS_100
VSS_101
VSS_102
VSS_103
VSS_104
VSS_105
VSS_106
VSS_107
VSS_108
VSS_109
VSS_110
VSS_111
VSS_112
VSS_113
VSS_114
VSS_115
VSS_116
VSS_117
VSS_118
VSS_119
VSS_120
VSS_121
VSS_122
VSS_123
VSS_124
VSS_125
VSS_126
VSS_127
VSS_128
VSS_129
VSS_130
VSS_131
VSS_132
VSS_133
VSS_134
VSS_135
VSS_136
VSS_137
VSS_138
VSS_139
VSS_140
VSS_141
VSS_142
VSS_143
VSS_144
VSS_145
VSS_146
VSS_147
VSS_148
VSS_149
VSS_150
VSS_151
VSS_152
VSS_153
VSS_154
VSS_155
VSS_156
VSS_157
VSS_158
VSS_159
VSS_160
VSS_161
VSS_162
VSS_163
VSS_164
VSS_165
VSS_166
VSS_167
VSS_168
VSS_169
VSS_170
VSS_171
VSS_172
VSS_173
VSS_174
VSS_175
VSS_176
VSS_177
VSS_178
VSS_179

AK34
AG34
AF34
AE34
AC34
C34
AW33
AV33
AR33
AE33
AB33
Y33
V33
T33
R33
M33
H33
G33
F33
D33
B33
AH32
AG32
AF32
AE32
AC32
AB32
G32
B32
AY31
AV31
AN31
AJ31
AG31
AB31
Y31
AB30
E30
AT29
AN29
AB29
T29
N29
K29
G29
E29
C29
B29
A29
BA28
AW28
AU28
AP28
AM28
AD28
AC28
W28
J28
E28
AP27
AM27
AK27
J27
G27
F27
C27
B27
AN26
M26
K26
F26
D26
AK25
P25
K25
H25
E25
D25
A25
BA24
AU24
AL24
AW23

AT23
AN23
AM23
AH23
AC23
W23
K23
J23
F23
C23
AA22
K22
G22
F22
E22
D22
A22
BA21
AV21
AR21
AN21
AL21
AB21
Y21
P21
K21
J21
H21
C21
AW20
AR20
AM20
AA20
K20
B20
A20
AN19
AC19
W19
K19
G19
C19
AH18
P18
H18
D18
A18
AY17
AR17
AP17
AM17
AK17
AV16
AN16
AL16
J16
F16
C16
AN15
AM15
AK15
N15
M15
L15
B15
A15
BA14
AT14
AK14
AD14
AA14
U14
K14
H14
E14
AV13
AR13
AN13
AM13
AL13
AG13
P13
F13
D13
B13
AY12
AC12
K12
H12
E12
AD11
AA11
Y11

VSS_180
VSS_181
VSS_182
VSS_183
VSS_184
VSS_185
VSS_186
VSS_187
VSS_188
VSS_189
VSS_190
VSS_191
VSS_192
VSS_193
VSS_194
VSS_195
VSS_196
VSS_197
VSS_198
VSS_199
VSS_200
VSS_201
VSS_202
VSS_203
VSS_204
VSS_205
VSS_206
VSS_207
VSS_208
VSS_209
VSS_210
VSS_211
VSS_212
VSS_213
VSS_214
VSS_215
VSS_216
VSS_217
VSS_218
VSS_219
VSS_220
VSS_221
VSS_222
VSS_223
VSS_224
VSS_225
VSS_226
VSS_227
VSS_228
VSS_229
VSS_230
VSS_231
VSS_232
VSS_233
VSS_234
VSS_235
VSS_236
VSS_237
VSS_238
VSS_239
VSS_240
VSS_241
VSS_242
VSS_243
VSS_244
VSS_245
VSS_246
VSS_247
VSS_248
VSS_249
VSS_250
VSS_251
VSS_252
VSS_253
VSS_254
VSS_255
VSS_256
VSS_257
VSS_258
VSS_259
VSS_260
VSS_261
VSS_262
VSS_263
VSS_264
VSS_265
VSS_266
VSS_267
VSS_268
VSS_269
VSS_270
VSS_271
VSS_272

VSS_273
VSS_274
VSS_275
VSS_276
VSS_277
VSS_278
VSS_279
VSS_280
VSS_281
VSS_282
VSS_283
VSS_284
VSS_285
VSS_286
VSS_287
VSS_288
VSS_289
VSS_290
VSS_291
VSS_292
VSS_293
VSS_294
VSS_295
VSS_296
VSS_297
VSS_298
VSS_299
VSS_300
VSS_301
VSS_302
VSS_303
VSS_304
VSS_305
VSS_306
VSS_307
VSS_308
VSS_309
VSS_310
VSS_311
VSS_312
VSS_313
VSS_314
VSS_315
VSS_316
VSS_317
VSS_318
VSS_319
VSS_320
VSS_321
VSS_322
VSS_323
VSS_324
VSS_325
VSS_326
VSS_327
VSS_328
VSS_329
VSS_330
VSS_331
VSS_332
VSS_333
VSS_334
VSS_335
VSS_336
VSS_337
VSS_338
VSS_339
VSS_340
VSS_341
VSS_342
VSS_343
VSS_344
VSS_345
VSS_346
VSS_347
VSS_348
VSS_349
VSS_350
VSS_351
VSS_352
VSS_353
VSS_354
VSS_355
VSS_356
VSS_357
VSS_358
VSS_359
VSS_360

VSS

J11
D11
B11
AV10
AP10
AL10
AJ10
AG10
AC10
W10
U10
BA9
AW9
AR9
AH9
AB9
Y9
R9
G9
E9
A9
AG8
AD8
AA8
U8
K8
C8
BA7
AV7
AP7
AL7
AJ7
AH7
AF7
AC7
R7
G7
D7
AG6
AD6
AB6
Y6
U6
N6
K6
H6
B6
AV5
AF5
AD5
AY4
AR4
AP4
AL4
AJ4
Y4
U4
R4
J4
F4
C4
AY3
AW3
AV3
AL3
AH3
AG3
AF3
AD3
AC3
AA3
G3
AT2
AR2
AP2
AK2
AJ2
AD2
AB2
Y2
U2
T2
N2
J2
H2
F2
C2
AL1

Calistoga_945GM

Calistoga_945GM
A

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Rev
1A

GMCH ( MEMORY )
Date:
5

Wednesday, August 02, 2006

Sheet
1

of

30

NB_945GM/PM/940GML
+VCCP

C597
C593

IV^4.7u/6.3V_6

H22

VCCSYNC

945GM_25V

C30
B30
A30

VCC_TXLVDS0
VCC_TXLVDS1
VCC_TXLVDS2

IV^.1u/16V_4
1.5V_PCIE

AJ41
AB41
Y41
V41
R41
N41
L41
AC33
G41
H41

1.5V_3GPLL
+2.5V

2.5V_CRTDAC

C602

C315
C322

C592

.1u/16V_4

VCCA_CRTDAC0
VCCA_CRTDAC1
VSSA_CRTDAC

1.5V_DPLLA
1.5V_DPLLB
1.5V_HPLL

B26
C39
AF1

VCCA_DPLLA
VCCA_DPLLB
VCCA_HPLL

945GM_25V

A38
B39

VCCA_LVDS
VSSA_LVDS

C599

IV^.1u/16V_4

C301
C270

IV^.022u/16V_4
IV^.1u/16V_4

AF2

VCCA_MPLL

H20
G20

VCCA_TVBG
VSSA_TVBG

C260
C306

IV^.022u/16V_4
IV^.1u/16V_4

C296
C290

IV^.022u/16V_4
IV^.1u/16V_4

C281
C265

IV^.022u/16V_4
IV^.1u/16V_4

C525
C154

10u/6.3V_6
.1u/16V_4

C321
C314

.022u/16V_4
.1u/16V_4

C607
C603

10u/6.3V_6
.1u/16V_4

1.5V_MPLL
3.3V_ATVBG

3.3V_ATVBG
3.3V_ATVBG
3.3V_ATVBG
+1.5V
C

VCCDLVDS
1.5V_TVDAC
+3V
1.5V_QTVDAC

C320
C316

.022u/16V_4
.1u/16V_4

+1.5V

C186

E19
F19
C20
D20
E20
F20

VCCA_TVDACA0
VCCA_TVDACA1
VCCA_TVDACB0
VCCA_TVDACB1
VCCA_TVDACC0
VCCA_TVDACC1

AH1
AH2

VCCD_HMPLL0
VCCD_HMPLL1

A28
B28
C28

VCCD_LVDS0
VCCD_LVDS1
VCCD_LVDS2

D21

VCCD_TVDAC

A23
B23
B25

VCC_HV0
VCC_HV1
VCC_HV2

H19

VCCD_QTVDAC

AK31
AF31
AE31
AC31
AL30
AK30
AJ30
AH30
AG30
AF30
AE30
AD30
AC30
AG29
AF29
AE29
AD29
AC29
AG28
AF28
AE28
AH22
AJ21
AH21
AJ20
AH20
AH19
P19
P16
AH15
P15
AH14
AG14
AF14
AE14
Y14
AF13
AE13
AF12
AE12
AD12

40mils

.1u/16V_4

C566

VCC3G0
VCC3G1
VCC3G2
VCC3G3
VCC3G4
VCC3G5
VCC3G6
VCCA_3GPLL
VCCA_3GBG
VSSA_3GBG

F21
E21
G21

IV^.022u/16V_4
IV^.1u/16V_4

IV^.01u/16V_4

C283

U31H

945GM_25V

POWER

VCCAUX0
VCCAUX1
VCCAUX2
VCCAUX3
VCCAUX4
VCCAUX5
VCCAUX6
VCCAUX7
VCCAUX8
VCCAUX9
VCCAUX10
VCCAUX11
VCCAUX12
VCCAUX13
VCCAUX14
VCCAUX15
VCCAUX16
VCCAUX17
VCCAUX18
VCCAUX19
VCCAUX20
VCCAUX21
VCCAUX22
VCCAUX23
VCCAUX24
VCCAUX25
VCCAUX26
VCCAUX27
VCCAUX28
VCCAUX29
VCCAUX30
VCCAUX31
VCCAUX32
VCCAUX33
VCCAUX34
VCCAUX35
VCCAUX36
VCCAUX37
VCCAUX38
VCCAUX39
VCCAUX40

VTT_0
VTT_1
VTT_2
VTT_3
VTT_4
VTT_5
VTT_6
VTT_7
VTT_8
VTT_9
VTT_10
VTT_11
VTT_12
VTT_13
VTT_14
VTT_15
VTT_16
VTT_17
VTT_18
VTT_19
VTT_20
VTT_21
VTT_22
VTT_23
VTT_24
VTT_25
VTT_26
VTT_27
VTT_28
VTT_29
VTT_30
VTT_31
VTT_32
VTT_33
VTT_34
VTT_35
VTT_36
VTT_37
VTT_38
VTT_39
VTT_40
VTT_41
VTT_42
VTT_43
VTT_44
VTT_45
VTT_46
VTT_47
VTT_48
VTT_49
VTT_50
VTT_51
VTT_52
VTT_53
VTT_54
VTT_55
VTT_56
VTT_57
VTT_58
VTT_59
VTT_60
VTT_61
VTT_62
VTT_63
VTT_64
VTT_65
VTT_66
VTT_67
VTT_68
VTT_69
VTT_70
VTT_71
VTT_72
VTT_73
VTT_74
VTT_75
VTT_76

AC14
AB14
W14
V14
T14
R14
P14
N14
M14
L14
AD13
AC13
AB13
AA13
Y13
W13
V13
U13
T13
R13
N13
M13
L13
AB12
AA12
Y12
W12
V12
U12
T12
R12
P12
N12
M12
L12
R11
P11
N11
M11
R10
P10
N10
M10
P9
N9
M9
R8
P8
N8
M8
P7
N7
M7
R6
P6
M6
A6
R5
P5
N5
M5
P4
N4
M4
R3
P3
N3
M3
R2
P2
M2
D2
AB1
R1
P1
N1
M1

330u/2.5V_7343
+
330u/2.5V_7343

C263

C313
.22u/10V_4
C272

10u/6.3V_6
C216

10u/6.3V_6
C225

4.7u/6.3V_6
C254

10u/6.3V_6
C249

2.2u/6.3V_6
C227

.22u/10V_4
C203

.22u/10V_4
C202

.22u/10V_4
.1u/16V_4

C239

1u/10V_4

C307

.47u/6.3V_4

VTT_56 , VTT_71 and 72 are


attached with 0.1u seperated
Intel D32387 REV:1.601

C285
C195

.22u/10V_4
.47u/6.3V_4

Calistoga_945GM

AA33
W33
P33
N33
L33
J33
AA32
Y32
W32
V32
P32
N32
M32
L32
J32
AA31
W31
V31
T31
R31
P31
N31
M31
AA30
Y30
W30
V30
U30
T30
R30
P30
N30
M30
L30
AA29
Y29
W29
V29
U29
R29
P29
M29
L29
AB28
AA28
Y28
V28
U28
T28
R28
P28
N28
M28
L28
P27
N27
M27
L27
P26
N26
L26
N25
M25
L25
P24
N24
M24
AB23
AA23
Y23
P23
N23
M23
L23
AC22
AB22
Y22
W22
P22
N22
M22
L22
AC21
AA21
W21
N21
M21
L21
AC20
AB20
Y20
W20
P20
N20
M20
L20
AB19
AA19
Y19
N19
M19
L19
N18
M18
L18
P17
N17
M17
N16
M16
L16

VCC_0
VCC_1
VCC_2
VCC_3
VCC_4
VCC_5
VCC_6
VCC_7
VCC_8
VCC_9
VCC_10
VCC_11
VCC_12
VCC_13
VCC_14
VCC_15
VCC_16
VCC_17
VCC_18
VCC_19
VCC_20
VCC_21
VCC_22
VCC_23
VCC_24
VCC_25
VCC_26
VCC_27
VCC_28
VCC_29
VCC_30
VCC_31
VCC_32
VCC_33
VCC_34
VCC_35
VCC_36
VCC_37
VCC_38
VCC_39
VCC_40
VCC_41
VCC_42
VCC_43
VCC_44
VCC_45
VCC_46
VCC_47
VCC_48
VCC_49
VCC_50
VCC_51
VCC_52
VCC_53
VCC_54
VCC_55
VCC_56
VCC_57
VCC_58
VCC_59
VCC_60
VCC_61
VCC_62
VCC_63
VCC_64
VCC_65
VCC_66
VCC_67
VCC_68
VCC_69
VCC_70
VCC_71
VCC_72
VCC_73
VCC_74
VCC_75
VCC_76
VCC_77
VCC_78
VCC_79
VCC_80
VCC_81
VCC_82
VCC_83
VCC_84
VCC_85
VCC_86
VCC_87
VCC_88
VCC_89
VCC_90
VCC_91
VCC_92
VCC_93
VCC_94
VCC_95
VCC_96
VCC_97
VCC_98
VCC_99
VCC_100
VCC_101
VCC_102
VCC_103
VCC_104
VCC_105
VCC_106
VCC_107
VCC_108
VCC_109
VCC_110

25mils

VCC

+1.5V
1.5V_PCIE

L47

REV:3A MODIFY

L25
1.5V_PCIE

+1.5V

REV:3A MODIFY

C601

C595

C155

220u/2.5V_3528

10u/6.3V_6

10u/6.3V_6

IV^10uH_8

91nH

C319

IV^330u/2.5V_7343

IV^.1u/16V_4

VCC_SM_0
VCC_SM_1
VCC_SM_2
VCC_SM_3
VCC_SM_4
VCC_SM_5
VCC_SM_6
VCC_SM_7
VCC_SM_8
VCC_SM_9
VCC_SM_10
VCC_SM_11
VCC_SM_12
VCC_SM_13
VCC_SM_14
VCC_SM_15
VCC_SM_16
VCC_SM_17
VCC_SM_18
VCC_SM_19
VCC_SM_20
VCC_SM_21
VCC_SM_22
VCC_SM_23
VCC_SM_24
VCC_SM_25
VCC_SM_26
VCC_SM_27
VCC_SM_28
VCC_SM_29
VCC_SM_30
VCC_SM_31
VCC_SM_32
VCC_SM_33
VCC_SM_34
VCC_SM_35
VCC_SM_36
VCC_SM_37
VCC_SM_38
VCC_SM_39
VCC_SM_40
VCC_SM_41
VCC_SM_42
VCC_SM_43
VCC_SM_44
VCC_SM_45
VCC_SM_46
VCC_SM_47
VCC_SM_48
VCC_SM_49
VCC_SM_50
VCC_SM_51
VCC_SM_52
VCC_SM_53
VCC_SM_54
VCC_SM_55
VCC_SM_56
VCC_SM_57
VCC_SM_58
VCC_SM_59
VCC_SM_60
VCC_SM_61
VCC_SM_62
VCC_SM_63
VCC_SM_64
VCC_SM_65
VCC_SM_66
VCC_SM_67
VCC_SM_68
VCC_SM_69
VCC_SM_70
VCC_SM_71
VCC_SM_72
VCC_SM_73
VCC_SM_74
VCC_SM_75
VCC_SM_76
VCC_SM_77
VCC_SM_78
VCC_SM_79
VCC_SM_80
VCC_SM_81
VCC_SM_82
VCC_SM_83
VCC_SM_84
VCC_SM_85
VCC_SM_86
VCC_SM_87
VCC_SM_88
VCC_SM_89
VCC_SM_90
VCC_SM_91
VCC_SM_92
VCC_SM_93
VCC_SM_94
VCC_SM_95
VCC_SM_96
VCC_SM_97
VCC_SM_98
VCC_SM_99
VCC_SM_100
VCC_SM_101
VCC_SM_102
VCC_SM_103
VCC_SM_104
VCC_SM_105
VCC_SM_106
VCC_SM_107

AU41
AT41 VCC_SM1
AM41VCC_SM2
AU40
BA34
AY34
AW34
AV34
AU34
AT34
AR34
BA30
AY30
AW30
AV30
AU30
AT30
AR30
AP30
AN30
AM30
AM29
AL29
AK29
AJ29
AH29
AJ28
AH28
AJ27
AH27
BA26
AY26
AW26
AV26
AU26
AT26
AR26
AJ26
AH26
AJ25
AH25
AJ24
AH24
BA23
AJ23
BA22
AY22
AW22
AV22
AU22
AT22
AR22
AP22
AK22
AJ22
AK21
AK20
BA19
AY19
AW19
AV19
AU19
AT19
AR19
AP19
AK19
AJ19
AJ18
AJ17
AH17
AJ16
AH16
BA15
AY15
AW15
AV15
AU15
AT15
AR15
AJ15
AJ14
AJ13
AH13
AK12
AJ12
AH12
AG12
AK11
BA8
AY8
AW8
AV8
AT8
AR8
AP8
BA6
AY6
AW6
AV6
AT6
AR6
AP6
AN6
AL6
AK6
AJ6
AV1 VCC_SM106
AJ1 VCC_SM107

+1.5V

R441

IV^0_6

C596

C137
C148

.47u/6.3V_4
.47u/6.3V_4
+1.8VSUS

C129
+
C134

330u/2.5V_7343

C132

10u/6.3V_6

C147

10u/6.3V_6

C142

.1u/16V_4

C145

.1u/16V_4

C139

.1u/16V_4

.47u/6.3V_4

place on
BA23 Ball
C135

C136

.47u/6.3V_4

.47u/6.3V_4

VCC_NCTF0
VCC_NCTF1
VCC_NCTF2
VCC_NCTF3
VCC_NCTF4
VCC_NCTF5
VCC_NCTF6
VCC_NCTF7
VCC_NCTF8
VCC_NCTF9
VCC_NCTF10
VCC_NCTF11
VCC_NCTF12
VCC_NCTF13
VCC_NCTF14
VCC_NCTF15
VCC_NCTF16
VCC_NCTF17
VCC_NCTF18
VCC_NCTF19
VCC_NCTF20
VCC_NCTF21
VCC_NCTF22
VCC_NCTF23
VCC_NCTF24
VCC_NCTF25
VCC_NCTF26
VCC_NCTF27
VCC_NCTF28
VCC_NCTF29
VCC_NCTF30
VCC_NCTF31
VCC_NCTF32
VCC_NCTF33
VCC_NCTF34
VCC_NCTF35
VCC_NCTF36
VCC_NCTF37
VCC_NCTF38
VCC_NCTF39
VCC_NCTF40
VCC_NCTF41
VCC_NCTF42
VCC_NCTF43
VCC_NCTF44
VCC_NCTF45
VCC_NCTF46
VCC_NCTF47
VCC_NCTF48
VCC_NCTF49
VCC_NCTF50
VCC_NCTF51
VCC_NCTF52
VCC_NCTF53
VCC_NCTF54
VCC_NCTF55
VCC_NCTF56
VCC_NCTF57
VCC_NCTF58
VCC_NCTF59
VCC_NCTF60
VCC_NCTF61
VCC_NCTF62
VCC_NCTF63
VCC_NCTF64
VCC_NCTF65
VCC_NCTF66
VCC_NCTF67
VCC_NCTF68
VCC_NCTF69
VCC_NCTF70
VCC_NCTF71
VCC_NCTF72

VSS_NCTF0
VSS_NCTF1
VSS_NCTF2
VSS_NCTF3
VSS_NCTF4
VSS_NCTF5
VSS_NCTF6
VSS_NCTF7
VSS_NCTF8
VSS_NCTF9
VSS_NCTF10
VSS_NCTF11
VSS_NCTF12

AE27
AE26
AE25
AE24
AE23
AE22
AE21
AE20
AE19
AE18
AC17
Y17
U17

VCCAUX_NCTF0
VCCAUX_NCTF1
VCCAUX_NCTF2
VCCAUX_NCTF3
VCCAUX_NCTF4
VCCAUX_NCTF5
VCCAUX_NCTF6
VCCAUX_NCTF7
VCCAUX_NCTF8
VCCAUX_NCTF9
VCCAUX_NCTF10
VCCAUX_NCTF11
VCCAUX_NCTF12
VCCAUX_NCTF13
VCCAUX_NCTF14
VCCAUX_NCTF15
VCCAUX_NCTF16
VCCAUX_NCTF17
VCCAUX_NCTF18
VCCAUX_NCTF19
VCCAUX_NCTF20
VCCAUX_NCTF21
VCCAUX_NCTF22
VCCAUX_NCTF23
VCCAUX_NCTF24
VCCAUX_NCTF25
VCCAUX_NCTF26
VCCAUX_NCTF27
VCCAUX_NCTF28
VCCAUX_NCTF29
VCCAUX_NCTF30
VCCAUX_NCTF31
VCCAUX_NCTF32
VCCAUX_NCTF33
VCCAUX_NCTF34
VCCAUX_NCTF35
VCCAUX_NCTF36
VCCAUX_NCTF37
VCCAUX_NCTF38
VCCAUX_NCTF39
VCCAUX_NCTF40
VCCAUX_NCTF41
VCCAUX_NCTF42
VCCAUX_NCTF43
VCCAUX_NCTF44
VCCAUX_NCTF45
VCCAUX_NCTF46
VCCAUX_NCTF47
VCCAUX_NCTF48
VCCAUX_NCTF49
VCCAUX_NCTF50
VCCAUX_NCTF51
VCCAUX_NCTF52
VCCAUX_NCTF53
VCCAUX_NCTF54
VCCAUX_NCTF55
VCCAUX_NCTF56
VCCAUX_NCTF57

AG27
AF27
AG26
AF26
AG25
AF25
AG24
AF24
AG23
AF23
AG22
AF22
AG21
AF21
AG20
AF20
AG19
AF19
R19
AG18
AF18
R18
AG17
AF17
AE17
AD17
AB17
AA17
W17
V17
T17
R17
AG16
AF16
AE16
AD16
AC16
AB16
AA16
Y16
W16
V16
U16
T16
R16
AG15
AF15
AE15
AD15
AC15
AB15
AA15
Y15
W15
V15
U15
T15
R15

+1.5V

NCTF

Calistoga_945GM

place on
BA15 Ball

C512
C522

.47u/6.3V_4
.47u/6.3V_4

25mils

Calistoga_945GM

1.5V_DPLLA

C327

AD27
AC27
AB27
AA27
Y27
W27
V27
U27
T27
R27
AD26
AC26
AB26
AA26
Y26
W26
V26
U26
T26
R26
AD25
AC25
AB25
AA25
Y25
W25
V25
U25
T25
R25
AD24
AC24
AB24
AA24
Y24
W24
V24
U24
T24
R24
AD23
V23
U23
T23
R23
AD22
V22
U22
T22
R22
AD21
V21
U21
T21
R21
AD20
V20
U20
T20
R20
AD19
V19
U19
T19
AD18
AC18
AB18
AA18
Y18
W18
V18
U18
T18

+VCCP

U31G

C670 FOR EXT VGA STUFF 0 ohm


.1u/16V_4

U31F

C213
+
330u/2.5V_7343

C282

+VCCP

VCCDLVDS

.1u/16V_4

L46
1.5V_DPLLB

L48
C606
10u/6.3V_6

IV^10uH_8
1uH_6_25mA

C594

C302

IV^330u/2.5V_7343

IV^.1u/16V_4

C181
PDZ5.6B
1

NB_N1

C548

BK1608HS220_6_1A

10u/6.3V_8
BLM18PG181SN1_6_1.5A

REV:3A
MODIFY

C533
.1u/16V_4

NB_N2

+3V
PC166

L10

R169
IV^0_6

IV^100u/6.3V_3528

REV:3A MODIFY

1.5V_TVDAC

D19

BK1608HS220_6_1A

BLM18PG181SN1_6_1.5A

BK1608HS220_6_1A

C158
10u/6.3V_8

REV:3A
MODIFY

C712

C713

C714

C715

IV^10u/6.3V_6

IV^4.7u/6.3V_6

IV^4.7u/6.3V_6

IV^4.7u/6.3V_6

IV^4.7u/6.3V_6

PROJECT : ZR1
R443

1.5V_QTVDAC

REV:3A MODIFY
0 ohm for EXT VGA

3.3V_ATVBG
C329

PDZ5.6B

1.5V_MPLL
L22

L26

945GM_25V
IV^0_8

FOR 945GM/940GML use 10u/6.3V

10/F_6

1.5V_HPLL

+2.5V

REV:3A MODIFY

R170
2

+1.5V

R448

L23

D2

.1u/16V_4
L42

+1.5V

FOR EXT VGA


STUFF 0 ohm

1.5V_3GPLL

+1.5V

C159

+VCCP

Quanta Computer Inc.

NB_N3
10/F_6

.1u/16V_4

+2.5V

NB_N4
R442
IV^0_6

L24

2.5V_CRTDAC

Size

Rev
3A

GMCH ( POWER )

BK1608HS220_6_1A
Date:

Document Number

Wednesday, August 02, 2006

Sheet
1

of

30

+0.9VSUS

+1.8VSUS

C81

DDR2 SO-DIMM SOCKET

+1.8VSUS

+0.9VSUS

2.2u/6.3V_6

C77

.1u/16V_4

SMA_DQS0#
SMA_DQS0

7 SMA_DQS0#
7 SMA_DQS0

SMA_MD3
SMA_MD2
SMA_MD8
SMA_MD14
SMA_DQS1#
SMA_DQS1

7 SMA_DQS1#
7 SMA_DQS1

SMA_MD9
SMA_MD15

SMA_MD17
SMA_MD21
SMA_DQS2#
SMA_DQS2

7 SMA_DQS2#
7 SMA_DQS2

SMA_MD19
SMA_MD23
SMA_MD25
SMA_MD24
SMA_DM3

7 SMA_DM3

SMA_MD31
SMA_MD30

SM_CKE0

5 SM_CKE0

SMA_BA2

7 SMA_BA2

SMA_MA12
SMA_MA9
SMA_MA8
SMA_MA5
SMA_MA3
SMA_MA1
SMA_MA10
SMA_BA0
SMA_WE#

7 SMA_BA0
7 SMA_WE#
7 SMA_CAS#
5 SM_CS1#

SM_ODT1

5 SM_ODT1

SMA_MD36
SMA_MD35
SMA_DQS4#
SMA_DQS4

7 SMA_DQS4#
7 SMA_DQS4
C

SMA_MD39
SMA_MD38

7 SMA_DM5

SMA_MD42
SMA_MD46
SMA_MD49
SMA_MD53

SMA_DQS6#
SMA_DQS6

7 SMA_DQS6#
7 SMA_DQS6

SMA_MD50
SMA_MD51

SMA_DM7

7 SMA_DM7

SMA_MD58
SMA_MD63
D

2
2

SMBDT
SMBCK
+3V

SMBDT
SMBCK
C68
C80

.1u/16V_4
2.2u/6.3V_6

VSS18
DQ16
DQ17
VSS1
DQS#2
DQS2
VSS19
DQ18
DQ19
VSS22
DQ24
DQ25
VSS23
DM3
NC4
VSS9
DQ26
DQ27
VSS4
CKE0
VDD7
NC1
A16_BA2
VDD9
A12
A9
A8
VDD5
A5
A3
A1
VDD10
A10/AP
BA0
WE#
VDD2
CAS#
S1#
VDD3
ODT1
VSS11
DQ32
DQ33
VSS26
DQS#4
DQS4
VSS2
DQ34
DQ35
VSS27
DQ40
DQ41
VSS29
DM5
VSS51
DQ42
DQ43
VSS40
DQ48
DQ49
VSS52
NCTEST
VSS30
DQS#6
DQS6
VSS31
DQ50
DQ51
VSS33
DQ56
DQ57
VSS3
DM7
VSS34
DQ58
DQ59
VSS14
SDA
SCL
VDD(SPD)

VSS46
DQ4
DQ5
VSS15
DM0
VSS5
DQ6
DQ7
VSS16
DQ12
DQ13
VSS17
DM1
VSS53
CK0
CK0#
VSS41
DQ14
DQ15
VSS54

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40

VSS20
DQ20
DQ21
VSS6
NC3
DM2
VSS21
DQ22
DQ23
VSS24
DQ28
DQ29
VSS25
DQS#3
DQS3
VSS10
DQ30
DQ31
VSS8
CKE1
VDD8
A15
A14
VDD11
A11
A7
A6
VDD4
A4
A2
A0
VDD12
BA1
RAS#
S0#
VDD1
ODT0
A13
VDD6
NC2
VSS12
DQ36
DQ37
VSS28
DM4
VSS42
DQ38
DQ39
VSS55
DQ44
DQ45
VSS43
DQS#5
DQS5
VSS56
DQ46
DQ47
VSS44
DQ52
DQ53
VSS57
CK1
CK1#
VSS45
DM6
VSS32
DQ54
DQ55
VSS35
DQ60
DQ61
VSS7
DQS#7
DQS7
VSS36
DQ62
DQ63
VSS13
SA0
SA1

42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200

SMA_MD5
SMA_MD1
SMA_DM0

SMA_DM0 7
7 SMB_DQS0#
7 SMB_DQS0

SMA_MD7
SMA_MD6

CLK_SDRAM0
CLK_SDRAM0#

CLK_SDRAM0 5
CLK_SDRAM0# 5

SMA_MD20
SMA_MD16

SMB_MD20
SMB_MD17
EXTTS#0 5
SMA_DM2 7

7 SMA_MA[13..0]

7 SMB_DQS2#
7 SMB_DQS2

SMB_MD23
SMB_MD19

SMA_MD28
SMA_MD29

SMB_MD29
SMB_MD28

SMA_DQS3#
SMA_DQS3

SMA_DQS3# 7
SMA_DQS3 7

7 SMB_DM3

SMB_DM3

SMA_MD27
SMA_MD26

SMB_MD30
SMB_MD31

SM_CKE1

SM_CKE2

SM_CKE1 5

5 SM_CKE2
7 SMB_BA2

SMB_BA2

SMA_MA11
SMA_MA7
SMA_MA6

SMB_MA12
SMB_MA9
SMB_MA8

SMA_MA4
SMA_MA2
SMA_MA0

SMB_MA5
SMB_MA3
SMB_MA1

SMA_BA1
SMA_RAS#
SM_CS0#
SM_ODT0
SMA_MA13

SMA_BA1 7
SMA_RAS# 7
SM_CS0# 5
SM_ODT0 5

7 SMB_BA0
7 SMB_WE#
7 SMB_CAS#
5 SM_CS3#
5 SM_ODT3

SMA_DM4

SMA_DM4 7

SMA_MD34
SMA_MD33

7 SMB_DQS4#
7 SMB_DQS4

SMB_CAS#
SM_CS3#
SM_ODT3

SMB_DQS4#
SMB_DQS4
SMB_MD39
SMB_MD35

SMA_MD45
SMA_MD44
SMA_DQS5#
SMA_DQS5

SMB_MA10
SMB_BA0
SMB_WE#

SMB_MD36
SMB_MD37

SMA_MD32
SMA_MD37

SMB_MD45
SMB_MD41
SMA_DQS5# 7
SMA_DQS5 7

7 SMB_DM5

SMB_DM5

SMA_MD47
SMA_MD43

SMB_MD43
SMB_MD46

SMA_MD52
SMA_MD48

SMB_MD53
SMB_MD49

CLK_SDRAM1
CLK_SDRAM1#
SMA_DM6

CLK_SDRAM1 5
CLK_SDRAM1# 5
SMA_DM6 7

7 SMB_DQS6#
7 SMB_DQS6

SMB_DQS6#
SMB_DQS6

SMA_MD55
SMA_MD54

SMB_MD54
SMB_MD51

SMA_MD60
SMA_MD61

SMB_MD57
SMB_MD61

SMA_DQS7#
SMA_DQS7

SMB_DM7

SMA_DQS7# 7
SMA_DQS7 7

7 SMB_DM7

SMB_MD59
SMB_MD62

SMA_MD62
SMA_MD59
R40
R41

SMB_DQS2#
SMB_DQS2

SMA_MD18
SMA_MD22

2 SMBDT
2 SMBCK
+3V

10K_4
10K_4

CLOCK 0,1,2

SMBDT
SMBCK
C101
C107

.1u/16V_4
2.2u/6.3V_6

SMbus address A1

CKE 0,1
7 SMA_MD[63..0]

7 SMB_DQS1#
7 SMB_DQS1

SMB_DQS1#
SMB_DQS1
SMB_MD11
SMB_MD14

PC4800_DDR2_9.2MM_REV

SMbus address A0

SMB_MD9
SMB_MD8

SMA_DM1 7

SMA_MD10
SMA_MD11

SMA_DM2

SMB_DQS0#
SMB_DQS0
SMB_MD3
SMB_MD2

SMA_MD13
SMA_MD12
SMA_DM1

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

SMB_MD1
SMB_MD5

41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199

VREF
VSS47
DQ0
DQ1
VSS37
DQS#0
DQS0
VSS48
DQ2
DQ3
VSS38
DQ8
DQ9
VSS49
DQS#1
DQS1
VSS39
DQ10
DQ11
VSS50
VSS18
DQ16
DQ17
VSS1
DQS#2
DQS2
VSS19
DQ18
DQ19
VSS22
DQ24
DQ25
VSS23
DM3
NC4
VSS9
DQ26
DQ27
VSS4
CKE0
VDD7
NC1
A16_BA2
VDD9
A12
A9
A8
VDD5
A5
A3
A1
VDD10
A10/AP
BA0
WE#
VDD2
CAS#
S1#
VDD3
ODT1
VSS11
DQ32
DQ33
VSS26
DQS#4
DQS4
VSS2
DQ34
DQ35
VSS27
DQ40
DQ41
VSS29
DM5
VSS51
DQ42
DQ43
VSS40
DQ48
DQ49
VSS52
NCTEST
VSS30
DQS#6
DQS6
VSS31
DQ50
DQ51
VSS33
DQ56
DQ57
VSS3
DM7
VSS34
DQ58
DQ59
VSS14
SDA
SCL
VDD(SPD)

VSS20
DQ20
DQ21
VSS6
NC3
DM2
VSS21
DQ22
DQ23
VSS24
DQ28
DQ29
VSS25
DQS#3
DQS3
VSS10
DQ30
DQ31
VSS8
CKE1
VDD8
A15
A14
VDD11
A11
A7
A6
VDD4
A4
A2
A0
VDD12
BA1
RAS#
S0#
VDD1
ODT0
A13
VDD6
NC2
VSS12
DQ36
DQ37
VSS28
DM4
VSS42
DQ38
DQ39
VSS55
DQ44
DQ45
VSS43
DQS#5
DQS5
VSS56
DQ46
DQ47
VSS44
DQ52
DQ53
VSS57
CK1
CK1#
VSS45
DM6
VSS32
DQ54
DQ55
VSS35
DQ60
DQ61
VSS7
DQS#7
DQS7
VSS36
DQ62
DQ63
VSS13
SA0
SA1

42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124
126
128
130
132
134
136
138
140
142
144
146
148
150
152
154
156
158
160
162
164
166
168
170
172
174
176
178
180
182
184
186
188
190
192
194
196
198
200

SMB_MD4
SMB_MD0
SMB_DM0

SMB_DM0 7

SMB_MD7
SMB_MD6
SMB_MD12
SMB_MD13
SMB_DM1

SMB_DM1 7

CLK_SDRAM4
CLK_SDRAM4#

CLK_SDRAM4 5
CLK_SDRAM4# 5

SMB_MD10
SMB_MD15

SMB_MD16
SMB_MD21

2 56_4P2R
4
2 56_4P2R
4
56_4
56_4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
56_4
56_4
56_4
56_4

SMA_BA0
SMA_MA10
SMA_MA6
SMA_MA4
SMA_MA1
SMA_MA3
SMA_MA2
SMA_MA0
SMA_MA8
SMA_MA12
SMA_MA11
SMA_MA7
SMA_MA5
SMA_MA9
SMA_BA1
SMA_MA13
SMA_BA2
SMA_RAS#
SMA_CAS#
SMA_WE#

2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
2 56_4P2R
4
56_4
56_4
56_4
56_4
56_4
56_4

1
3
1
3
RN9 1
3
RN4 1
3
RN11 1
3
RN6 1
3
RN10 1
3
R32
R36
R39
R31
R44
R45
RN5

SM_CKE0
SM_CKE1
SM_CKE2
SM_CKE3

R46
R33
R61
R53

56_4
56_4
56_4
56_4

R30
R42
R49
R58

56_4
56_4
56_4
56_4

SM_CS0#
SM_CS1#
SM_CS2#
SM_CS3#

SM_ODT0
SM_ODT1

R37
R43

56_4
56_4

R52
R59

56_4
56_4

SM_ODT2
SM_ODT3

EXTTS#0 5
SMB_DM2 7

SMB_DM2
SMB_MD18
SMB_MD22

+0.9V

TERMINATOR DECOUPLING CAPACITOR

SMB_MD24
SMB_MD25
C104
SMB_DQS3#
SMB_DQS3

SMB_DQS3# 7
SMB_DQS3 7

C106

C102

C103

C105

C92

C87

C90

C89

.1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4

SMB_MD26
SMB_MD27

SM_CKE3

SM_CKE3 5
+0.9V

SMB_MA11
SMB_MA7
SMB_MA6

C93

C110

C112

C51

C78

C83

C48

C47

C91

.1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4

SMB_MA4
SMB_MA2
SMB_MA0
SMB_BA1
SMB_RAS#
SM_CS2#

SMB_BA1 7
SMB_RAS# 7
SM_CS2# 5

SM_ODT2
SMB_MA13

+0.9V

SM_ODT2 5
C72

C73

C71

C70

C74

C50

C49

C52

.1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4 .1u/16V_4

C75

REV:3A
MODIFY

100u/6.3V_3528

SMB_MD33
SMB_MD32
SMB_DM4

SMB_DM4 7

SMB_MD34
SMB_MD38

+1.8VSUS

CLOSE SO-DIMM SOCKET CAPACITORS

SMB_MD40
SMB_MD44
SMB_DQS5#
SMB_DQS5

SMB_DQS5# 7
SMB_DQS5 7

C88

C114

C111

C109

C82

C85

*100u/6.3V_3528

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

2.2u/6.3V_6

C84

C108

C483

C481

2.2u/6.3V_6

2.2u/6.3V_6

2.2u/6.3V_6

2.2u/6.3V_6

SMB_MD42
SMB_MD47

REV:3A
MODIFY

SMB_MD52
SMB_MD48
CLK_SDRAM3
CLK_SDRAM3#

CLK_SDRAM3 5
CLK_SDRAM3# 5

SMB_DM6

SMB_DM6 7

+1.8VSUS

SMB_MD50
SMB_MD55
SMB_MD60
SMB_MD56
SMB_DQS7#
SMB_DQS7

10K_4
10K_4

C76

C62

C64

C63

C56

C482

*100u/6.3V_3528

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

2.2u/6.3V_6

C54

C53

C46

C86

2.2u/6.3V_6

2.2u/6.3V_6

2.2u/6.3V_6

2.2u/6.3V_6

SMB_DQS7# 7
SMB_DQS7 7

REV:3A
MODIFY

SMB_MD58
SMB_MD63
R55
R54

CLOSE SO-DIMM SOCKET CAPACITORS

+3V

PROJECT : ZR1

CKE 2,3

Quanta Computer Inc.

SMB_MD[63..0]
SMB_MA[13..0]

Size

Document Number

Rev
3A

DDR SO-DIMM(200P)
Date:

RN8

CLOCK 3,4,5

7 SMB_MA[13..0]

SMA_MA[13..0]

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40

RN12 1
3
RN20 1
3
R48
R51
RN13 1
3
RN21 1
3
RN19 1
3
RN22 1
3
RN14 1
3
R60
R50
R62
R63

PC4800_DDR2_5.2MM_REV

7 SMB_MD[63..0]

SMA_MD[63..0]

VSS46
DQ4
DQ5
VSS15
DM0
VSS5
DQ6
DQ7
VSS16
DQ12
DQ13
VSS17
DM1
VSS53
CK0
CK0#
VSS41
DQ14
DQ15
VSS54

SMA_MD56
SMA_MD57

VREF
VSS47
DQ0
DQ1
VSS37
DQS#0
DQS0
VSS48
DQ2
DQ3
VSS38
DQ8
DQ9
VSS49
DQS#1
DQS1
VSS39
DQ10
DQ11
VSS50

SMA_MD40
SMA_MD41
SMA_DM5

41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123
125
127
129
131
133
135
137
139
141
143
145
147
149
151
153
155
157
159
161
163
165
167
169
171
173
175
177
179
181
183
185
187
189
191
193
195
197
199

+0.9V

.1u/16V_4

SMA_CAS#
SM_CS1#

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39

DDR2 TERMINATOR
SMB_MA0
SMB_MA4
SMB_MA3
SMB_MA1
SMB_MA13
SMB_BA1
SMB_MA2
SMB_MA7
SMB_MA8
SMB_MA5
SMB_MA10
SMB_BA0
SMB_MA9
SMB_MA12
SMB_MA11
SMB_MA6
SMB_BA2
SMB_RAS#
SMB_CAS#
SMB_WE#

CN14

PC4800 DDR2
SDRAM SO-DIMM
(200P)

SMA_MD0
SMA_MD4

2.2u/6.3V_6

C94

CN13

+1.8VSUS

C96

PC4800 DDR2
SDRAM SO-DIMM
(200P)

+1.8VSUS

Wednesday, August 02, 2006


7

Sheet

9
8

of

30

LVDS

LCD_EDIDCLK
LCD_EDIDDAT

REV:2A MODIFY P/N


BL_ON
VADJ

C457

.1u/16V_4

+3V

LCD_VCC
VIN
C

C467

C468

C469

10u/25V_1206

10u/25V_1206

.1u/25V_6

2
D15

1
BAS316

FOR
945GM/940GML
use bead & 6p

LID# 11,16,19

REV:3A MODIFY

BLON

C709
L39

EV^22P_4

TV_C/R_SYS
EC_BLON#

C710

1.8uH_6
TV-CHROMA

Q23
DTC144EU

R368

C513

C514

150/F_4

270p_4

330p_4

REV:3A MODIFY

330K_6

L69
TI201209G121_8
2
1

LCDONG

L70
LCDVCC1
1

C703

R557

TV-LUMA

TV_Y/G_SYS

C530

C529

R375

330p_4

270p_4

150/F_4

L40

EV^22P_4
1.8uH_6
TV_COMP_SYS

TV-COMP

LCD_VCC

C521

C520

R372

330p_4

270p_4

150/F_4

CHB2012U121_8
2

R558

C463

22_8

.1u/16V_4

C704

C464

.01u/16V_4

10u/6.3V_6

LCDDISCHG
1

Q34
2N7002

6,26 LCD_ON

1.8uH_6

.01u/16V_4

100K_6

Q35
PDTC143TT

+3V

Vgs=20V
Rds@10V=28m ohm
@4.5V=42m ohm
Ids@25C=5.8A

Q33
AO3404

LVDS
+5V_S5

L41

C711
3VLCD

R556

EV^22P_4

CN15
S_VIDEO

EC_BLON# 19

3
VIN

REV:3A MODIFY

REV:2A MODIFY P/N

BLON 6,26

R329

100K_4

FOR
945GM/940GML
use bead & 6p

LID#
1
BAS316

VADJ

2
D16

LVDS_TXL1LVDS_TXL1+

6,26 LVDS_TXL16,26 LVDS_TXL1+

+3V

LVDS_TXL0LVDS_TXL0+

6,26 LVDS_TXL06,26 LVDS_TXL0+

BL_ON

10K_4

LVDS_TXL2LVDS_TXL2+

6,26 LVDS_TXL26,26 LVDS_TXL2+

R320

LVDS_TXLCKLVDS_TXLCK+

6,26 LVDS_TXLCK6,26 LVDS_TXLCK+

+3V
2.2K_4

LVDS_TXU1LVDS_TXU1+

6,26 LVDS_TXU16,26 LVDS_TXU1+

R310

LVDS_TXU0LVDS_TXU0+

6,26 LVDS_TXU06,26 LVDS_TXU0+

19

S-VIDEO

+3V

LCD_EDIDDAT

6,26 LCD_EDIDDAT

LVDS_TXU2LVDS_TXU2+

6,26 LVDS_TXU26,26 LVDS_TXU2+

2.2K_4

1
3
5
7
9
11
13
15
17
19
21
23
2
4
6
8
10
12
14
16
18
20
22
24
25
27
29
31
33
35
37
39
26
28
30
32
34
36
38
40

1
3
5
7
9
11
13
15
17
19
21
23
2
4
6
8
10
12
14
16
18
20
22
24
25
27
29
31
33
35
37
39
26
28
30
32
34
36
38
40

LCD_EDIDCLK

6,26 LCD_EDIDCLK

CN1
LVDS_TXUCKLVDS_TXUCK+

6,26 LVDS_TXUCK6,26 LVDS_TXUCK+

R308

LCDON#

R374

NZ^0_4

TV_Y/G_SYS

TV_C/R

R373

NZ^0_4

TV_C/R_SYS

TV_COMP R367

NZ^0_4

TV_COMP_SYS

6,26 TV_C/R
6,26 TV_COMP

20 PR_INSERT_5V

Q36
2N7002

IV^100K_4

6,26 TV_Y/G

R327

TV_Y/G

TV_Y/G

C_A

TV_C/R

C_B

TV_COMP

C_C

12

C_D

1
15

SE
EN#

PR_INSERT_5V

VCC
A0
A1
B0
B1
C0
C1
D0
D1

16
2
3
5
6
11
10
14
13

GND

+5V
TV_Y/G_SYS
TV_Y/G_PR
TV_C/R_SYS
TV_C/R_PR
TV_COMP_SYS
TV_COMP_PR

TV_Y/G_PR 20
TV_C/R_PR 20
TV_COMP_PR 20

U7 EZ^SN74CBTLV3257PWR

CRT

+5V_CRT2
D13
2

SSM14
1

.1u/16V_4

25 MIL

+5V_CRT2

16

+5V

C9

CN10

6,26 VGA_RED

CRT
VGA_RED_SYS

L5

BLM18BA220SN1D_6_0.5A

CRT_R1

VGA_GRN_SYS

L4

BLM18BA220SN1D_6_0.5A

CRT_G1

BLM18BA220SN1D_6_0.5A

CRT_B1

VGA_BLU_SYS

L3
R16

10p_4

R17

C25

150/F_4

10p_4

R15

C21

150/F_4

C18

10p_4

10p_4

C19

C20

10p_4

10p_4

6,26 VGA_GRN

11

6,26 VGA_BLU

12

CRT_DDAT

13

CRT_HSYNC2

14

CRT_VSYNC2

15

CRT_DCLK

R21
R23

19 CRT_SENSE#

R24
U22
+5V_CRT2
+3V
+5V_CRT2 R301
C453

*0_4
.22u/10V_4

10K_4

UMA mode :
39 ohm
nVIDIA :
0 ohm

*0_4

CM2009

20 PR_INSERT_5V

SYNC_OUT2

VSYNC1

R318

0_4

R324

EZ^0_4

SYNC_OUT1

14

HSYNC1

R317

0_4

R323

EZ^0_4

BYP

SYNC_IN2

15

CRTVSYNC

SYNC_IN1

13

CRTHSYNC

DDC_IN1

10

CRTDCLK

VCC_SYNC

VCC_DDC

CRTVSYNC 6,26

C27
10p_4

VCC_VIDEO

VIDEO_1

CRT_G1

VIDEO_2

DDC_IN2

11

CRTDDAT

CRT_B1

VIDEO_3

DDC_OUT1

CRTDCLK_R

R322

0_4

R321

EZ^0_4

GND

DDC_OUT2

12

CRTDDAT_R

R326

0_4

R325

EZ^0_4

CRTHSYNC

6,26

CRTDCLK 6,26

NZ^0_4

VGA_BLU_SYS

C_A

VGA_GRN

C_B

VGA_BLU

C_C

12

C_D

1
15

SE
EN#

PR_INSERT_5V

VCC
A0
A1
B0
B1
C0
C1
D0
D1

16
2
3
5
6
11
10
14
13

GND

+5V
VGA_RED_SYS
VGA_RED_PR
VGA_GRN_SYS
VGA_GRN_PR
VGA_BLU_SYS
VGA_BLU_PR

VGA_RED_PR 20
VGA_GRN_PR 20
VGA_BLU_PR 20

CRT_VSYNC 20
CRT_HSYNC

20

C28
10p_4

+3V

VGA_GRN_SYS

VGA_BLU R328

+5V_CRT2

CRT_R1

VGA_RED_SYS

NZ^0_4

EZ^SN74CBTLV3257PWR

16

NZ^0_4

VGA_GRN R330

VGA_RED

+3V

0_4

VGA_RED R331

U2

17

150/F_4

C24

6
1
7
2
8
3
9
4
10
5

R20
4.7K_4

R19
4.7K_4

CRTDDAT 6,26

C29
10p_4

CRT_DDCCLK 20

PROJECT : ZR1

CRT_DDCDAT 20

Quanta Computer Inc.

C30
10p_4

Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
3A

LCD / CRT / TV
5

Sheet
1

10

of

30

SPKR
SUS_STAT#
SYS_RST#

GPIO26

B21
E23

GPIO27
GPIO28

18
18
18
18

SATA_RXN0
SATA_RXP0
SATA_TXN0
SATA_TXP0

R481

LFRAME#
A20GATE
A20M#

AE22
AH28

GATEA20
A20M#

LAN_CLK

CPUSLP#

AG27

CPUSLP#_SB

TP1/DPRSTP#
TP2/DPSLP#

AF24
AH25

R_DPRSTP# R183
DPSLP#

FERR#

AG26

FERR#

GPIO49/CPUPWRGD

AG24

CPUPWRGD

IGNNE#
INIT3_3V#
INIT#
INTR

AG22
AG21
AF22
AF25

IGNNE#

RCIN#

AG23

RCIN#

NMI
SMI#

AH24
AF23

NMI
SMI#

LAN_RXD0
LAN_RXD1
LAN_RXD2

ACZ_BIT_CLK
ACZ_SYNC
ACZ_RST#

T2
T3
T1

ACZ_SDIN0
ACZ_SDIN1
ACZ_SDIN2

T4

ACZ_SDOUT

AF1
AE1

SATA_CLKN
SATA_CLKP

AH10
AG10

DIOR#
DIOW#
DDACK#
IDEIRQ
IORDY
DDREQ

R_CD_BITCLKA

R_CD_RESET#

39_4

R260

39_4

R271

39_4

R261

39_4

R269

39_4

R259

CD_SYNC_CODEC

DD0
DD1
DD2
DD3
DD4
DD5
DD6
DD7
DD8
DD9
DD10
DD11
DD12
DD13
DD14
DD15

AB15
AE14
AG13
AF13
AD14
AC13
AD12
AC12
AE12
AF12
AB13
AC14
AF14
AH13
AH14
AC15

PDD0
PDD1
PDD2
PDD3
PDD4
PDD5
PDD6
PDD7
PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

DA0
DA1
DA2

AH17
AE17
AF17

PDA0
PDA1
PDA2

DCS1#
DCS3#

AE16
AD16

PDCS1#
PDCS3#

C334
C335

M26
M25
EZ^.1u/16V_4 PCIE_TXN4_CL28
EZ^.1u/16V_4 PCIE_TXP4_CL27

PERn4
PERp4
PETn4
PETp4

R243
100/F_4

P26
P25
N28
N27

PERn5
PERp5
PETn5
PETp5

RSMRST# 19

T25
T24
R28
R27

EMAIL_LED# 18
LID# 10,16,19
DOCKIN# 13,20

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

19,20
19,20
19,20
19,20

LPC_DRQ0# 20
LFRAME#/FWH4 19,20
GATEA20 19
A20M# 3
T3
0_4

DPRSLP# 3,22
DPSLP# 3

CPUPWRGD 3
IGNNE# 3
CPUINIT# 3
INTR 3

STPCLK# 3

R175

THERMTRIP#

THERMTRIP# 5

PDD[15..0]

18

16 S_PIRQC#
16 S_PIRQD#

2,22 CLK_EN#

SPI_SI
SPI_SO

P5
P2

SPI_MOSI
SPI_MISO

OC0#
OC1#
OC2#
OC3#
OC4#
OC4#
OC4#
OC4#

D3
C4
D5
D4
E5
C3
A2
B3

OC0#
OC1#
OC2#
OC3#
OC4#
OC5#/GPIO29
OC6#/GPIO30
OC7#/GPIO31

SPI_CLK
SPI_CS#
SPI_ARB

E18
C18
A16
F18
E16
A18
E17
A17
A15
C14
E14
D14
B12
C13
G15
G13
E12
C11
D11
A11
A10
F11
F10
E9
D9
B9
A8
A6
C7
B6
E6
D6

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

PCI

PIRQA#
PIRQB#
PIRQC#
PIRQD#

AE5
AD5
AG4
AH4
AD9

RSVD[1]
RSVD[2]
RSVD[3]
RSVD[4]
RSVD[5]

PDCS1# 18
PDCS3# 18

Y26
Y25
W28
W27

DMI_RXN1
DMI_RXP1
DMI_TXN1
DMI_TXP1

DMI2RXN
DMI2RXP
DMI2TXN
DMI2TXP

AB26
AB25
AA28
AA27

DMI_RXN2
DMI_RXP2
DMI_TXN2
DMI_TXP2

DMI3RXN
DMI3RXP
DMI3TXN
DMI3TXP

AD25
AD24
AC28
AC27

DMI_RXN3
DMI_RXP3
DMI_TXN3
DMI_TXP3

DMI_CLKN
DMI_CLKP

AE28
AE27

F1
F2
G4
G3
H1
H2
J4
J3
K1
K2
L4
L5
M1
M2
N4
N3

USBRBIAS#
USBRBIAS

D2
D1

DMI_RXN0
DMI_RXP0
DMI_TXN0
DMI_TXP0

5
5
5
5

DMI_RXN1
DMI_RXP1
DMI_TXN1
DMI_TXP1

5
5
5
5

DMI_RXN2
DMI_RXP2
DMI_TXN2
DMI_TXP2

5
5
5
5

DMI_RXN3
DMI_RXP3
DMI_TXN3
DMI_TXP3

5
5
5
5

DMICOMP R177

USBP1USBP1+
USBP2USBP2+
USBP3USBP3+
USBP4USBP4+

24.9/F_4

USBP1USBP1+
USBP2USBP2+
USBP3USBP3+
USBP4USBP4+

+1.5V

USBRBIAS R250

S_REQ2# 16
S_GNT2# 15,16

R470

PA^8.2K_4

R193

SA^33_4

S_CBE0#
S_CBE1#
S_CBE2#
S_CBE3#

IRDY#
PAR
PCIRST#
DEVSEL#
PERR#
PLOCK#
SERR#
STOP#
TRDY#
FRAME#

A7
E10
B18
A12
C9
E11
B10
F15
F14
F16

S_IRDY#
S_PAR
PCIRST#
S_DEVSEL#
S_PERR#
S_PLOCK#
S_SERR#
S_STOP#
S_TRDY#
S_FRAME#

PLTRST#
PCICLK
PME#

C26
A9
B19

PLTRST#_MCH
PCLK_ICH
PME#

S_REQ4#
S_REQ5#

S_CBE0#
S_CBE1#
S_CBE2#
S_CBE3#

14,15,16
14,15,16
14,15,16
14,15,16

S_IRDY# 14,15,16
S_PAR 14,16
PCIRST# 14,15,16
S_DEVSEL# 14,15,16
S_PERR# 14,16
S_PLOCK# 14
S_SERR# 14,16
S_STOP# 14,16
S_TRDY# 14,15,16
S_FRAME# 14,15,16

+3V

PA^0_4
PA^0_4

S_PIRQE#
S_PIRQF#
S_PIRQG#
S_PIRQH#

R200
R210
R201
R206

8.2K_4
8.2K_4
8.2K_4
8.2K_4

SPI_CE#
SPI_SI
SPI_SO

R228
R234
R241

10K_4
10K_4
10K_4

S_REQ0#
S_REQ1#
S_REQ2#
S_REQ3#
S_PIRQA#
S_PIRQB#
S_PIRQC#
S_PIRQD#
S_FRAME#
S_IRDY#
S_TRDY#
S_DEVSEL#
S_STOP#
S_SERR#
S_PERR#
S_PLOCK#
S_CLKRUN#

R220
R199
R197
R216
R492
R489
R224
R487
R203
R483
R212
R474
R209
R475
R215
R219
R473

8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4

LPC_DRQ1#
GATEA20
SERIRQ
IRQ14
RCIN#
PIORDY

R227
R466
R467
R479
R465
R476

8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
4.7K_4

S_REQ4#
S_REQ5#

R471
R213

8.2K_4
8.2K_4

PLTRST#_MCH 5
PCLK_ICH 2
PME# 13,14,16

VCCRTC

PME# INTERNAL 20K PULLUP

S_PIRQE#
S_PIRQF#
S_PIRQG#
S_PIRQH#

R235
332K_4

S_PIRQE# 14
S_PIRQF# 14
S_PIRQG# 14

INTVRMEN

ICH INTERNAL VRM EN


HI : ENABLE
LOW : DISABLE
+VCCP

MCH_SYNC#

MCH_SYNC# 5

ICH7-M

FERR#
THERMTRIP#

R176
R174

56_4
56_4

ICH_PWOK
RSMRST#

R237
R246

10K_4
10K_4

R205
+3V

MB ID

+3V

REV:2A MODIFY

C408

15p_4

PCLK_ICH

R478

*33_4

PCLK_ICH_R

C636

*10p_4

10M_4

14M_ICH

R500

*22_4

14M_ICH_R

C650

*10p_4

2
D9

+3VPCU

RTC_RST#

1
RB500
1
RB500

20K/F_6

C418

C417

.1u/16V_4

1u/10V_4

C411

R463

NZ^10K_4

BOARD_ID1

R488

100K_4

19 ICH_PWROK

3,5,19,22 IMVP_PWRGD

PLTRST#_MCH
4

ICH_PWOK

U36
TC7SH08FU

PLTRST# 6,13,16,18,19,20,26

1
2

1
2
RTC_BAT

+3VSUS

Q15
MMBT3904

100K_4
3

BOARD_ID2

R186

DJ^10K_4

W/O DJ = LOW
DJ
= HI
REV:3A MODIFY

R284

R283

PROJECT : ZR1

Quanta Computer Inc.


15K_4
Size

Document Number

Rev
3A

ICH7 (CPU/PCI/IDE/USB)
Date:

NDJ^10K_4

4.7K_4

REV:2A MODIFY

+3V

EZ^10K_4

+5VPCU
1.2K/F_6

TERM14

R464

*SHORT_ PAD1

R273

1K_4

NFW^10K_4

W/O DOCKING = LOW


DOCKING
= HI

G1

1u/10V_4

TERM10

3 TERM11

CN25
4

R182

R267

1K_4
TERM12 1

U11
TC7SH08FU

R504

8412
: ID0 = LOW
6412/1512 : ID0 = HI

R263

TERM13 2
D10

RTC_X2

FW^10K_4

VCCRTC

RTC

R251

R503

BOARD_ID0

R192

CD_RESET#_MDC 17

ICH_GPIO21
ICH_GPIO19
ICH_GPIO36
ICH_GPIO37

22.6/F_4

100K_4

17

CD_RESET#_CODEC 17

1K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
2.2K_4
2.2K_4
10K_4
8.2K_4
8.2K_4

SATA_RXN0_C R502
SATA_RXP0_C R501

S_REQ2#
S_GNT2#
S_REQ3#

B15
C12
D12
C15

AE9
AG8
AH8
F21
AH20

R190
R187
R456
R457
R191
R453
R189
R184
R188
R455
R225
R196

PATA : PULL DOWN

S_REQ0# 14
S_GNT0# 14

C/BE0#
C/BE1#
C/BE2#
C/BE3#

RSVD[6]
RSVD[7]
RSVD[8]
RSVD[9]
MCH_SYNC#

PCIE_WAKE#
KBSMI#
SMLINK0
SMLINK1
BATLOW#
RING#
SCI#
PCLK_SMB
PDAT_SMB
SMBLINK_ALT#
LID#
GPIO13

SATA : PULL DOWN


PATA : PULL HIGH

16
16
16
16
16
16
16
16

S_REQ0#
S_GNT0#
S_REQ1#

REQ0#
GNT0#
REQ1#
GNT1#
REQ2#
GNT2#
REQ3#
GNT3#
REQ4#/GPIO22
GNT4#/GPIO48
GPIO1/REQ5#
GPIO17/GNT5#

G8
F7
F8
G7

8.2K_4
8.2K_4
8.2K_4
8.2K_4
8.2K_4
10K_4
10K_4

USBP6- 16
USBP6+ 16

D7
E7
C16
D16
C17
D17
E13
F13
A13
A14
C8
D8

GPIO2/PIRQE#
GPIO3/PIRQF#
GPIO4/PIRQG#
GPIO5/PIRQH#

R247
R238
R232
R245
R231
R462
R461

+3V
USBP6USBP6+

R277

CD_BITCLK_MDC 17

OC0#
OC1#
OC2#
OC3#
OC4#
DBR#
SMBALERT#

CLK_PCIE_ICH# 2
CLK_PCIE_ICH 2

C25
D25

USBP0N
USBP0P
USBP1N
USBP1P
USBP2N
USBP2P
USBP3N
USBP3P
USBP4N
USBP4P
USBP5N
USBP5P
USBP6N
USBP6P
USBP7N
USBP7P

MISC

U35B
Q13
2N7002
VR_PWRGD_CK410
3

DMI1RXN
DMI1RXP
DMI1TXN
DMI1TXP

Interrupt I/F

A3
B4
C5
B5

CLK_EN#

PDA0 18
PDA1 18
PDA2 18

DMI_RXN0
DMI_RXP0
DMI_TXN0
DMI_TXP0

PERn6
PERp6
PETn6
PETp6

SPI_CE#

S_PIRQA#
S_PIRQB#
S_PIRQC#
S_PIRQD#

V26
V25
U28
U27

DMI_ZCOMP
DMI_IRCOMP

R2
P6
P1

S_AD0
S_AD1
S_AD2
S_AD3
S_AD4
S_AD5
S_AD6
S_AD7
S_AD8
S_AD9
S_AD10
S_AD11
S_AD12
S_AD13
S_AD14
S_AD15
S_AD16
S_AD17
S_AD18
S_AD19
S_AD20
S_AD21
S_AD22
S_AD23
S_AD24
S_AD25
S_AD26
S_AD27
S_AD28
S_AD29
S_AD30
S_AD31

14,15,16 S_AD[31..0]

17

CD_BITCLK_CODEC

39_4

PERn3
PERp3
PETn3
PETp3

RTC_X1

15p_4

Y4
32.768KHz

17

PCIE_RXN4
PCIE_RXP4
PCIE_TXN4
PCIE_TXP4

C336
C337

K26
K25
EZ^.1u/16V_4 PCIE_TXN3_CJ28
EZ^.1u/16V_4 PCIE_TXP3_CJ27

DMI0RXN
DMI0RXP
DMI0TXN
DMI0TXP

ICH7-M

17

CD_SDOUT_MDC 17

CD_SYNC_MDC

ICH_THERMTRIP#

39_4

R270

STPCLK#

AF26

R262

20
20
20
20

PERn2
PERp2
PETn2
PETp2

NMI 3
SMI# 3

AH22

R_CD_SYNC

PCIE_RXN3
PCIE_RXP3
PCIE_TXN3
PCIE_TXP3

H26
H25
MC^.1u/16V_4 PCIE_TXN2_CG28
MC^.1u/16V_4 PCIE_TXP2_CG27

RCIN# 19

STPCLK#

IDE

C413
CD_SDOUT_CODEC

20
20
20
20

C338
C339

24.9/F_4

SATARBIASN
SATARBIASP

AF15
AH15
AF16
AH16
AG16
AE15

PCIE_RXN2
PCIE_RXP2
PCIE_TXN2
PCIE_TXP2

DNBSWON# 19

CPUINIT#
INTR

THERMTRIP#
SATA0RXN
SATA0RXP
SATA0TXN
SATA0TXP
SATA2RXN
SATA2RXP
SATA2TXN
SATA2TXP

DPRSLPVR 22
EXTTS#1 5

16
16
16
16

.1u/16V_4
.1u/16V_4

FERR# 3

SATALED#

AF7
AE7
AG6
AH6

SUSB# 19
SUSC# 19

100/F_4
0_4

EMAIL_LED#
GPIO13
LID#
DOCKIN#
BOARD_ID0

EE_CS
EE_SHCLK
EE_DOUT
EE_DIN

U35A

39_4

E20
A20
F19
E19
R4
E22
R3
D20
AD21
AD20
AE20

LFRAME#/FWH4

LAN_RSTSYNC

R178
R180

R_RSMRST#

LPC_DRQ0#
LPC_DRQ1#

U5
V4
T5

SA^.01u/16V_4SATA_RXN0_C AF3
SA^.01u/16V_4SATA_RXP0_C AE3
SA^.01u/16V_4SATA_TXN0_C AG2
SA^.01u/16V_4SATA_TXP0_C AH2

R272

Y4

AB3

U3

55 OHM 500 mil

R_CD_SDOUTA

RSMRST#

AC3
AA5

R5

PDIOR#
PDIOW#
PDDACK#
IRQ14
PIORDY
PDDREQ

PLTRST#

LDRQ0#
LDRQ1#/GPIO23

RTCRST#
INTRUDER#
INTVRMEN

U1
R6

24.9/F_4 SATARBIAS

DNBSWON#

C19

AA6
AB5
AC4
Y6

R_CD_RESET#

18 PDIOR#
18 PDIOW#
18 PDDACK#
18 IRQ14
18 PIORDY
18 PDDREQ

C23

LAN_RST#

LAD0
LAD1
LAD2
LAD3

R_CD_BITCLKA
R_CD_SYNC

2 CLK_PCIE_SATA#
2 CLK_PCIE_SATA

C21

PWRBTN#

GPIO9
GPIO10
GPIO12
GPIO13
GPIO14
GPIO15
GPIO24
GPIO25
GPIO35
GPIO38
GPIO39

RTXC1
RTCX2

AF18
C648
C647
C649
C646

TP0/BATLOW#

BATLOW#

GPIO16/DPRSLPVR

100/F_4
100/F_4
T2

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

LAN_TXD0
LAN_TXD1
LAN_TXD2

R_CD_SDOUTA

R_DPR

GPIO

U7
V6
V7

18 SATA_LED#

AC22

Clocks

GPIO6
GPIO7
GPIO8

V3

17 CD_SDIN0
17 CD_SDIN1

ICH_PWOK

VRMPWRGD

W1
Y1
Y2
W3

CD_SDIN0
CD_SDIN1

AA4

WAKE#
SERIRQ
THRM#

Y5
W4

PWROK

REV:2A MODIFY

AA3

R_SUSB# R458
R_SUSC# R179

T4

RTC_RST#
1M_6 INTRUDER#
INTVRMEN

B24
D23
F22

R223

SLP_S3#
SLP_S4#
SLP_S5#

GPIO33/AZ_DOCK_EN#
GPIO34/AZ_DOCK_RST#

RTC
LPC

VCCRTC

AB1
AB2

SUSCLK

GPIO32/CLKRUN#

AC21
AC18
E21

RTC_X1
RTC_X2

C20

C340
C341

PERn1
PERp1
PETn1
PETp1

AG18

VR_PWRGD_CK410 AD22
SCI#
KBSMI#

SUSCLK

14M_ICH 2
CLK48_USB 2

F26
F25
PCIE_TXN1_C E28
PCIE_TXP1_C E27

A21

BOARD_ID2

PCIE_WAKE#
F20
SERIRQ
AH21
ICH_PROCHOT# AF20

19 SCI#
19 KBSMI#

SMB

GPIO18/STPPCI#
GPIO20/STPCPU#

AC19
U2
16 PCIE_WAKE#
14,16,19,20 SERIRQ
3 ICH_PROCHOT#

SYS
GPIO
Power MGT

AC20
AF21

BOARD_ID1

S_CLKRUN#

14,16,19,20 S_CLKRUN#

GPIO11/SMBALERT#

LAN
CPU

2 STP_PCI#
2 STP_CPU#

14M_ICH
CLK48_USB

GPIO0/BM_BUSY#

B23

AC-97/AZALIA

STP_PCI#
STP_CPU#

AC1
B2

PCIE_RXN1
PCIE_RXP1
PCIE_TXN1
PCIE_TXP1

AB18

SMBALERT#

CLK14
CLK48

13
13
13
13

Direct Media Interface

A19
A27
A22

PM_BMBUSY#

5 PM_BMBUSY#

ICH7-M

PCI-Express

RI#

PCSPK
LPC_PD#
DBR#

ICH_GPIO21
ICH_GPIO19
ICH_GPIO36
ICH_GPIO37

SPI

A28

U35D

AF19
AH18
AH19
AE19

GPIO21/SATA0GP
GPIO19/SATA1GP
GPIO36/SATA2GP
GPIO37/SATA3GP

USB

RING#

+3V_S5

SMBCLK
SMBDATA
LINKALERT#
SMLINK0
SMLINK1

C22
B22
A26
B25
A25

SATA

17 PCSPK
20 LPC_PD#
3
DBR#

ICH7-M

PCLK_SMB
PDAT_SMB
SMBLINK_ALT#
SMLINK0
SMLINK1

SATA
GPIO

U35C
2,16,20 PCLK_SMB
2,16,20 PDAT_SMB

Wednesday, August 02, 2006

Sheet
1

11

of

30

U35F
V5REF

+3V

R266

100_6

V5REF_SUS

+5V

10mA
V5REF_SUS
D7

+3V_S5

PDZ5.6B

C394
.1u/16V_4
R249

10/F_6

+5V_S5

1.5V_PCIE_ICH

578mA

L27

1.5V_PCIE_ICH

+1.5V
HI0805R800R_8_5A

C343

C333

C332

C608

220u/2.5V_3528

.1u/16V_4

.1u/16V_4

.1u/16V_4

+3V
C345
.1u/16V_4
+1.5V
1/F SB_N1

VCCDMIPLL
1uH_6_25mA

C342
10u/6.3V_6

C344

+1.5V
C641

.01u/16V_4

+1.5V

C406

10u/6.3V_6

.1u/16V_4

+3V
C376
.1u/16V_4

+1.5V
C377
1u/10V_4

C385

F6
AA22
AA23
AB22
AB23
AC23
AC24
AC25
AC26
AD26
AD27
AD28
D26
D27
D28
E24
E25
E26
F23
F24
G22
G23
H22
H23
J22
J23
K22
K23
L22
L23
M22
M23
N22
N23
P22
P23
R22
R23
R24
R25
R26
T22
T23
T26
T27
T28
U22
U23
V22
V23
W22
W23
Y22
Y23

+1.5V
C407
.1u/16V_4

ICH7-M

V5REF_Sus
Vcc1_5_B[1]
Vcc1_5_B[2]
Vcc1_5_B[3]
Vcc1_5_B[4]
Vcc1_5_B[5]
Vcc1_5_B[6]
Vcc1_5_B[7]
Vcc1_5_B[8]
Vcc1_5_B[9]
Vcc1_5_B[10]
Vcc1_5_B[11]
Vcc1_5_B[12]
Vcc1_5_B[13]
Vcc1_5_B[14]
Vcc1_5_B[15]
Vcc1_5_B[16]
Vcc1_5_B[17]
Vcc1_5_B[18]
Vcc1_5_B[19]
Vcc1_5_B[20]
Vcc1_5_B[21]
Vcc1_5_B[22]
Vcc1_5_B[23]
Vcc1_5_B[24]
Vcc1_5_B[25]
Vcc1_5_B[26]
Vcc1_5_B[27]
Vcc1_5_B[28]
Vcc1_5_B[29]
Vcc1_5_B[30]
Vcc1_5_B[31]
Vcc1_5_B[32]
Vcc1_5_B[33]
Vcc1_5_B[34]
Vcc1_5_B[35]
Vcc1_5_B[36]
Vcc1_5_B[37]
Vcc1_5_B[38]
Vcc1_5_B[39]
Vcc1_5_B[40]
Vcc1_5_B[41]
Vcc1_5_B[42]
Vcc1_5_B[43]
Vcc1_5_B[44]
Vcc1_5_B[45]
Vcc1_5_B[46]
Vcc1_5_B[47]
Vcc1_5_B[48]
Vcc1_5_B[49]
Vcc1_5_B[50]
Vcc1_5_B[51]
Vcc1_5_B[52]
Vcc1_5_B[53]

VccDMIPLL

AB7
AC6
AC7
AD6
AE6
AF5
AF6
AG5
AH5

Vcc1_5_A[1]
Vcc1_5_A[2]
Vcc1_5_A[3]
Vcc1_5_A[4]
Vcc1_5_A[5]
Vcc1_5_A[6]
Vcc1_5_A[7]
Vcc1_5_A[8]
Vcc1_5_A[9]

AD2

VccSATAPLL

AH11

Vcc3_3[2]

AB10
AB9
AC10
AD10
AE10
AF10
AF9
AG9
AH9

Vcc1_5_A[10]
Vcc1_5_A[11]
Vcc1_5_A[12]
Vcc1_5_A[13]
Vcc1_5_A[14]
Vcc1_5_A[15]
Vcc1_5_A[16]
Vcc1_5_A[17]
Vcc1_5_A[18]

E3

VccSus3_3[19]

C1

VccUSBPLL

V5
V1
W2
W7

Vcc3_3/VccHDA

U6

VccSus3_3/VccSusHDA

R7

V_CPU_IO[1]
V_CPU_IO[2]
V_CPU_IO[3]

AE23
AE26
AH26

Vcc3_3[3]
Vcc3_3[4]
Vcc3_3[5]
Vcc3_3[6]
Vcc3_3[7]
Vcc3_3[8]
Vcc3_3[9]
Vcc3_3[10]
Vcc3_3[11]

AA7
AB12
AB20
AC16
AD13
AD18
AG12
AG15
AG19

Vcc3_3[12]
Vcc3_3[13]
Vcc3_3[14]
Vcc3_3[15]
Vcc3_3[16]
Vcc3_3[17]
Vcc3_3[18]
Vcc3_3[19]
Vcc3_3[20]
Vcc3_3[21]

A5
B13
B16
B7
C10
D15
F9
G11
G12
G16

+VCCP
C359

C373

C379

C368

C355

.1u/16V_4

.1u/16V_4

.1u/16V_4

1u/10V_4

.1u/16V_4

C400

.1u/16V_4

C387

.1u/16V_4

C383

.1u/16V_4

330u/2.5V_7343

+3V

+3V
+3V_S5

+VCCP
C346

C349

C619

.1u/16V_4

.1u/16V_4

4.7u/6.3V_6

+3V
C354
C

.1u/16V_4

+3V
C386

C370

C366

C367

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

VccRTC

W5
P7

C419

C382

VccSus3_3[2]
VccSus3_3[3]
VccSus3_3[4]
VccSus3_3[5]
VccSus3_3[6]

A24
C24
D19
D22
G19

.1u/16V_4

.1u/16V_4

VccSus3_3[7]
VccSus3_3[8]
VccSus3_3[9]
VccSus3_3[10]
VccSus3_3[11]
VccSus3_3[12]
VccSus3_3[13]
VccSus3_3[14]
VccSus3_3[15]
VccSus3_3[16]
VccSus3_3[17]
VccSus3_3[18]

K3
K4
K5
K6
L1
L2
L3
L6
L7
M6
M7
N7

C358

C347

.1u/16V_4

.1u/16V_4

C403

C395

.1u/16V_4

.1u/16V_4

Vcc1_5_A[19]
Vcc1_5_A[20]

AB17
AC17

Vcc1_5_A[21]
Vcc1_5_A[22]
Vcc1_5_A[23]

T7
F17
G17

Vcc1_5_A[24]
Vcc1_5_A[25]

AB8
AC8

VccSus1_05[1]

C644

VccSus3_3[1]

VccSus1_05[2]
VccSus1_05[3]
VccSus1_05/VccLAN1_05[1]
VccSus1_05/VccLAN1_05[2]Vcc1_5_A[26]
Vcc1_5_A[27]
Vcc1_5_A[28]
Vcc1_5_A[29]
Vcc1_5_A[30]
ICH7-M

L11
L12
L14
L16
L17
L18
M11
M18
P11
P18
T11
T18
U11
U18
V11
V12
V14
V16
V17
V18

VccSus3_3/VccLAN3_3[1]
VccSus3_3/VccLAN3_3[2]
VccSus3_3/VccLAN3_3[3]
VccSus3_3/VccLAN3_3[4]

Vcc3_3[1]

AG28

AA2
Y7

Vcc1_05[1]
Vcc1_05[2]
Vcc1_05[3]
Vcc1_05[4]
Vcc1_05[5]
Vcc1_05[6]
Vcc1_05[7]
Vcc1_05[8]
Vcc1_05[9]
Vcc1_05[10]
Vcc1_05[11]
Vcc1_05[12]
Vcc1_05[13]
Vcc1_05[14]
Vcc1_05[15]
Vcc1_05[16]
Vcc1_05[17]
Vcc1_05[18]
Vcc1_05[19]
VCC PAUX Vcc1_05[20]

ATX

+3V_S5

.1u/16V_4

V5REF[2]

ARX

.1u/16V_4

C401

V5REF[1]

AD17

B27

L28
R173

G10

CORE

.1u/16V_4

2
PDZ5.6B

IDE

PCI

D8
C380

USB

V5REF

VCCA3GP

P28
R1
R11
R12
R13
R14
R15
R16
R17
R18
T6
T12
T13
T14
T15
T16
T17
U4
U12
U13
U14
U15
U16
U17
U24
U25
U26
V2
V13
V15
V24
V27
V28
W6
W24
W25
W26
Y3
Y24
Y27
Y28
AA1
AA24
AA25
AA26
AB4
AB6
AB11
AB14
AB16
AB19
AB21
AB24
AB27
AB28
AC2
AC5
AC9
AC11
AD1
AD3
AD4
AD7
AD8
AD11
AD15
AD19
AD23
AE2
AE4
AE8
AE11
AE13
AE18
AE21
AE24
AE25
AF2
AF4
AF8
AF11
AF27
AF28
AG1
AG3
AG7
AG11
AG14
AG17
AG20
AG25
AH1
AH3
AH7
AH12
AH23
AH27

VSS[98]
VSS[99]
VSS[100]
VSS[101]
VSS[102]
VSS[103]
VSS[104]
VSS[105]
VSS[106]
VSS[107]
VSS[108]
VSS[109]
VSS[110]
VSS[111]
VSS[112]
VSS[113]
VSS[114]
VSS[115]
VSS[116]
VSS[117]
VSS[118]
VSS[119]
VSS[120]
VSS[121]
VSS[122]
VSS[123]
VSS[124]
VSS[125]
VSS[126]
VSS[127]
VSS[128]
VSS[129]
VSS[130]
VSS[131]
VSS[132]
VSS[133]
VSS[134]
VSS[135]
VSS[136]
VSS[137]
VSS[138]
VSS[139]
VSS[140]
VSS[141]
VSS[142]
VSS[143]
VSS[144]
VSS[145]
VSS[146]
VSS[147]
VSS[148]
VSS[149]
VSS[150]
VSS[151]
VSS[152]
VSS[153]
VSS[154]
VSS[155]
VSS[156]
VSS[157]
VSS[158]
VSS[159]
VSS[160]
VSS[161]
VSS[162]
VSS[163]
VSS[164]
VSS[165]
VSS[166]
VSS[167]
VSS[168]
VSS[169]
VSS[170]
VSS[171]
VSS[172]
VSS[173]
VSS[174]
VSS[175]
VSS[176]
VSS[177]
VSS[178]
VSS[179]
VSS[180]
VSS[181]
VSS[182]
VSS[183]
VSS[184]
VSS[185]
VSS[186]
VSS[187]
VSS[188]
VSS[189]
VSS[190]
VSS[191]
VSS[192]
VSS[193]
VSS[194]

VSS[1]
VSS[2]
VSS[3]
VSS[4]
VSS[5]
VSS[6]
VSS[7]
VSS[8]
VSS[9]
VSS[10]
VSS[11]
VSS[12]
VSS[13]
VSS[14]
VSS[15]
VSS[16]
VSS[17]
VSS[18]
VSS[19]
VSS[20]
VSS[21]
VSS[22]
VSS[23]
VSS[24]
VSS[25]
VSS[26]
VSS[27]
VSS[28]
VSS[29]
VSS[30]
VSS[31]
VSS[32]
VSS[33]
VSS[34]
VSS[35]
VSS[36]
VSS[37]
VSS[38]
VSS[39]
VSS[40]
VSS[41]
VSS[42]
VSS[43]
VSS[44]
VSS[45]
VSS[46]
VSS[47]
VSS[48]
VSS[49]
VSS[50]
VSS[51]
VSS[52]
VSS[53]
VSS[54]
VSS[55]
VSS[56]
VSS[57]
VSS[58]
VSS[59]
VSS[60]
VSS[61]
VSS[62]
VSS[63]
VSS[64]
VSS[65]
VSS[66]
VSS[67]
VSS[68]
VSS[69]
VSS[70]
VSS[71]
VSS[72]
VSS[73]
VSS[74]
VSS[75]
VSS[76]
VSS[77]
VSS[78]
VSS[79]
VSS[80]
VSS[81]
VSS[82]
VSS[83]
VSS[84]
VSS[85]
VSS[86]
VSS[87]
VSS[88]
VSS[89]
VSS[90]
VSS[91]
VSS[92]
VSS[93]
VSS[94]
VSS[95]
VSS[96]
VSS[97]

A4
A23
B1
B8
B11
B14
B17
B20
B26
B28
C2
C6
C27
D10
D13
D18
D21
D24
E1
E2
E4
E8
E15
F3
F4
F5
F12
F27
F28
G1
G2
G5
G6
G9
G14
G18
G21
G24
G25
G26
H3
H4
H5
H24
H27
H28
J1
J2
J5
J24
J25
J26
K24
K27
K28
L13
L15
L24
L25
L26
M3
M4
M5
M12
M13
M14
M15
M16
M17
M24
M27
M28
N1
N2
N5
N6
N11
N12
N13
N14
N15
N16
N17
N18
N24
N25
N26
P3
P4
P12
P13
P14
P15
P16
P17
P24
P27

1mA

USB CORE

U35E

VCCRTC

+3V_S5

+3V_S5

+1.5V
C364
+1.5V

+1.5V

C381

C365

.1u/16V_4

.1u/16V_4

.1u/16V_4

C378

K7

.1u/16V_4

C28
G20
A1
H6
H7
J6
J7

+1.5V
C388
.1u/16V_4

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Rev
1A

ICH7 ( POWER )
Date:
5

Wednesday, August 02, 2006

Sheet
1

12

of

30

LAN_88E8038/88E8055

10/100 : 88E8038

AJ080380000

GIGA : 88E8055

AJ080550011 A2

RESET TIMING
U1

U27

55
56

REFCLKP
REFCLKN

35
34
37
36

SPI_DI
SPI_DO
SPI_CLK
SPI_CS

*0_4
0_4

6
0_4
2K/F_4 LAN_RSET 16

R337
R35

4.87K for 8055


+3V_S5
+3V_S5

R338

4.7K_4

SMDATA
SMCLK

23
19
22
28
32
51
52
57
64

LAN_N5

CTRL25

CTRL25_18

VDD
VDD
VDD
VDD
VDD
VDD
VDD
VDD

VPD_DATA
VPD_CLK
PERSTn
WAKEn
RSET
TESTMODE

47
12

VMAIN_AVAL
VAUX_AVLBL

AVDD
AVDDL
AVDDL
AVDDL
AVDDL
AVDDL
AVDDL
AVDDL
VDD25

+2.5V_1.8V_LAN

FOR
8038
only

R27
NL^0_6

88E805X

46

REV:2A MODIFY
+3V_S5

min

2
7
13
33
39
44
48
58

CTRL12

TSTPT

29

HSDACN

25

HSDACP

24

MDIN[3]
MDIP[3]
MDIN[2]
MDIP[2]
MDIN[1]
MDIP[1]
MDIN[0]
MDIP[0]

31
30
27
26
21
20
18
17

LED_LNK/ACTn
LED_LINK10/100n
LED_LINK1000n
LED_LINKn

59
60
62
63

+1.2V_LAN

TX0P
TX0N

RN67 1
3

2 NZ^0_4P2R
4

TX0P_SYS
TX0N_SYS

TX1P
TX1N

RN66 1
3

2 NZ^0_4P2R
4

TX1P_SYS
TX1N_SYS

TX2P
TX2N

RN65 1
3

2 NZ^GL^0_4P2R
4

TX2P_SYS
TX2N_SYS

TX3P
TX3N

RN64 1
3

2 NZ^GL^0_4P2R
4

TX3P_SYS
TX3N_SYS

GND
BODY_GND

31
30

TX0P_PR
TX0N_PR

2B1
3B1

26
25

TX1P_PR
TX1N_PR

4B1
5B1

22
21

TX2P_PR
TX2N_PR

6B1
7B1

18
17

TX3P_PR
TX3N_PR

5
13
33

TX0P
TX0N

36
1

A0
A1

TX1P
TX1N

2
3

A2
A3

0LED1
1LED1
2LED1

TX2P
TX2N

7
8

A4
A5

0B2
1B2

29 TX0P_SYS
28 TX0N_SYS

TX3P
TX3N

9
10

A6
A7

2B2
3B2

24 TX1P_SYS
23 TX1N_SYS

4B2
5B2

20 TX2P_SYS
19 TX2N_SYS

6B2
7B2

16 TX3P_SYS
15 TX3N_SYS

LAN_ACTLED#

0LED

LAN_LINKLED#

12

1LED

34

2LED

27

SEL

11,20 DOCKIN#

SEL=1
A->B2
LED->LED2

TX0P_PR 20
TX0N_PR 20
TX1P_PR 20
TX1N_PR 20
TX2P_PR 20
TX2N_PR 20

TX3P_PR 20
TX3N_PR 20
D_ACTLED# 20
D_LINKLED# 20

6 LAN_ACTLED#_SYS
14 LAN_LINKLED#_SYS
32

0LED2
1LED2
2LED2

EZ^MAX4892

LAN_ACTLED#

R22

NZ^0_4

LAN_ACTLED#_SYS

LAN_LINKLED#

R18

NZ^0_4

LAN_LINKLED#_SYS

CTRL12

SWITCH_VAUX

11

SWITCH_VCC

LOM_DISABLE#

10

LOM_DISABLEn

LAN_XIN

15

XTALI

LAN_XOUT

14
74
65
66
67
68
69
70
71
72
73

XTALO
GND10
GND1
GND2
GND3
GND4
GND5
GND6
GND7
GND8
GND9

TX3N
TX3P
TX2N
TX2P
TX1N
TX1P
TX0N
TX0P

100MBPS#
1000MBPS#
10_1000MBPS#

R28
R29
R34

*0_4
*0_4
0_4

LAN_ACTLED#
LAN_LINKLED#

RN7

RN3

49.9/F_4P2R

REV:2A MODIFY

LAN_N1

88E8038_55

4
2

41
38

11
37

110 ms

0B1
1B1

RN2

49.9/F_4P2R

RN1

GL^49.9/F_4P2R

GL^49.9/F_4P2R
3
1

R38
R336

19 LANRST#
6,11,16,18,19,20,26 PLTRST#
19 LAN_PME#
11,14,16 PME#

VPD_DATA
VPD_CLK

4.7K_4
4.7K_4

4
2

R333
R334

VDDO_TTL_MAIN

SEL=0
A->B1
LED->LED1

EZ^.1u/16V_4

PERSTn

3
1

43
42

+3V_S5

TX_N
TX_P
RX_N
RX_P

4
2

2 CLK_PCIE_LAN
2 CLK_PCIE_LAN#

50
49
53
54

3
1

.1u/16V_4 PERN1
.1u/16V_4 PERP1

C477
C476

VDD

C32

4
2

PCIE_RXN1
PCIE_RXP1
PCIE_TXN1
PCIE_TXP1

+3V_S5

3
1

11
11
11
11

VDDO_TTL
VDDO_TTL
VDDO_TTL
VDDO_TTL

35

+3V_S5

POWER

1
40
45
61

LAN_N3

LAN_N2

LAN_N4

C58

C55

C43

C45

C41

C39

C36

C38

.1u/16V_4

1000p_4

.1u/16V_4

1000p_4

GL^.1u/16V_4

GL^1000p_4

GL^.1u/16V_4

GL^1000p_4

U21

U25
LAN_XIN

27p_4

VPD_DATA
VPD_CLK

C66

Y1
25MHz
27p_4

LAN_XOUT

C67

5
6

SDA
SCL

WP

GND

A0
A1
A2

1
2
3

VCC

+3V_S5

24LC08
C23

REV:3A
MODIFY
C99

10u/6.3V_8

C60

.1u/16V_4

+2.5V_1.8V_LAN

*.1u/16V_4

AUX3V_S5

+3V_S5
L6

BK1608HS220_6_1A

C97

C95

+2.5V_1.8V_LAN
TX3P_SYS
TX3N_SYS

1
2
3

TCT1
TD1+
TD1-

MCT1
MX1+
MX1-

24
23
22

TERM4

+2.5V_1.8V_LAN
TX2P_SYS
TX2N_SYS

4
5
6

TCT2
TD2+
TD2-

MCT2
MX2+
MX2-

21
20
19

TERM3

MCT3
MX3+
MX3-

18
17
16

TERM2

MCT4
MX4+
MX4-

15
14
13

TERM1

+2.5V_1.8V_LAN
TX1P_SYS
TX1N_SYS

7
8
9

+2.5V_1.8V_LAN
TX0P_SYS
TX0N_SYS

10
11
12

C22
1000p_4

REV:3A
MODIFY

C57

C44

C65

C478

C61

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

4.7u/6.3V_6

C479

C487

C37

C40

C480

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

TCT3
TD3+
TD3TCT4
TD4+
TD4-

X-TX3P
X-TX3N
X-TX2P
X-TX2N
X-TX1P
X-TX1N
X-TX0P
X-TX0N

TRANSFORMER

R13

R14

R2

R3

75/F_4

75/F_4

GL^75/F_4

GL^75/F_4

R56
.1u/16V_4

4.7u/6.3V_6

.1u/16V_4

.1u/16V_4

C486

.1u/16V_4
.1u/16V_4

CTRL25_18

Q1

1500p/2KV_1808

25 mil Trace width

TERMINATION PLANE

CN8

BCP69T1
2

C488

REV:3A
MODIFY

C35

TERM9

C449

4.7K_4

C474

+3V_S5

R11

LAN_ACTLED#_SYS 10
LAN_VCC3
220_4
9

YELLOW_N
YELLOW_P

+1.2V_LAN

C98

C100

4.7u/6.3V_6

.1u/16V_4

C490

C59

C79

.1u/16V_4

.1u/16V_4

.1u/16V_4

4.7u/6.3V_6 MODIFY

CTRL12

C485

C475

C473

C489

C34

B
C

BCP69T1

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

.1u/16V_4

+3V_S5

R12

LAN_LINKLED#_SYS 12
LAN_VCC4
220_4
11

TX1TX1+
RX1TX2TX2+
RX1+
RX2RX2+

GND4
GND2

16
14

GND1
GND3

13
15

GREEN_N
GREEN_P

PROJECT : ZR1

Quanta Computer Inc.


Size
Date:

Document Number

Rev
3A

LAN ( MARVELL 8038/8055 )

FOXCONN_RJ45

25 mil Trace width

Q2

8
7
6
5
4
3
2
1

4.7K_4

REV:3A
MODIFY

REV:3A

C69
R47

X-TX3N
X-TX3P
X-TX1N
X-TX2N
X-TX2P
X-TX1P
X-TX0N
X-TX0P

Wednesday, August 02, 2006

Sheet
1

13

of

30

PCIXX12_PCMCIA
REQ0#
GNT0#

AD25
PIRQ(E,F,G)#

PCI8412 : AJ084120T08

PCMCIA / 1394 / 5 IN 1

PCI6412 : AL064120T04

PCMCIA / 5 IN 1

A_VCC
CN23
C375

C356

.1u/16V_4

A_CAD0
A_CAD1
A_CAD3
A_CAD5
A_CAD7
A_CC/BE0#
A_CAD9
A_CAD11
A_CAD12
A_CAD14
A_CC/BE1#
A_CPAR
A_CPERR#
A_CGNT#
A_CINT#

.01u/16V_4

U14B
S_AD25

R255

150/F_4

PCM_IDSEL

VCCB
VCCB

A15
J19

CAD31 // D10
CAD30 // D9
CAD29 // D1
CAD28 // D8
CAD27 // D0
CAD26 // A0
CAD25 // A1
CAD24 // A2
CAD23 // A3
CAD22 // A4
CAD21 // A5
CAD20 // A6
CAD19 // A25
CAD18 // A7
CAD17 // A24
CAD16 // A17
CAD15 // IOWR#
CAD14 // A9
CAD13 // IORD#
CAD12 // A11
CAD11 // OE#
CAD10 // CE2#
CAD9 // A10
CAD8 // D15
CAD7 // D7
CAD6 // D13
CAD5 // D6
CAD4 // D12
CAD3 // D5
CAD2 // D11
CAD1 // D4
CAD0 // D3

C10
A10
F11
E11
C11
B13
C13
A14
B14
B15
E14
A16
D19
E17
F15
H19
J17
J15
J18
K15
K17
K18
L15
L18
L19
M17
M18
N19
M15
N17
N18
P19

A_CAD31
A_CAD30
A_CAD29
A_CAD28
A_CAD27
A_CAD26
A_CAD25
A_CAD24
A_CAD23
A_CAD22
A_CAD21
A_CAD20
A_CAD19
A_CAD18
A_CAD17
A_CAD16
A_CAD15
A_CAD14
A_CAD13
A_CAD12
A_CAD11
A_CAD10
A_CAD9
A_CAD8
A_CAD7
A_CAD6
A_CAD5
A_CAD4
A_CAD3
A_CAD2
A_CAD1
A_CAD0

CC/BE3# // REG#
CC/BE2# // A12
CC/BE1# // A8
CC/BE0# // CE1#

E13
E18
H18
L17

A_CC/BE3#
A_CC/BE2#
A_CC/BE1#
A_CC/BE0#

CPAR // A13
CDEVSEL# // A21
CFRAME# // A23
CGNT# // WE#
CINT# // READY(IREQ#)
CIRDY# // A15
CPERR# // A14
CREQ# // INPACK#
CSERR# // WAIT#
CSTOP# // A20
CTRDY# // A22

H14
F19
E19
G17
E12
F17
G19
C14
C12
G18
G15

A_CPAR
A_CDEVSEL#
A_CFRAME#
A_CGNT#
A_CINT#
A_CIRDY#
A_CPERR#
A_CREQ#
A_CSERR#
A_CSTOP#
A_CTRDY#

CCLK // A16
CCLKRUN# // WP(IOIS16#)
CSTSCHG // BVD1(STSCHG#/RI#)
CBLOCK# // A19
CRST# // RESET
CAUDIO // BVD2(SPKR#)

F18
A11
A12
H15
C15
B12

R_A_CCLK
A_CCLKRUN#
A_CSTSCHG
A_CBLOCK#
A_CRST#
A_CAUDIO

CCD1# // CD1#
CCD2# // CD2#
CVS1 // VS1#
CVS2 // VS2#

N15
B11
A13
B16

A_CCD1#
A_CCD2#
A_CVS1#
A_CVS2#

RSVD // A18
RSVD // D2
RSVD // D14

H17
B10
M19

A_CRSVD/A18
A_CRSVD/D2
A_CRSVD/D14

S_AD[31..0]

REV:3A MODIFY

U14A

11,15,16
11,15,16
11,15,16
11,15,16

S_CBE0#
S_CBE1#
S_CBE2#
S_CBE3#

R11
P11
U11
V11
W11
R10
U10
V10
R9
U9
V9
W9
V8
U8
R8
W7
W4
T2
T1
R3
P5
R2
R1
P3
N3
N2
N1
M5
M6
M3
M2
M1

AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AD8
AD9
AD10
AD11
AD12
AD13
AD14
AD15
AD16
AD17
AD18
AD19
AD20
AD21
AD22
AD23
AD24
AD25
AD26
AD27
AD28
AD29
AD30
AD31

S_CBE0#
S_CBE1#
S_CBE2#
S_CBE3#

W10
V7
U5
P2

C/BE0
C/BE1
C/BE2
C/BE3

S_PAR
U7
S_DEVSEL# U6
S_FRAME#
R6
S_GNT0#
L2
PCM_IDSEL N5
S_IRDY#
V5
S_PERR#
R7
S_REQ0#
L3
S_SERR#
W6
S_STOP#
V6
S_TRDY#
W5

11,16 S_PAR
11,15,16 S_DEVSEL#
11,15,16 S_FRAME#
11 S_GNT0#
11,15,16
11,16
11
11,16
11,16
11,15,16

S_AD0
S_AD1
S_AD2
S_AD3
S_AD4
S_AD5
S_AD6
S_AD7
S_AD8
S_AD9
S_AD10
S_AD11
S_AD12
S_AD13
S_AD14
S_AD15
S_AD16
S_AD17
S_AD18
S_AD19
S_AD20
S_AD21
S_AD22
S_AD23
S_AD24
S_AD25
S_AD26
S_AD27
S_AD28
S_AD29
S_AD30
S_AD31

S_IRDY#
S_PERR#
S_REQ0#
S_SERR#
S_STOP#
S_TRDY#

CLK48

F1

GRST

K5

GRST#_8402

SUSPEND

J5

8402_SUS#

MFUNC0
MFUNC1
MFUNC2
MFUNC3
MFUNC4
MFUNC5
MFUNC6

G1
H5
H2
H1
J1
J2
J3

S_PIRQE#
S_PIRQF#
S_PIRQG#
SERIRQ
S_PLOCK#

CLK48_PCM 2

R490

10K_4

+3V

S_PIRQE# 11
S_PIRQF# 11
S_PIRQG# 11
SERIRQ 11,16,19,20
S_PLOCK# 11
S_CLKRUN# 11,16,19,20

PCLK

L1

PCLK_PCM

PRST

K3

PCIRST#

SPKROUT

H3

PCMSPK

RI_OUT/PME

L5

SDA
SCL

G3
G2

SDA_CARD
SCL_CARD

LATCH
PAR
DATA
DEVSEL
CLOCK
FRAME
GNT
USB_EN
IDSEL
IRDY
PHY_TEST_MA
PERR
REQ
SERR
TEST0
STOPRSVD // VD0 // VCCD1#
NC
TRDY

C9
B9
A9

TPS_LATCH
TPS_DATA
TPS_CLK

PCLK_PCM 2
PCIRST# 11,15,16

REV:3A MODIFY

PCMSPK 17
PME# 11,13,16

E10
P17 TEST_MA

R468

4.7K_4

P12
C4 PSMODE
E5

R233

10K_4

1394_AVDD
+3V

PCIXX12

PCLK_PCM

R254

*22_4

PCLK_PCM_R

C416

PCMCIA PORT

11,15,16 S_AD[31..0]

*10p_4

A_CCLK
A_CIRDY#
A_CC/BE2#
A_CAD18
A_CAD20
A_CAD21
A_CAD22
A_CAD23
A_CAD24
A_CAD25
A_CAD26
A_CAD27
A_CAD29
A_CRSVD/D2
A_CCLKRUN#

A_CCD1#
A_CAD2
A_CAD4
A_CAD6
A_CRSVD/D14
A_CAD8
A_CAD10
A_CVS1#
A_CAD13
A_CAD15
A_CAD16
A_CRSVD/A18
A_CBLOCK#
A_CSTOP#
A_CDEVSEL#
A_CTRDY#
A_CFRAME#
A_CAD17
A_CAD19
A_CVS2#
A_CRST#
A_CSERR#
A_CREQ#
A_CC/BE3#
A_CAUDIO
A_CSTSCHG
A_CAD28
A_CAD30
A_CAD31
A_CCD2#

1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
16

GND1
SKTAAD0/D3
SKTAAD1/D4
SKTAD3/D5
SKTAD5/D6
SKTAAD7/D7
-SKTACBE0/CE1#
SKTAAD9/A10
SKTABAD11/OE#
SKTAAD12/A11
SKTAAD14/A9
-SKTACBE1/A8
SKTAPAR/A13
-SKTAPERR/A14
-SKTAGNT/WE#
-SKTAINT/RDY

19
20
21
22
23
24
25
26
27
28
29
30
31
32
33
34

SKTAPCLK/A16
-SKTAIRDY/A15
-SKTACBE2/A12
SKTAAD18/A7
SKTAAD20/A6
SKTAAD21/A5
SKTAAD22/A4
SKTAAD23/A3
SKTAAD24/A2
SKTAAD25/A1
SKTAAD26/A0
SKTAAD27/D0
SKTAAD29/D1
SKTARSVD/D2
-SKTACLKRUN/WP
GND2

35
36
37
38
39
40
41
42
43
44
45
46
47
48
49
50

GND3
-SKTACD1/CD1#
SKTAAD2/D11
SKTAD4/D12
SKTAAD6/D13
SKTARSVD/D14
SKTAAD8/D15
SKTAAD10/CE2#
-SKTAVS1/VS1#
SKTAAD13/IORD#
SKTAAD15/IOWR#
SKTAAD16/A17
-SKTRSVD/A18
-SKTALOCK/A19
-SKTASTOP/A20
-SKTADEVSEL/A21

53
54
55
56
57
58
59
60
61
62
63
64
65
66
67
68

-SKTATRDY/A22
-SKTAFRAME/A23
SKTAAD17/A24
SKTAAD19/A25
-SKTAVS2VS2#
-SKTARST/RESET
0SKTASERR/WAIT#
-SKTAREQ/INPACK#
-SKTACBE3/REG#
SKTAAUDIO/BVD2
-SKTASTSCHG/BVD1
SKTAAD28/D8
SKTAAD30/D9
SKTAAD31/D10
-SKTACD2/CD2#
GND4

SKTA/VCC1
SKTA/VCC2

17
51

A_VCC

SKTA/VPP1
SKTA/VPP2

18
52

AVPP

GND5
GND6
GND7
GND8
GND9
GND10

69
70
71
72
73
74

UPPER PIN

LOWER PIN

PCMCIA_SOCKET
B

PCIXX12
CLK48_PCM R253

*22_4

CLK48_PCM_R

C415

*10p_4

R244

R_A_CCLK

A_CCLK

33_4

REV:3A MODIFY
> 2 ms

+3V

R265

+5V

> 0 ns

U15
TPS_DATA
TPS_CLK
TPS_LATCH

VCC

R252

PCIRST#
+3V
FW^2.2K_4

FW^2.2K_4

U16
SDA_CARD
SCL_CARD

5
6

R274

R258

NFW^2.2K_4

NFW^2.2K_4

7
4

SDA
SCL

A0
A1
A2

1
2
3

R482

GND

VCC

GRST#

22K_4

WP

FW^24LC08

AVPP

+3V

GRST#_8402

PRST#

C402

4.7u/6.3V_6

.1u/16V_4

> 100 us

DATA
CLOCK
LATCH

12
21
15

RESET#
SHDN#
OC#

8
10
9

C422

5V_0
5V_1
5V_2

FW^.1u/16V_4

PCLK

C390

C392

4.7u/6.3V_6

.1u/16V_4

1
2
24
+3V

3.3VIN0
3.3VIN1

14
13

12V_0
12V_1

7
20

AVCC1
AVCC0

BODY
NC_0
NC_1
NC_2
NC_3
GND

25
6
16
22
23
11

19

BVPP

18
17

BVCC1
BVCC0

C412

C410

4.7u/6.3V_6

.1u/16V_4

C391

C389

4.7u/6.3V_6

.1u/16V_4

AVPP

A_VCC

C639
.22u/10V_4

C405

3
4
5

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Date:

Wednesday, August 02, 2006

TPS2220A
5

Rev
3A

PCIXX12 ( PCMCIA )
2

Sheet
1

14

of

30

C633

4.7u/6.3V_6

C637

1u/10V_4

C631

.1u/16V_4

C640

.01u/16V_4

1000p_4

REV:3A
MODIFY
D

P1
W8
1394_AVDD
L52
+3V
BK1608HS220_6_1A

C626

C630

C622

C625

1u/10V_4

.1u/16V_4

.01u/16V_4

1000p_4

1.5V_PCM

1.5V_PCM
1.5V_PCM
C632

C414

C357

1u/10V_4

1u/10V_4

1u/10V_4

P13
P14
U15
U19

P15

MC_PWR_CTRL0
MC_PWR_CTRL_1 // SM_R/B#

C8
F8

MC_PWR_CTRL_0#
SM_R/B#

SD_CD
MS_CD
SM_CD
XD_CD// SM_PHYS_WP#
MS_CLK // SD_CLK // SM_EL_WP#
MS_BS // SD_CMD // SM_WE#
MS_DATA3 // SD_DAT3 // SM_D3
MS_DATA2 // SD_DAT2 // SM_D2
MS_DATA1 // SD_DAT1 // SM_D1
MS_SDIO(DATA0) // SD_DAT0 // SM_D0
SM_CLE // SC_GPIO0
SD_CLK // SM_RE# // SC_GPIO1
SD_CMD // SM_ALE // SC_GPIO2
VCCP
SD_DAT3 // SM_D7 // SC_GPIO3
VCCP
SD_DAT2 // SM_D6 // SC_GPIO4
SD_DAT1 // SM_D5 // SC_GPIO5
SD_DAT0 // SM_D4 // SC_GPIO6
SD_WP // SM_CE#
AVDD_33_00
AVDD_33_01
AVDD_33_02
SC_RFU
SC_FCB
VDDPLL_33

E9
A8
B8
A3
A7
E8
B6
A6
C7
B7
B4
A4
C5
E6
B5
A5
C6
E7

SD_CDZ
MS_CDZ

SC_CD
SC_CLK
SC_DATA
SC_OC
SC_PWR_CTRL
SC_RST
SC_VCC5

F3
E2
E1
F2
G5
F5
G6

VCC_00
VCC_01
VCC_02
VCC_03
VCC_04
VCC_05
VCC_06
VCC_07
VCC_08
VCC_09
VCC_10

VDDPLL_15

K1
K19

1.5V_00
1.5V_01

K2

VR_EN

R17
R14
U13
U14

F7
F10
F13
G14
H6
K6
K14
M14
N6
P7
P9

+3V

GND_00
GND_01
GND_02
GND_03
GND_04
GND_05
GND_06
GND_07
GND_08
GND_09
GND_10

15
19
20
11
12
16
13
21
43
22
44
14
17

9
4
3
5
7
8
6
2
1
10

R236

REV:2A MODIFY P/N

10K_4
Q14

(4)SD-VCC
(7)SD-DAT0
(8)SD-DAT1
(9)SD-DAT2
(1)SD-DAT3
(5)SD-CLK
(2)SD-CMD
SD-CD
SD-WP
SD-CD-COM
SD-WP-COM
(3)SD-GND
(6)SD-GND

MC_PWR_CTRL_0#

(9)MS-VCC
(4)MS-DATA0
(3)MS-DATA1
(5)MS-DATA2
(7)MS-DATA3
(8)MS-SCLK
(6)MS-INS
(2)MS-BS
(1)MS-GND
(10)MS-GND

R491

R477

CPS
TPBIAS0

TPA1P
TPA1N

V14
W14

TPA0P
TPA0N

TPB1P
TPB1N

V13
W13

TPB0P
TPB0N

TPBIAS2

W17

TPA2P
TPA2N

V16
W16

TPB2P
TPB2N

V15
W15

RSVD//PC0
RSVD//PC1
RSVD//PC2

U12
V12
W12

1K_4

2
AO3403

(18)XD-VCC

41

(19)XD-CD
(2)XD-R/B
(3)XD-RE
(4)XD-CE
(5)XD-CLE
(6)XD-ALE
(7)XD-WE
(8)XD-WP

23
25
26
27
28
29
30
31

XD_CDZ
SM_R/B#
SM_RE#
SD_WP/SM_CE#
SM_CLE
SM_ALE
MS_BS/SD_CMD/SM_WE#
MS_SD_CLK

(10)XD-D0
(11)XD-D1
(12)XD-D2
(13)XD-D3
(14)XD-D4
(15)XD-D5
(16)XD-D6
(17)XD-D7
(1)XD-GND
(9)XD-GND
SDIO-GND
SDIO-GND1

33
34
35
36
37
38
39
40
24
32
45
46

MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT1/SD_DAT1/SM_D1
MS_DAT2/SD_DAT2/SM_D2
MS_DAT3/SD_DAT3/SM_D3
SM_D4
SM_D5
SM_D6
SM_D7

VCC_XD
R257

C409

100K_4

4.7u/6.3V_6

REV:3A
MODIFY

CARD_READER_TTN
SC_VCC

R13

SM_RE#

R275

10K_4

SM_R/B#

R278

10K_4

MS_BS/SD_CMD/SM_WE# R264

10K_4

SD_WP/SM_CE#

10K_4

R268

VCC_XD

+5V

1K_4

VCC_XD

VCC_XD
CN6

MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT1/SD_DAT1/SM_D1
MS_DAT2/SD_DAT2/SM_D2
MS_DAT3/SD_DAT3/SM_D3
MS_SD_CLK
MS_BS/SD_CMD/SM_WE#
SD_CDZ
SD_WP/SM_CE#

R0

T18

8402_R0

R1

T19

8402_R1

R19

8402_XI

XO

MS_SD_CLK

D1
E3

R12

XI

MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT1/SD_DAT1/SM_D1
MS_DAT2/SD_DAT2/SM_D2
MS_DAT3/SD_DAT3/SM_D3
MS_SD_CLK
MS_BS/SD_CMD/SM_WE#
SD_CDZ
SD_WP/SM_CE#

MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT1/SD_DAT1/SM_D1
MS_DAT2/SD_DAT2/SM_D2
MS_DAT3/SD_DAT3/SM_D3
MS_SD_CLK
MS_CDZ
MS_BS/SD_CMD/SM_WE#

CPS

AGND_00
AGND_01
AGND_02

VCC_XD
CN5

XD_CDZ
R_MS_SD_CLK
R230
33_4
MS_BS/SD_CMD/SM_WE#
MS_DAT3/SD_DAT3/SM_D3
MS_DAT2/SD_DAT2/SM_D2
MS_DAT1/SD_DAT1/SM_D1
MS_SDIO(DAT0)/SD_DAT0/SM_D0
SM_CLE
SM_RE#
SM_ALE
SM_D7
SM_D6
SM_D5
SM_D4
SD_WP/SM_CE#

TPBIAS1

VSSPLL

VCC_XD

R469

FW^6.34K/F_4

8402_XO

MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT1/SD_DAT1/SM_D1
MS_DAT2/SD_DAT2/SM_D2
MS_DAT3/SD_DAT3/SM_D3
MS_SD_CLK
MS_CDZ
MS_BS/SD_CMD/SM_WE#

C352 FW^22p_4
Y3
FW^24.576MHz

R18

PCIXX12

C351 FW^22p_4

Debug card interface

C642

5 IN 1

U14C
F6
F9
F12
F14
J6
J14
L6
L14
P6
P8
P10

+3V

11
18
19
1
3
14
6
20
23
21

(4)SD-VCC
(7)SD-DAT0
(8)SD-DAT1
(9)SD-DAT2
(1)SD-DAT3
(5)SD-CLK
(2)SD-CMD
SD-CD
SD-WP
SD-CD/WP-COM

8
16

4
12
13
10
7
5
9
15
2
17

(18)XD-VCC

43

(3)SD-GND
(6)SD-GND

(19)XD-CD
(2)XD-R/B
(3)XD-RE
(4)XD-CE
(5)XD-CLE
(6)XD-ALE
(7)XD-WE
(8)XD-WP

26
27
28
29
30
31
32
33

XD_CDZ
SM_R/B#
SM_RE#
SD_WP/SM_CE#
SM_CLE
SM_ALE
MS_BS/SD_CMD/SM_WE#
MS_SD_CLK

(9)MS-VCC
(4)MS-DATA0
(3)MS-DATA1
(5)MS-DATA2
(7)MS-DATA3
(8)MS-SCLK
(6)MS-INS
(2)MS-BS
(1)MS-GND
(10)MS-GND

(10)XD-D0
(11)XD-D1
(12)XD-D2
(13)XD-D3
(14)XD-D4
(15)XD-D5
(16)XD-D6
(17)XD-D7
(1)XD-GND
(9)XD-GND
GND1
GND2

35
36
37
38
39
40
41
42
25
34
22
24

MS_SDIO(DAT0)/SD_DAT0/SM_D0
MS_DAT1/SD_DAT1/SM_D1
MS_DAT2/SD_DAT2/SM_D2
MS_DAT3/SD_DAT3/SM_D3
SM_D4
SM_D5
SM_D6
SM_D7

*CARD_READER_PROCONN

FAN

BOT contact
CN26

30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

30
29
28
27
26
25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

S_AD0
S_AD1
S_AD2
S_AD3
S_AD4
S_AD5
S_AD6
S_AD7
S_AD8
S_AD9
S_AD11
S_AD13
S_AD15
S_CBE0#
S_CBE1#
S_CBE2#
S_CBE3#
PCLK_DEBUG R291
S_IRDY#
S_TRDY#
PCIRST#
S_GNT2#
S_DEVSEL#
S_FRAME#
+3V

MC^0_4

S_AD0 11,14,16
S_AD1 11,14,16
S_AD2 11,14,16
S_AD3 11,14,16
S_AD4 11,14,16
S_AD5 11,14,16
S_AD6 11,14,16
S_AD7 11,14,16
S_AD8 11,14,16
S_AD9 11,14,16
S_AD11 11,14,16
S_AD13 11,14,16
S_AD15 11,14,16
S_CBE0# 11,14,16
S_CBE1# 11,14,16
S_CBE2# 11,14,16
S_CBE3# 11,14,16
PCLK_MINI 2,16
S_IRDY# 11,14,16
S_TRDY# 11,14,16
PCIRST# 11,14,16
S_GNT2# 11,16
S_DEVSEL# 11,14,16
S_FRAME# 11,14,16

1394

D17

VGA_THERM# 26

D18
R332
2

+3V

BAS316
1

MAX6648_OV# 3
U26
2
1

19

VFAN

R211

R207

FW^56.2/F_4

FW^56.2/F_4

C369

C371

FW^1u/10V_4

FW^270p_4

R335
10K_6

VIN

G995

VO
GND
FON GND
GND
VSET GND

3
5
6
7
8

CN18

19 FANSIG

10K_4
+5V

TPBIAS0

+3V

EV^BAS316
1

TH_FAN_POWER

30 MIL
C472

C471

22u/6.3V_8

1000p_4

C484
.01u/16V_4

CN12
FAN-CONN
1 1 4 4
2 2
3 3 5 5

TPA0P
TPA0N

RN92
1
3

TPB0P
TPB0N

1
3

FW^0_4P2R
2
4
2
4

R217

R214

RN93

FW^56.2/F_4

FW^56.2/F_4

REV:3A Modify

L1394_TPA0+
L1394_TPA0L1394_TPB0+
L1394_TPB0-

L1394_TPB0L1394_TPA0L1394_TPA0+
L1394_TPB0+

1
3
4
2

FW^1394_CONN

FW^0_4P2R

+5V
1394_COM

PROJECT : ZR1

R218

C372

FW^5.1K/F_4

FW^270p_4

Quanta Computer Inc.

MC^PTWO_DEBUG

FFC : Contact at the same side


5

Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
3A

PCIXX12 ( 1394/5 IN 1 ) & FAN


4

Sheet
1

15

of

30

MINI-PCI

REQ2#

AD19

GNT2#

PIRQ(C,D)#

S_AD19 R282

MP^150/F_4

PCLK_MINI

R286

MINI_IDSEL

*22_4 C435

S_AD[31..0]

*22p_4

USB

L12
USBPWRP1

REV:3A Modify

S_AD[31..0] 11,14,15

USBP1USBP1+

11 USBP111 USBP1+

RN90
1
3

0_4P2R
2
4

1
2
3
4

BUSBP1BUSBP1+

L43

CN27
MP^PTWO_MINIPCI_H6.8

D11
BAS316
18 RF_LED
19 RF_ENABLE

RF_EN_R

S_PIRQD#

11 S_PIRQD#

PCLK_MINI

2,15 PCLK_MINI

S_REQ2#

11 S_REQ2#

S_AD31
S_AD29
S_AD27
S_AD25
S_CBE3#
S_AD23

11,14,15 S_CBE3#

S_AD21
S_AD19
S_AD17
S_CBE2#
S_IRDY#

11,14,15 S_CBE2#
11,14,15 S_IRDY#
C

S_CLKRUN#
S_SERR#

11,14,19,20 S_CLKRUN#
11,14 S_SERR#

S_PERR#
S_CBE1#
S_AD14

11,14 S_PERR#
11,14,15 S_CBE1#

S_AD12
S_AD10
S_AD8
S_AD7
S_AD5
S_AD3
+5V

S_AD1

TIP
LAN1
LAN3
LAN5
LAN7
LED_GP
LED_GN
NC1
-INTB
+3V
R(IRQ3)
GND
PCICLK
GND
-REQ
+3V
AD31
AD29
GND
AD27
AD25
(V)
-CBE3
AD23
GND
AD21
AD19
GND
AD17
-CBE2
-IRDY
+3V
-CLKRUN
-SERR
GND
-PERR
-CBE1
AD14
GND
AD12
AD10
GND
AD8
AD7
+3V
AD5
(V)
AD3
+5V
AD1
GND
SYNC
SDIN0
BITCLK
-AC_PRIMARY
BEEP
AGND
+MIC
-MIC
AGND
-RI
+5VA
125 GND

+5V

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49
51
53
55
57
59
61
63
65
67
69
71
73
75
77
79
81
83
85
87
89
91
93
95
97
99
101
103
105
107
109
111
113
115
117
119
121
123

+3V

2
3

5
6
7
8

C561
+ C546
470p_4
100u/6.3V_3528

+5V_S5
U9

S_PIRQC#
PCIRST#
S_GNT2#
PME#

+5V
S_PIRQC# 11

19 USBON#

+3VSUS
PCIRST# 11,14,15

G548A2P8U
OUT3 8
OUT2 7
OUT1 6

2
3

IN1
IN2

4
1
9

EN#
GND
GND-C

OC#

USBPWR1

5 R109

*6.34K/F_4

S_GNT2# 11,15
PME# 11,13,14

S_AD30
S_AD28
S_AD26
S_AD24
MINI_IDSEL
S_AD22
S_AD20
S_PAR
S_AD18
S_AD16
S_FRAME#
S_TRDY#
S_STOP#
S_DEVSEL#

S_PAR 11,14

DC/B CONNECTOR

S_FRAME# 11,14,15
S_TRDY# 11,14,15
S_STOP# 11,14
S_DEVSEL# 11,14,15

S_AD15
S_AD13
S_AD11
S_AD9
S_CBE0#

CN11

USB PORT

11 USBP311 USBP3+

USB PORT

11 USBP211 USBP2+

USB Camera

S_CBE0# 11,14,15

11 USBP611 USBP6+

USBP3USBP3+

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29

USBP2USBP2+
USBP6USBP6+

S_AD6
S_AD4
S_AD2
S_AD0
SERIRQ

SERIRQ 11,14,19,20

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30

VA

VA 20,25

+5V_S5
+3V
USBON#
CCD_PWRON#
LID#
NBSWON#

USBON# 19
CCD_PWRON#
LID# 10,11,19
NBSWON# 19

19

B2B

MINI-CARD

+1.5V

+3V_S5

CN21
+3VSUS

+3V
11 PCIE_TXP2
11 PCIE_TXN2
C438

C420

C436

C437

MP^.1u/16V_4

MP^.1u/16V_4

MP^.1u/16V_4

MP^.1u/16V_4

+5V

5
6
7
8

1
2
3
4

*DLW21HN900SQ2L

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50
52
54
56
58
60
62
64
66
68
70
72
74
76
78
80
82
84
86
88
90
92
94
96
98
100
102
104
106
108
110
112
114
116
118
120
122
124

RING
LAN2
LAN4
LAN6
LAN8
LED_YP
LED_YN
NC2
+5V
-INTA
R(IRQ4)
+3VAUX
-RST
+3V
-GNT
GND
-PME
(V)
AD30
+3V
AD28
AD26
AD24
IDSEL
GND
AD22
AD20
PAR
AD18
AD16
GND
-FRAME
-TRDY
-STOP
+3V
-DEVSEL
GND
AD15
AD13
AD11
GND
AD9
-CBE0
+3V
AD6
AD4
AD2
AD0
(V)
SERIRQ
GND
M66EN
SDOUT
SDIN1
-RESET
-MPCICACK
AGND
+SPK
-SPK
AGND
NC4
+3VAUX

1
4

TI201209G121_8_3A

USB

2
3

126 GND

+3V
D

1
4

USBPWR1

CN17

11 PCIE_RXP2
11 PCIE_RXN2

C628
C629

MC^.1u/16V_4 PERP2
MC^.1u/16V_4 PERN2

+3VSUS
2 CLK_PCIE_MINICARD
2 CLK_PCIE_MINICARD#
C662

C425

C424

C426

MP^.1u/16V_4

MP^.1u/16V_4

MP^.1u/16V_4

MP^.1u/16V_4

2 MINI_CLKREQ#
11 PCIE_WAKE#

51
49
47
45
43
41
39
37
35
33
31
29
27
25
23
21
19
17

Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
Reserved
GND
PETp0
PETn0
GND
GND
PERp0
PERn0
GND
Reserved
Reserved

15
13
11
9
7
5
3
1

GND
REFCLK+
REFCLKGND
CLKREQ#
Reserved
Reserved
WAKE#

+3.3V
GND
+1.5V
LED_WPAN#
LED_WLAN#
LED_WWAN#
GND
USB_D+
USB_DGND
SMB_DATA
SMB_CLK
+1.5V
GND
+3.3Vaux
PERST#
Reserved
GND

52
50
48
46
44
42
40
38
36
34
32
30
28
26
24
22
20
18

Reserved
Reserved
Reserved
Reserved
Reserved
+1.5V
GND
+3.3V

16
14
12
10
8
6
4
2

WL_VCC1

RF_LED 18

PDAT_SMB 2,11,20
PCLK_SMB 2,11,20

PLTRST# 6,11,13,18,19,20,26

RF_EN_R

WL_VCC2

MC^MINI CARD

BLUETOOTH

+3VSUS

BT_POWER

Q12

+3V
C617

BT^AO3403
2

19 BT_PWRON#
11 USBP4+
11 USBP4-

REV:3A Modify
RN91
3
1

BT^0_4P2R
4
2

L51
4
1

4
1

3
2

3
2

+1.8VSUS

BT^4.7u/6.3V_6

BUSBP4+
BUSBP4-

CN19
1
2
3
4
5
6

1
2
3
4
5
6

R547
MC^0_6

C689
MC^.1u/16V_4

+3V_S5

+1.5V

PROJECT : ZR1

R548
WL_VCC2
MC^0_6

REV:2A MODIFY

C635

C616

C638

MC^.1u/16V_4

MC^.1u/16V_4

MC^.1u/16V_4

MC^.1u/16V_4

Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
3A

MINI_CARDPCI/USB/BT/DC

18 BT_LED
5

Quanta Computer Inc.

C614

BT^USB_BT

*DLW21HN900SQ2L

WL_VCC1

Sheet
1

16

of

30

Audio Codec_ALC883

MDC

VREFOUTR
VREFOUTL

SENSEB
AOUTL
AOUTR

1
3
5
7
9
11

11 CD_SDOUT_MDC

AC97_VREF
VDDA

LINE2_VREF

10K_4

MIC2_VREF

VDDA R529

11 CD_SYNC_MDC
11 CD_SDIN1
11 CD_RESET#_MDC

C684

CN24
MODEM

R256

22_4

+3V_S5

2
4
6
8
10
12

RSV
RSV
3.3V
GND
GND
AC_BCLK

GND
AC_SDO
GND
AC_SYNC
AC_SDI
AC_RST#

C423
.1u/16V_4
CD_BITCLK_MDC 11
R276

C421

*0_4

*10p_4

2
24

LINE1-L

23

LINEIN_L

C678

1u/10V_4

MIC1-R

22

MICR

C442

1u/10V_4

MIC1-L

21

MICL

CD-R

20

CD_R

1u/10V_4

LINEINR_R

R532

0_4 LINEINR

LINEINL_R

R525

0_4 LINEINL

R541

0_4

R527
4.7K_4

U41
VDDA

1u/10V_4

R542

.1u/16V_4

REV:2A MODIFY

AUDGND

C685
47p_4

C668

.1u/16V_4

AUDGND

CD_L

C667

.1u/16V_4

AUDGND

44

SURR-VREFO-R(GPIO1)

MIC2-R

17

MIC2_R

C663

1u/10V_4

45

MIC2-VREFO-R

MIC2-L

16

MIC2_L

C661

1u/10V_4

46

LINE2-VREFO-R

LINE2-R

15

LINE2_R

C657

EZ^1u/10V_4

R514

EZ^4.7K_4 LINE2_VREF

47

SPDIFI/EAPD

LINE2-L

14

LINE2_L

C655

EZ^1u/10V_4

R543

EZ^0_4

48

SPDIFO

Sense A

13

SENSEA

.1u/16V_4

R518

AUDGND

CN20

MIC2_IN

R511

20K/F_6

MICIN_JD

R512

10K/F_6

LINEIN_JD

R1

AUDGND

MIC2_VREF

4.7K_4

2
1
C624
22p_4

PR_MIC 20

C658

C656

SHDN

4.7u/6.3V_6

.1u/16V_4

MAX8863
R517

VOUT = 1.25 ( 1+R1/R2 )


AUDGND

SET

28.7K/F_6

C686
47p_4

10K/F_6

R2

4.8375V 28.7K

2
1

10K

2nd source : G923-330T1U


AL000923003

AUDGND

BEEP

R280

C652
PC_BEEP

BEEP

+3V

INT_MIC

10K_6

AUDGNDAUDGND

PCBEEP

11 PCSPK

14 PCMSPK

U37

NL17SZ86DFT2G

4
R498

1u/10V_4

R281

REV:2A
MODIFY

MUTE_BEEP 19

Q29
2N7002

2K_6

U42

12

10

11

SYNC

DVDD2

DVSS2

BIT-CLK

DVDD1

RESET#

CD_GND

18

SDATA-IN

19

CD-L

R521
4.7u/6.3V_6

C672

IN
GND

MIC_L

0_4

OUT

C439

C443

CD-GND

C440

SURR-VREFO-L(GPIO0)

REV:2A MODIFY

+5V

MIC_R

AVSS2

BK1005LL121_4_50MA

VDDA

REV:2A MODIFY

R528
4.7K_4

LINE1-R

LINEIN_R C682

26

25
AVDD1

27

AVSS1

28

VREF

MIC1-VREFO-L

29
LINE1-VREFO-L

30

31

32

33

34

DCVOL

Audio Codec Power

43

SDATA-OUT

SPDIFO_R

SPDIFO

AUDGND

42

DVSS1

L64

ALC883(ALC260)

SURR-R

AUDGND

41

JDREF/NC

GPIO1 (GPIO3)

SURR-R

20K/F_6

MIC2-VREFO

SURR-L

LINE2-VREFO

39

MIC1-VREFO-R

AVDD2

40
AUDGND

35

LINE1-VREFO-R

38

GPIO0 (GPIO2)

SURR-L
R516

37

Sense B

VDDA

FRONT-L

FRONT-R

36

10u/6.3V_6

+3V

ALC883
CODAC_MUTE#

10K_4

BEEP
CD_RESET#_CODEC 11
CD_SYNC_CODEC 11
CD_SDIN0 11
CD_BITCLK_CODEC 11
CD_SDOUT_CODEC 11

+3V
R_CD_SDIN0 R507
CD_BITCLK_CODEC

+3V
CD_BITCLK_CODEC

R508

22_4

*22_4

C427

Audio Jack

C653

C654

C428

C651

.1u/16V_4

.1u/16V_4

.01u/16V_4

4.7u/6.3V_6

PINK

*10p_4

MIC_L

L57

SBK160808T-301Y-N_6_0.2A

MIC_L_JACK

MIC_R
MICIN_JD

L60

SBK160808T-301Y-N_6_0.2A

MIC_R_JACK

1
2
6
3
4

C675

LINE OUT Amplifier


4.7u/6.3V_6 AR_HP

INL_4411

4.7K_4

REV:2A MODIFY
R522

INR_4411

4.7K_4

MUTE#

C671

C677

AUDGND

1u/10V_4

13

INL

15

14
18

C1P_4411

C1N_4411

C1N

PVSS_4411

5
7

PVSS
SVSS

1u/10V_4

C1P

21
22
23

AUDGND
R530

OUTL
OUTR
NC1
NC2
NC3
NC4
NC5
NC6
SVDD
PVDD
PGND
SGND

9
11
4
6
8
12
16
20
10
19
2
17

20 LINEINL_PR

EZ^39.2K/F_4

HPL
HPR

20 LINEINR_PR
Q17

20 PR_MIC_IN
R293

C679

EZ^100K_4

+3V

LINEINL C695

.1u/16V_4

AUDGND

LINEINR C696

.1u/16V_4

AUDGND

C666

1u/10V_4

4.7u/6.3V_6

AUDGND

AUDGND

HPL
HPR

R287
3

R520
R523

15K_4

HP_L L55
HP_R L56

2
6
11
15
20
4
14

15K_4 9710INR

VDD
PVDD
PVDD
BIAS
PGND
PGND
PGND
PGND
MUTE
SHDN#
INR

VDDAMP
OUTL+
OUTL-

C673

C676

470p_4

470p_4

EP
EP
EP
EP
EP
EP

21
22
23
24
25
26

NC
NC
NC
NC

16 AUDGND
13
10
3

OUTROUTR+

9 INSPKR7 INSPKR+

R288

AUDGND

EZ^100K_4

HPL_SYS R538
HPR_SYS R534

EZ^0_4
EZ^0_4

SPKL_PR
SPKR_PR

AUDGND

VDDAMP

Q30

3
VDDAMP

2N7002

+5V

D27
CODAC_MUTE#

C674

C670 HI0805R800R_8_5A

*DA204U

*4.7u/6.3V_6

1000p_4

C429

4.7u/6.3V_6

.1u/16V_4

VDDAMP

5
1
3

+3V

HP_PLG#

AUDGND

R549

VDDAMP

HP_ON

R550
INSPKR+
INSPKRINSPKL+
INSPKL-

L32
L31
L30
L29

INSPKR+N
INSPKR-N
INSPKL+N
INSPKL-N

SBK160808T-301Y-N_6_0.2A
SBK160808T-301Y-N_6_0.2A
SBK160808T-301Y-N_6_0.2A
SBK160808T-301Y-N_6_0.2A

0_4
0_4

C433
47p_4

REV:2A MODIFY

C434
47p_4

C431
47p_4

C432
47p_4

4
3
2
1
CN7

4
36
25
1

22K_4
6
5

R_L_SPEAKERS

REV:C Modify

HPPLG#

.01u/16V_4
.01u/16V_4
0_6
0_6
0_6
0_6

SEL
COM
GND

6
4
2

HPPLG#
SPDIF_OUT

SPDIF_OUT 20

*NC7SB3157P6X_NL

AUDGND
4

AUDGND

AUDGND

REV:3A MODIFY

PROJECT : ZR1

AUDGND

AUDGND
5

0_4

Quanta Computer Inc.

2N7002

AUDGND
3

R513

Q37
2N7002
Q32

BAS316
2

VCC
IN_B1
IN_B0

10K_4
C441
C659
R292
R289
R290
R285

AUDGND
1

HPPLG#

REV:2A MODIFY

U40

C430

AUDGND

R552
R553
MUTE#

AUDGND

100K_4

BAS316
1

D31

+3V
R551

+3V_SPD

1
AO3403

L63
MUTE#

+3V

HPPLG#

*BAS316
2

LINEOUT_JD:
HP not insert->H
HP insert->L

D32

Size

Document Number

Rev
3A

Audio Codec (ALC883)

REV:2A MODIFY

SPDIF

AUDGND

LED

Drive
IC

Q18
100K_4

SPDIFO

D30

9
10
8

SPKL_PR 20
SPKR_PR 20

R526
9710_MU

MAX9710ETP
R294
15K_4

C665
1u/16V_6

19 AMP_MUTE#

CN28
7
2
3
4
1
6

EZ^2N7002

19 INSPKL+
17 INSPKL-

12
8
18

INL

9710_BIAS

9710_MU
*100K_4 MUTE#

R515

+3V_SPD

HPL_SYS
HPR_SYS

SBK160808T-301Y-N_6_0.2A
SBK160808T-301Y-N_6_0.2A

5.1K/F_4

1u/10V_4

9710_INR R519

470p_4

REV:2A MODIFY

C687

LINEIN

AUDGND

Q16

20 HPSENCE_PR

VDDAMP

CD_RESET#_CODEC

HPPLG#

150/F_4
150/F_4

5
CN29

REV:2A MODIFY

AUDGND

C664

1
2
6
3
4

C680

470p_4
L54

U43
15K_4 9710INL

R531

AUDGND

SBK160808T-301Y-N_6_0.2A LINEIN_R_JACK

SPDIF_OUT

1u/16V_6

VDDAMP

LINEINR
L61
LINEIN_JD

HP_PLG#
R533

C683

SURR-R

SBK160808T-301Y-N_6_0.2A LINEIN_L_JACK

L58
EZ^SBK160808T-301Y-N_6_0.2A

SENSEA

9710_INL

BLUE
L62

EZ^2N7002

SBK160808T-301Y-N_6_0.2A
VCC3_4411
1
2

AUDGND

SURR-L

L59
EZ^SBK160808T-301Y-N_6_0.2A
LINEINL

SENSEB

AUDGND

MAX4411

SPEAKER Amplifier

MIC

470p_4
EP
EP
EP

INR

SHDNR
SHDNL

5
CN30

AOUTR C669

U44

R524

4.7u/6.3V_6 AL_HP

C681

AOUTL

Date:

Wednesday, August 02, 2006


7

Sheet

17
8

of

30

HDD

CN22
HDD

1
2

P1
P3
P5
P7
P9
P11
P13
P15
P17
P19
P21
P23
P25
P27
P29
P31
P33
P35
P37
P39
P41
P43

P2
P4
P6
P8
P10
P12
P14
P16
P18
P20
P22
P24
P26
P28
P30
P32
P34
P36
P38
P40
P42
P44

P2
P4
P6
P8
P10
P12
P14
P16
P18
P20
P22
P24
P26
P28
P30
P32
P34
P36
P38
P40
P42
P44

R160

PLTRST#

PLTRST#

33_4

IDERST_R#

S1
S2
S3
S4
S5
S6
S7

S8
S9
S10
S11
S12
S13
S14
S15
S16
S17
S18
S19
S20
S21
S22

S8
S9
S10
S11
S12
S13
S14
S15
S16
S17
S18
S19
S20
S21
S22

LED2
U46
TC7SH08FU
4

LED

+3V
220_4

LED_G_SIDE

R26
19 NUMLED#

IDERST#

NUMLED#

LED3

+3V
220_4

LED_G_SIDE

REV:3A MODIFY

-PDIAG
PDA2
PDCS3#

+5V
PDA2 11
PDCS3# 11

REV:3A MODIFY

C613

C615

C621

C612

C618

C620

100u/6.3V_3528

4.7u/6.3V_6

.1u/16V_4

.1u/16V_4

.01u/16V_4

.01u/16V_4

+5V

+5V

R208

PA^10K_4 -PDIAG

R510

*10K_4

REV:2A MODIFY

PDD7

+3V

R306

LED1
EMAIL_LED

+3.3VSATA

SATA_TXP0 11
SATA_TXN0 11

R493

*5.6K_4

PDDREQ

R226

PA^470_4

PSEL

Q21
MMBT3906

C393

C404

SA^4.7u/6.3V_6

SA^4.7u/6.3V_6

SA^.1u/16V_4
1
AUDGND

REV:2A MODIFY

+3V

+3V

LED_G_UP

2 BTLED

R537

BT^330_4

BT_LED 16

BT^LED_B

+3V
R544

R472

REV:3B MODIFY

+3V

R485
MP^0_4

10K_4
IDELED#

+5V

LED7

+3V

REV:3A MODIFY

SA^0_8

330_4

11 EMAIL_LED#

SATA_RXN0 11
SATA_RXP0 11

+3.3VSATA

+3.3VSATA

C399

R248

1
+3V

LED8

2 RFLED

R540

MC^330_4

LED_ORANGE

R539

MP^330_4

RF_LED 16

221/F_4
4 IDE_LED#

U45
TC7SH08FU

PSEL

+3.3VSATA
S1
S2
S3
S4
S5
S6
S7

CAPSLED#

+5V

1
6,11,13,16,19,20,26

1
2

SATA

19 CAPSLED#

REV:3B MODIFY

PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15

PDDREQ
PDIOW#
PDIOR#
PIORDY
PDDACK#
IRQ14
PDA1
PDA0
PDCS1#
IDELED#
+5V

11 PDDREQ
11 PDIOW#
11 PDIOR#
11 PIORDY
11 PDDACK#
11 IRQ14
11
PDA1
11
PDA0
11 PDCS1#

P1
P3
P5
P7
P9
P11
P13
P15
P17
P19
P21
P23
P25
P27
P29
P31
P33
P35
P37
P39
P41
P43

IDERST#
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0

11

PDD[15..0]

PDD[15..0]

R25

AUDGND

LED4

R545

REV:2A MODIFY

MC^0_4

LED_G_SIDE

Power LED

R486

LED6

10K_4
11 SATA_LED#

19 PWRLED#

19 SUSLED#

PWR_VCC

R535

330_4

BAT_VCC

R536

330_4

+3VPCU

LED_G/Y

TP CONN

ODD

Battery LED

CN16

SA^470_4

PDD8
PDD9
PDD10
PDD11
PDD12
PDD13
PDD14
PDD15
PDDREQ
PDIOR#

L7
L8

19 TPDATA
19 TPCLK

PDDACK#

LED5

.1u/16V_4

CN4
1
2
3
4
5
6
7
8
9
10
11
12

TPDATA_R
TPCLK_R

LZA10-2ACB104MT
LZA10-2ACB104MT

REV:B ,
SWAP PIN 7
& PIN 12

RIGHT#
SCR_RIGHT#
SCR_UP#
SCR_LEFT#
SCR_DN#
LEFT#

REV:2A MODIFY

-PDIAG
PDA2
PDCS3#

1
2
3
4
5
6
7
8
9
10
11
12

19 BATLED0#

19 BATLED1#

QUICK KEY SWITCH


B

TOUCH_PAD_TP
SW4

TP SWITCH
SW7
LEFT#

MISAKI_SWITCH

3
1

SW10
SCR_DN#

2
4
5

ODD_CONN

SW6
RIGHT#

MISAKI_SWITCH

3
1

REV:3A MODIFY
+5V

SW5
+

19

MX0

19

MX1

19

MX2

C573

C550

C169

C168

C540

C541

100u/6.3V_3528

4.7u/6.3V_6

.1u/16V_4

.1u/16V_4

.01u/16V_4

.01u/16V_4

SCR_UP#

MISAKI_SWITCH

19

MX3

3
1

SW2

2
4
5

3
1

SCR_RIGHT#

2
4
5

2
4
5

MY10 19

MISAKI_SWITCH

3
1

2
4
5

MISAKI_SWITCH

3
1

SW9

MISAKI_SWITCH

MISAKI_SWITCH

3
1

SW8
SCR_LEFT#

2
4
5

+3VPCU

LED_G/Y

+5V

53
54

R96

51
52

RCSEL

C121

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

53
54

PDIOW#
PIORDY
IRQ14
PDA1
PDA0
PDCS1#
IDELED#
+5V

2
4
6
8
10
12
14
16
18
20
22
24
26
28
30
32
34
36
38
40
42
44
46
48
50

TI201209G121_8_3A TP_VCC

L9

+5V

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

51
52

IDERST#
PDD7
PDD6
PDD5
PDD4
PDD3
PDD2
PDD1
PDD0

1
3
5
7
9
11
13
15
17
19
21
23
25
27
29
31
33
35
37
39
41
43
45
47
49

SW3

2
4
5

WWW

MISAKI_SWITCH

3
1

2
4
5

MISAKI_SWITCH

3
1

SW1

2
4
5

MAIL

MISAKI_SWITCH

3
1

2
4
5

R95

PA^4.7K_4

WL & BT SWITCH

RCSEL

SW11
1

19 BLUETOOTH_SW#

2
3

19 WIRELESS_SW#

SW12
BT^SLIDE_SWITCH_BT

SLIDE_SWITCH_BT

AUDGND
5

PROJECT : ZR1

Quanta Computer Inc.

4
8
2
3

+5V

Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
3A

HDD/ODD/LED/SW/TP

AUDGND
2

Sheet
1

18

of

30

+3VPCU

K/B CONTROLLER

+3VPCU

+3V

MBCLK
MBDATA
NBSWON#

+3VPCU

R239
R240
R221

4.7K_4
4.7K_4
10K_4

ENV1

R494

10K_4

SHBM

R495

10K_4

U38
VCCRTC

.1u/16V_4

.1u/16V_4

.1u/16V_4

SCI#

2
D3

11 GATEA20
11 RCIN#

D26 2
2
D25

11

1
BAS316

25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

25
24
23
22
21
20
19
18
17
16
15
14
13
12
11
10
9
8
7
6
5
4
3
2
1

MY15
MY14
MY13
MY12
MX0
MY11
MY10
MX1
MX2
MY9
MX3
MY8
MY7
MY6
MY5
MY4
MY3
MX4
MY2
MY1
MY0
MX5
MX6
MX7

MY0
MY1
MY2
MY3
MY4
MY5
MY6
MY7
MY8
MY9
MY10
MY11
MY12
MY13
MY14
MY15

MX0 18
MY10 18
MX1 18
MX2 18
MX3 18

20 MSCLK
20 MSDATA
20 KBCLK
20 KBDATA
18 TPCLK
18 TPDATA
18 CAPSLED#
18 NUMLED#

10p_4
Y6

+3VSUS

+3VSUS

+3VSUS

MX0
MY11
MY10
MX1

MY7
MY6
MY5
MY4

RP2
10
9
8
7
6

10K_10P8R
MY8
1
MX3
2
MY9
3
MX2
4
5

MY0
MX5
MX6
MX7

RP1
10
9
8
7
6

10K_10P8R
MY1
1
MY2
2
MX4
3
MY3
4
5

71
72
73
74
77
78
79
80
49
50
51
52
53
56
57
58
59
60
61
64
65
66
67
68

DA0
DA1
DA2
DA3

DA output

IOPD3/ECSCI
GA20/IOPB5
KBRST/IOPB6
KBSIN0
KBSIN1
KBSIN2
KBSIN3
KBSIN4
KBSIN5
KBSIN6
KBSIN7

LID#
MBCLK
MBDATA
PLTRST#

IOPC0
IOPC1/SCL2
IOPC2/SDA2
IOPC3/TA1
IOPC4/TB1/EXWINT22
IOPC5/TA2
IOPC6/TB2/EXWINT23
IOPC7/CLKOUT

168
169
170
171
172
175
176
1

EC_DNBSWON#
FANSIG
EC_BLON#

IOPD0/RI1/EXWINT20
IOPD2/EXWINT24

26
29
30

VOLUEUP#
ACIN
VOLUEDN#

IOPE4/SWIN
IOPE5/EXWINT40
IOPE6/LPCPD/EXWIN45
IOPE7/CLKRUN/EXWINT46

2
44
24
25

NBSWON#

PORT-D-1 IOPD1/RI2/EXWINT21

MSCLK
MSDATA
KBCLK
KBDATA
TPCLK
TPDATA
CAPSLED#
NUMLED#

110
111
114
115
116
117
118
119

PSCLK1/IOPF0
PSDAT1/IOPF1
PSCLK2/IOPF2
PSDAT2/IOPF3
PSCLK3/IOPF4
PSDAT3/IOPF5
PSCLK4/IOPF6
PSDAT4/IOPF7

591_32KX1

158

32KX1/32KCLKOUT

R484

160

32KX2

PORT-E
JTAG debug port

PORT-H
PS2 interface

PORT-I

591_X3

20M_6
591_32KX2

PORT-J-1

+3VSUS

18 PWRLED#
20 PR_STS
16 USBON#
18 SUSLED#
18 BATLED0#
18 BATLED1#
16 RF_ENABLE
16 BT_PWRON#
11 RSMRST#
16 CCD_PWRON#
22,23 VRON
20,21,22,23,24 MAINON
20,21,23 SUSON
21 S5_ON

PWRLED#
PR_STS
USBON#
SUSLED#
BATLED0#
BATLED1#
RF_ENABLE
BT_PWRON#
RSMRST#
CCD_PWRON#
VRON
MAINON
SUSON
S5_ON
CS#

62
63
69
70
75
76
148
149
155
156
3
4
27
28
173
174
47

IOPJ2/BST0
IOPJ3/BST1
IOPJ4/BST2
IOPJ5/PFS
IOPJ6/PLI
IOPJ7/BRKL_RSTO
IOPM0/D8
IOPM1/D9
IOPM2/D10
IOPM3/D11
IOPM4/D12
IOPM5/D13
IOPM6/D14
IOPM7/D15

PORTJ-2

PORT-K
PORT-M

PORT-L

SEL0
SEL1
CLK

+3VSUS

87541V

PORT-D-2

SHBM=1: Enable shared memory with host BIOS


+3VPCU

I/O Address
BADDR1-0
Index
Data
2E
2F
00
01
4E
4F
(HCFGBAH, HCFGBAL) (HCFGBAH, HCFGBAL)+1
10
11
Reserved

R181
R242
R172
R171

10K_4
*10K_4
4.7K_4
4.7K_4

C384

22 HWPG_CPUIO
21 HWPG_SYS

.1u/16V_4

HWPG_CPUIO 1
D24

2
BAS316

HWPG_SYS

1
D23

2
BAS316

HWPG_DDR

VADJ 10
VFAN 15

3,5,11,22 IMVP_PWRGD

1
D20

2
BAS316
2
BAS316

VGA_PWRGD

24,29 VGA_PWRGD

2
EV^BAS316

MUTE_BEEP 17

1
D21

AMP_MUTE# 17

LANRST# 13
CRT_SENSE# 10

+3V_S5

LID# 10,11,16
MBCLK 3,25
MBDATA 3,25
PLTRST# 6,11,13,16,18,20,26

591_LPME#
MAX6648_AL#

+3VPCU

R460
*10K_4

MAX6648_AL# 3
1
D6

LAN_PME# 1
Q26
DTC144EU

13 LAN_PME#

2
BAS316

DNBSWON# 11
FANSIG 15
EC_BLON# 10

591_LPME#

ICH_PWROK 11
+3VPCU
ACIN 25
R499
PLAYBTN#
S_CLKRUN#

IOPH0/A0/ENV0
IOPH1/A1/ENV1
IOPH2/A2/BADDR0
IOPH3/A3/BADDR1
IOPH4/A4/TRIS
IOPH5/A5/SHBM
IOPH6/A6
IOPH7/A7

124
125
126
127
128
131
132
133

ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7

IOPI0/D0
IOPI1/D1
IOPI2/D2
IOPI3/D3
IOPI4/D4
IOPI5/D5
IOPI6/D6
IOPI7/D7

138
139
140
141
144
145
146
147

BD0
BD1
BD2
BD3
BD4
BD5
BD6
BD7

IOPJ0/RD
IOPJ1/WR0

150
151

RD#
WR#

NBSWON# 16

10K_4
BIOS_RST#

S_CLKRUN# 11,14,16,20

C645

1u/10V_4

Reverse for Finger printer SKU


B

U18

SELIO

152

IOPD4
IOPD5
IOPD6
IOPD7

41
42
54
55

CELL_SET
D/C#

IOPK0/A8
IOPK1/A9
IOPK2/A10
IOPK3/A11
IOPK4/A12
IOPK5/A13/BE0
IOPK6/A14/BE1
IOPK7/A15/CBRD

143
142
135
134
130
129
121
120

A8
A9
A10
A11
A12
A13
A14
A15

IOPL0/A16
IOPL1/A17
IOPL2/A18
IOPL3/A19
IOPL4/WR1

113
112
104
103
48

A16
A17
A18
A19

U17
12
11
10
9
8
7
6
5
27
26
23
25
4
28
29
3
2
30

CELL_SET 25
D/C# 25

CS#
RD#
WR#

22
24
31

13
14
15
17
18
19
20
21

BD0
BD1
BD2
BD3
BD4
BD5
BD6
BD7

VPP

A18

VCC

32

D0
D1
D2
D3
D4
D5
D6
D7

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17

A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19

CS#
RD#
WR#

22
24
9

CE#
OE#
WE#

D0
D1
D2
D3
D4
D5
D6
D7

25
26
27
28
32
33
34
35

RESET#/NC
RY/BY#/NC
NC1
NC2
NC3

10
12
29
38
11

VCC
VCC

31
30

GND
GND

23
39

BD0
BD1
BD2
BD3
BD4
BD5
BD6
BD7
BIOS_RST#

+3VPCU

REV:D MODIFY

TSOP40

AMD :Pin 10 is RESET# ; Pin12 is RY/BY#


SST :Pin10,12 are NC

.1u/16V_4
GND

21
20
19
18
17
16
15
14
8
7
36
6
5
4
3
2
1
40
13
37

SST39VF080

+3VPCU
C660

CE#
OE#
WE#

ENV0
ENV1
BADDR0
BADDR1
TRIS
SHBM
A6
A7
A8
A9
A10
A11
A12
A13
A14
A15
A16
A17
A18
A19

16

*PLCC32

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
1A

EC PC87541V
3

HWPG

IMVP_PWRGD 1
D22

CC-SET 25

C360
1u/10V_4
5

23 HWPG_DDR

MUTE_BEEP

LANRST#

NBSWON#

591

+3VSUS

VCC

+3V
HWPG
FANSIG
WIRELESS_SW#
BLUETOOTH_SW#

WIRELESS_SW# 18
BLUETOOTH_SW# 18
SUSC# 11
SUSB# 11

99 CC-SET
100
101 VADJ
102 VFAN

153
154
162
163
164
165

PORT-C

TINT
TCK
TDO
TDI
TMS

GND

1
2
3

TEMP_MBAT 25

WIRELESS_SW#
BLUETOOTH_SW#
SUSC#
SUSB#

IOPB0/URXD
IOPB1/UTXD
IOPB2/USCLK
IOPB3/SCL1
IOPB4/SDA1
IOPB7/RING/PFAIL

Key matrix scan

105
106
107
108
109

TEMP_MBAT

AMP_MUTE#
HWPG
REVBTN#
STOPBTN#
FRDBTN#

PORT-B

KBSOUT0
KBSOUT1
KBSOUT2
KBSOUT3
KBSOUT4
KBSOUT5
KBSOUT6
KBSOUT7
KBSOUT8
KBSOUT9
KBSOUT10
KBSOUT11
KBSOUT12
KBSOUT13
KBSOUT14
KBSOUT15

81
82
83
84
87
88
89
90
93
94

32
33
36
37
38
39
40
43

IOPA0/PWM0
IOPA1/PWM1
IOPA2/PWM2
IOPA3/PWM3
IOPA4/PWM4
IOPA5/PWM5
IOPA6/PWM6
IOPA7/PWM7

PWM or
PORT-A

120K_4

DJ^DJ_FFC
10K_10P8R
MY12
1
MY13
2
MY14
3
MY15
4
5

10p_4

R480
C623

8
7
6
5
4
3
2
1

RP3
10
9
8
7
6

5
6

Host interface

32.768KHz

CN3
8
7
6
5
4
3
2
1

C634

VOLUEUP#
VOLUEDN#
PLAYBTN#
STOPBTN#
FRDBTN#
REVBTN#

31

MX0
MX1
MX2
MX3
MX4
MX5
MX6
MX7

KB

AUDIO DJ

SCIZ

1 BAS316 GATE20Z
RCINZ
1
BAS316

CN2
C

KBSMIZ

WP

A0
A1
A2

NC1
NC2
NC3
NC4
NC5
NC6
NC7
NC8
NC9
NC10

+3VPCU

SDA
SCL

24LC08

AD0
AD1
AD2
AD3
IOPE0AD4
IOPE1/AD5
IOPE2/AD6
IOPE3/AD7
DP/AD8
DN/AD9

AD Input

AGND

MSCLK
MSDATA
KBCLK
KBDATA
TPCLK
TPDATA

.1u/16V_4

11
12
20
21
85
86
91
92
97
98

EZ^10K_4
EZ^10K_4
EZ^10K_4
EZ^10K_4
10K_4
10K_4

SERIRQ
LDRQ
LFRAME
LAD0
LAD1
LAD2
LAD3
LCLK
LREST
SMI
PWUREQ

96

R4
R5
R6
R7
R73
R70

7
8
9
15
14
13
10
18
19
22
23

LFRAME#/FWH4
LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3
PCLK_591
591RESET#

GND1
GND2
GND3
GND4
GND5
GND6
GND7

+5V

SERIRQ

11,14,16,20 SERIRQ
11,20 LFRAME#/FWH4
11,20 LAD0/FWH0
11,20 LAD1/FWH1
11,20 LAD2/FWH2
11,20 LAD3/FWH3
R204
2 PCLK_591
591_RST 2
1
D5
BAS316
470K_4
2
1
11 KBSMI#
D4
BAS316

17
35
46
122
159
167
137

Should have a 0.1uF capacitor close to every


GND-VCC pair + one larger cap on the
supply.

161

.1u/16V_4

C396

5
6

VBAT

10u/6.3V_6

U13

95

C397

AVCC

C398

VCC1
VCC2
VCC3
VCC4
VCC5
VCC6

C330

16

C348

VDD

C331

34
45
123
136
157
166

.1u/16V_4

MBDATA
MBCLK

C350

C374
.1u/16V_4

C627
.1u/16V_4

+3VPCU

Sheet
1

19

of

30

NS SIO 87383

+3V_S5

LFRAME

ACK/GPIO24

28

ACK#

PLTRST#

35

LRESET

AFD_DSTRB

57

AFD#

SERIRQ

36

SERIRQ

BUSY_WAIT

26

BUSY

SIO_LPC_PD#

29

LPCPD/GPIO21

ERR

54

ERROR#

S_CLKRUN#

27

CLKRUN/GPIO22

INIT

56

INIT#

14M_SIO

58

CLKIN

PE

25

PE

15
16
19
20
21
40
7
41
23

GPIO00
GPIO01
GPIO02
GPIO03
GPIO04
GPIO05
GPIO06
GPIO07
GPIO20

SLCT

24

SLCT

SLIN_ASTRB

55

SLIN#

STB_WRITE

14

STRB#

22

PLTRST#

11,14,16,19 SERIRQ

11,14,16,19 S_CLKRUN#
2 14M_SIO

+3V
C

C458

C462

C456

IR^10u/6.3V_6

IR^.1u/16V_4

IR^.1u/16V_4

C688

NC
NC
NC
NC
NC
NC
NC
NC

45
32
11

VDD
VDD
VDD

44
31
12

VSS
VSS
VSS

IR^.1u/16V_4 13

+3V

CRT_HSYNC
CRT_VSYNC
CRT_DDCCLK
CRT_DDCDAT

10 CRT_HSYNC
10 CRT_VSYNC
10 CRT_DDCCLK
10 CRT_DDCDAT

IRRX1

IRTX

VCORF

VGA_RED_PR
VGA_GRN_PR
VGA_BLU_PR

10 VGA_RED_PR
10 VGA_GRN_PR
10 VGA_BLU_PR

LPC_PD# 1

11 LPC_PD#

L35
L34
L33

EZ^BEAD
EZ^BEAD
EZ^BEAD

RED_PR
GRN_PR
BLU_PR

REV:2A MODIFY

PCIE_TXP3
PCIE_TXN3

11 PCIE_TXP3
11 PCIE_TXN3

PCIE_RXP3
PCIE_RXN3

11 PCIE_RXP3
11 PCIE_RXN3

CLK_PCIE_EZ2
CLK_PCIE_EZ2#

2 CLK_PCIE_EZ2
2 CLK_PCIE_EZ2#

PCIE_TXP4
PCIE_TXN4

11 PCIE_TXP4
11 PCIE_TXN4

PCIE_RXP4
PCIE_RXN4

11 PCIE_RXP4
11 PCIE_RXN4

D1

6,11,13,16,18,19,26 PLTRST#

2 EZ^BAS316 PCIE_RST_PR#

PDAT_SMB
PCLK_SMB
EZ_CLKREQ#

2,11,16 PDAT_SMB
2,11,16 PCLK_SMB
2 EZ_CLKREQ#
VA

C1

C12

C13

EZ^.1u/25V_6

DOCKIN#

*BAS316

L65
4 4
1 1
1
3

DVI_TX0-_R
DVI_TX0+_R

*DLW21HN900SQ2L
3 3
2 2
2
4

RN86
EZ^0_4P2R
L67
*DLW21HN900SQ2L
1 1
2 2
4 4
3 3
3
1
RN88

4
2

DVI_CLK- 6,26
DVI_CLK+ 6,26

CRT_HS
CRT_VS
CRT_DDCK
CRT_DDCDT
GND105
VGA_R
VGA_G
VGA_B
GND109
GND117
PCIE1_CLK+
PCIE1_CLKGND118
PCIE1_TP
PCIE1_TN
GND114
PCIE1_RP
PCIE1_RN
GND113
PCIE2_CLK+
PCIE2_CLKGND27
PCIE2_TP
PCIE2_TN
GND28
PCIE2_RP
PCIE2_RN
GND88
PCIERST
PCIEWAKE
PCIESMBDT
PCIESMBCK
PCIEREQ#

122
125

P2
GND125

DVI_TX0- 6,26
DVI_TX0+ 6,26

DVI_TX2-_R
DVI_TX2+_R

EZ^2N7002

EZ^DA204U

REV:3A MODIFY

IRRX2

10

IRMODE

IRTX2

INIT#
SLIN#

4
2

ACK#
BUSY

4
2

ERROR#
PD0

4
2

PD6
PD7

4
2

PD4
PD5

4
2

PD3
STRB#

4
2

+3V

+5V

IR^10K_4

3
59

MDCD1#

DSR1/GPIO15

60

MDSR1#

DTR1_BOUT1/BADDR

MDTR1# R307

RI1/GPIO10

OPEN : 164Eh~164Fh

*10K_4

LOW : 2Eh~2Fh

MRI1

RTS1/GPIO13/TRIS

62

MRTS1# R305

SIN1/GPIO14

61

MRXD1

SOUT1/GPIO12/TEST

63

MTXD1

R309

*10K_4

OPEN : normal pin operation

*10K_4

OPEN : normal Device operation

LOW : float device pin


LOW : XOR pin tree

R295
DVI_HPD
DVI_CLKDVI_CLK+
GND99
DVI_D0DVI_D0+
GND96
DVI_D1DVI_D1+
GND93
DVI_D2DVI_D2+
GND63

64
98
97
99
94
95
96
91
92
93
61
62
63

TMDS_HPD
DVI_CLK-_R
DVI_CLK+_R

DVI_DDCCK
DVI_DDCDT
GND66

67
65
66

TMDS_DDCCLK
TMDS_DDCDATA

TX3P
TX3N
GND39
TX2P
TX2N
GND36
TX1P
TX1N
GND6
TX0P
TX0N
GND3
GND7
DOCK_IN#
DOCKED#

37
38
39
34
35
36
4
5
6
1
2
3
7
68
84

TX3P_PR
TX3N_PR

P1

PD2
PD1

2
4

PE
SLCT

4
2

AFD#

R300

EZ^100K_4
TMDS_HPD 6,26

DVI_TX0-_R
DVI_TX0+_R
D_LINKLED#
D_ACTLED#

13 D_LINKLED#
13 D_ACTLED#

DVI_TX2-_R
DVI_TX2+_R

TMDS_DDCCLK 6,26
TMDS_DDCDATA 6,26

19 KBCLK
19 KBDATA
19 MSCLK
19 MSDATA

TX3P_PR 13
TX3N_PR 13

TX2P_PR
TX2N_PR

TX2P_PR 13
TX2N_PR 13

TX1P_PR
TX1N_PR

TX1P_PR 13
TX1N_PR 13

TX0P_PR
TX0N_PR

TX0P_PR 13
TX0N_PR 13

DOCKIN#

121

3 RN56
1
EZ^4.7K_4P2R
3 RN57
1
EZ^4.7K_4P2R
3 RN63
1
EZ^4.7K_4P2R
3 RN59
1
EZ^4.7K_4P2R
3 RN60
1
EZ^4.7K_4P2R
3 RN61
1
EZ^4.7K_4P2R
1 RN62
3
EZ^4.7K_4P2R
3 RN58
1
EZ^4.7K_4P2R

17 SPDIF_OUT
AUDGND1
17 SPKR_PR
17 SPKL_PR
17 LINEINR_PR
17 LINEINL_PR
17 PR_MIC
AUDGND1
17 PR_MIC_IN
17 HPSENCE_PR

DOCKIN# 11,13

LANLED_LINK
LANLED_ACT
GND33

SUSON_PR
MAINON_PR
DOCKPRG

55
56
85

SUSON
MAINON
BRG_PWROK

KBCLK
KBDATA
MSCLK
MSDATA

52
51
54
53

PS2KBCK
PS2KBDT
PS2MSCK
PS2MSDT

MDSR1#
MRTS1#
MCTS1#
MRI1
MDCD1#
MRXD1
MTXD1
MDTR1#

48
46
44
42
49
47
45
43
50

DSR#
RTS#
CTS#
RI
DCD#
RXD#
TXD#
DTR#
GND50

41
72
74
75
70
71
73
76
69
40

SPDIF_OUT
AGND72
LINEOUT_R
LINEOUT_L
LINEIN_R
LINEIN_L
MICIN
AGND76
PRMIC_DET
HPSENSE_PR

VA
EZ^1K_4

SPKR_PR
SPKL_PR
LINEINR_PR
LINEINL_PR
PR_MIC
PR_MIC_IN
HPSENCE_PR

L66
1 1
4 4
3
1

*DLW21HN900SQ2L
2 2
3 3

DVI_TX1- 6,26
DVI_TX1+ 6,26

4
2

RN87
EZ^0_4P2R
L68
*DLW21HN900SQ2L
1 1
2 2
4 4
3 3

RN89

REV:2A MODIFY

DVI_TX2- 6,26
DVI_TX2+ 6,26

U12
3
4
5

TXD
RXD
SD

IR_VCC 6

VCC

IR^47_6
C362

C363

IR^4.7u/6.3V_6

100
101
102
103
104

TV_COMP_PR
TV_Y/G_PR
TV_C/R_PR

STRB#
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
PE
AFD#
ERROR#
INIT#
SLIN#
ACK#
BUSY
SLCT

9
11
13
15
17
18
19
20
21
24
10
12
14
16
22
23
25

STRB#
PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7
PE
AFD#
ERROR#
INIT#
SLIN#
ACK#
BUSY
SLCT

GND58
GND77
GND110

58
77
110

GND100
TV_COMPS
TV_LUMA
TV_CRMA
GND104

RESERVE32
RESERVE82
G1
GND126

G2

VLOGIC

R296

*2.2K_4

TMDS_DDCCLK

R297

*2.2K_4

LEDC

GND

REV:3A MODIFY

C353
IR^4.7u/6.3V_6

32
82

TV_COMP_PR 10
TV_Y/G_PR 10
TV_C/R_PR 10

KBCLK

C5

*10p_4

KBDATA

C6

*10p_4

MSCLK

C3

*10p_4

MSDATA

C4

*10p_4

TV_COMP_PR C17

*10p_4

TV_Y/G_PR

C16

*10p_4

TV_C/R_PR

C15

*10p_4

SPKR_PR

C447

10p_4

SPKL_PR

C448

10p_4

LINEINR_PR

C444

10p_4

LINEINL_PR

C445

10p_4

PR_MIC

C446

10p_4

RED_PR

C450

10p_4

GRN_PR

C452

10p_4

BLU_PR

C451

10p_4

CRT_HSYNC

C14

*10p_4

CRT_VSYNC

C11

*10p_4

CRT_DDCCLK

C2

*10p_4

CRT_DDCDAT

C10

*10p_4

REV:3A MODIFY
+5V

123
126

EZ^EZ4
A

U19

+5V
19,21,22,23,24 MAINON
19,21,23 SUSON

MAINON
SUSON
DOCKIN#

R10

IR^VISHAY_TFDU6102
IR^.1u/16V_4

STUFF CLOSE VGA SIDE


TMDS_DDCDATA

LEDA

EZ^EZ4

EZ^0_6

2
5

A1
A2

Y1
Y2

1
7

G1
G2

VCC
GND

6 MAINON_PR
3 SUSON_PR
8
4

PROJECT : ZR1

Quanta Computer Inc.

+3V_S5
C454
EZ^.1u/16V_4

Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
3A

SIO & EZ PORT4

AUDGND1

EZ^0_4P2R
4

IRTX2
IRRX2
IRMODE

R198

EZ^7W125FU

4
2

+3V

CN9C

8
31
33

REV:3A MODIFY

IrDA

+3V

EZ^4.7K_4

CN9D

DVI_TX1-_R
DVI_TX1+_R

R8

3
1

EZ^0_4P2R

DOCKIN# 2

EZ^1M_6

3 DOCKIN#
2

CTS1/GPIO11

EZ^.1u/25V_6

REV:2A MODIFY

PR_INSERT_5V 10

Q20

D12

+3V_S5

CN9A

78
79
81
80
105
106
107
108
109
117
119
120
118
115
116
114
111
112
113
29
30
27
59
60
28
89
90
88
57
26
86
83
87

DVI_TX1-_R
DVI_TX1+_R

PR_STS 19

EZ^TC7SH08FU

EZ^.1u/16V_4

124
DVI_CLK-_R
DVI_CLK+_R

PR_INSERT_5V
PR_STS

R319
EZ^2N7002

EZ^EZ4

U23
4

C459

DCD1/GPIO16

EZ^EZ4
EZ^2200p_4

DOCKPRG

SIO_LPC_PD#

D14

MCTS1#

CN9B

CLK_PCIE_EZ1
CLK_PCIE_EZ1#

2 CLK_PCIE_EZ1
2 CLK_PCIE_EZ1#
B

REV:3A MODIFY

EZ^10K_4
1

IR^10K_4

Q22

IR^PC87383

EZ4

R311

EZ^.1u/16V_4

R303

IRRX2_IRSL0/GPIO17

1
2
17
18
47
48
49
64

C465
EZ^10K_4

LCLK

LPC_DRQ0#

R302

*22_4 14M_SIO
5

38

33

NS PC87383

R304

6,11,13,16,18,19,26

LDRQ/XOR_OUT

PCLK_SIO

LAD0
LAD1
LAD2
LAD3

*10p_4

100K_4

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7

LFRAME#/FWH4

11,19 LFRAME#/FWH4

C455
52
50
43
6
39
37
34
30

42
46
51
53

R314

2 PCLK_SIO
11 LPC_DRQ0#

REV:3A MODIFY

+3V_S5

*22_4 PCLK_SIO
R312

PD0
PD1
PD2
PD3
PD4
PD5
PD6
PD7/GPIO23

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

R315

REV:3A MODIFY

LAD0/FWH0
LAD1/FWH1
LAD2/FWH2
LAD3/FWH3

*10p_4

C461

U20

+3V_S5

+5V

REV:2A MODIFY
11,19
11,19
11,19
11,19

Sheet
1

20

of

30

+5VPCU

.1u/25V_6
2

.1u/25V_6

PC82

1
2
PU7
MAX8734A

ON3

V+

20

ON5

LDO5

18

23

GND

PRO

10

12

SKIP

DL5

19

BST5

14

1999BST5

LX5

15

1999LX5

DH5

16

1999DH5

OUT5

21

1
2

19 HWPG_SYS

1999VCC

REV:3A MODIFY

PGOOD
N.C.

25

LDO3

13

TON

PC87
PC85
4.7u/10V_8

PR115

1u/10V_4

0_6

3
5

PQ30
AO4812

10u/25V_1206
+3VSUS

FDS6900AS
.1u/16V_4

PC69

6A

PL5

1999DH5

+3V

+5VPCU

MAIND
PC67

2.5uH_CDRH104R
+ PC90

+ PC92

*330u/6.3V_7343

1999DL5
PC81

PQ32

G1

PR154

S1/D2

SKIP_SEL
*100K/F_6

1999VCC

+ PC88

0_6 5VON

D1

PD11
DAP202U

PR105

100K_4

VIN

2
3

VIN1999

VL

.1u/16V_4

PL4
HI0805R800R_8_5A

SHDN

G2

PR151

+3VPCU

DL3

FB5

0_6 3VON

D1

ILIM3 = 2.24V Current limit 8A


ILIM5 = 2.24V Current limit 8A

FB3

9
PR104

S2

VL

Rds on * 10 = ILIM

ILIM5

24

FDS6900AS Rds on = 28mOhm

PC74
VIN1999-5

1999DL5

1999BST3

BST3

28

27

11

.1u/16V_4

ILIM5

26

LX3

DH3

ILIM3

REF

2 REF2V
1u/10V_4
ILIM3

.1u/16V_4
S5D

+3VPCU

22

OUT3

VCC

1
PC84

.1u/16V_4

PC79

100K/F_6

PC63

10u/6.3V_6

PC96

330u/6.3V_7343

.1u/25V_6

17

100K/F_6

1999VCC

PC64

+
330u/6.3V_7343

1u/10V_4
PR113

PC70

PC94

ILIM5

PC71

REV:2A MODIFY

PC86

11K/F_6
47_6

ILOAD *

+5V
MAIND

2.5uH_CDRH104R
1999DL3

PR108

+5V_S5

+3VPCU

VL

PR116
ILIM3

6A

PL2

1999LX3

PR109

11K/F_6

.22u/16V_6

FDS6900AS

G1

S1/D2

PQ31

1999DH3

PR111

D1

S2

PQ34
AO4812

1999DH3

PR112

REF2V

1
10u/25V_1206

3 1999_SHT#

100K/F_6

.1u/16V_4

+ PC76

12K/F_6

REV:3A MODIFY

PC93

PR107

PC78
1

PC75

G2

0_6

PL3
HI0805R800R_8_5A
VIN

1999DL3

D1

PR103

*100p_4

VIN1999-3

PR102
4.7_1206
2

ZD5.6V
PC77

1
1

VIN1999
PD12

*6.81K/F_6

PR101

330u/6.3V_7343

SUSD

PC89

PC91

10u/6.3V_6

.1u/16V_4

.1u/16V_4

PC167

.1u/16V_4

IV^100u/6.3V_3528

REV:2A MODIFY

VIN

+1.2V

+VCCP

NVVDD

+1.8V

+2.5V

+3V

+5V

+0.9V

+1.5V

+12VOUT

PD13 CHN217
+5VPCU

3
10V-1

PR67
EV^22_6

PR68
22_6

PR69
22_6

PR72
22_6

PR73
22_6

PR70
22_6

PR63
22_6

PR71
1M_6
B

MAIND 23
3

REV:3A MODIFY

PC80

22_6

RUN_ON_G
3

PR66

EV^22_6

.1u/25V_6

PR65

1M_6

PC83

PR52

2
1999DL3

1u/10V_4
2

PR58
1M_6

PQ8
EV^2N7002

PQ9
2N7002

PQ10
EV^2N7002

PQ11
2N7002

PQ12
2N7002

PQ13
2N7002

PQ14
2N7002

PC44
*2200p_4

PQ15
2N7002

PQ16
2N7002

PQ17
2N7002
1

10V-1

2
1999DL3

2
PQ18
DTC144EU

19,20,22,23,24 MAINON
PD14 CHN217

3
PC161

.1u/25V_6

+12VOUT

+12VOUT
PC162
VIN

1u/10V_4

+0.9VSUS

+1.8VSUS

PR88
22_6

PR83
22_6

PR86
1M_6

+5VSUS

PR84
22_6

+12VOUT

PR85
22_6

PR82
1M_6

SUSD
3

+12VOUT

SUS_ON_G
3

+3VPCU

+3VSUS

PQ22
2N7002

PQ23
2N7002

PC50
*2200p_4

PQ24
2N7002

AO6402

AO6402

2
PQ21
2N7002

SUSD

2
PQ20
2N7002

S5D

2
PR87
1M_6
1

2
PQ19
DTC144EU

19 S5_ON

19,20,23 SUSON
PQ33

PQ27

+5VPCU

1
2
5
6

PR96
1M_6

1
2
5
6

+3VPCU

PQ28
PDTC143TT

+3V_S5

+5VSUS

PC62

PC95

PROJECT : ZR1

.1u/16V_4

.1u/16V_4

Quanta Computer Inc.


Size

Document Number

Rev
2A

SYSTEM POWER ( 3V,5V )


Date:
5

Wednesday, August 02, 2006

Sheet
1

21

of

30

+VCCP

8736VCC
1 *100K_4 CPU_VID0

PR61 2

1 *100K_4 CPU_VID1

VIN_8736_1

143K/F_6

8736_OSC 14

OSC

PR51 2

1 *100K_4 CPU_VID4

PR62

71.5K/F_6

8736_TIME 15

TIME

PR50 2

1 *100K_4 CPU_VID5

PC45

1000p_4

8736_CCV 17

CCV

PR46 2

1 *100K_4 CPU_VID6

PR74

249K_4

8736_ILIM

16

ILIM

8736_REF

19

REF

PC129
.22u/10V_4

PR130

EMI

25

PC134

PC132

PC133

PC137

PC135

PC51

PC52

PC53

1000p_4

.1u/25V_6

2200p_4

.1u/25V_6

2200p_4

.1u/25V_6

10u/25V_1206

10u/25V_1206

10u/25V_1206

8736_BST1_1

8736_BST1

PR80
0_6

PC48
PQ43
AOL1414

.22u/10V_4
DH1

HI0805R800R_8_5A

PC163

30
BST1

PD7
RB751V

2.2u/6.3V_6
1

REV:2A MODIFY
PR60

VDD

1 *100K_4 CPU_VID3

21

1 *100K_4 CPU_VID2

PR54 2

VCC

PR57 2

VIN
PL15

27

8736_DH1

PC49

10/F_6

2.2u/6.3V_6

REV:2A MODIFY
2

PC46

+5VPCU

PR77

PR64 2

8736_DH1_1 4

0_6
1
2
3

PR81

VCC_CORE
8736_LX1

4 CPU_VID2

36

D2

4 CPU_VID3

37

D3

4 CPU_VID4

38

D4

4 CPU_VID5

39

4 CPU_VID6

40

DL1

32

8736_DL1

PD8
*SSM24PT-LF

+ PC56

.1u/16V_4

.01u/25V_4

1
+ PC55

470u/2.5V_7343

+ PC142

470u/2.5V_7343

NDVC^470u/2.5V_7343
2

D1

PC144

D0

35

PC143

34

4 CPU_VID1

PQ25
AOL1412
4

PC140

1
2
3

4 CPU_VID0

PQ44
NDVC^AOL1412

VCC_CORE 4
PL16
0.36uH

TRC

1.5K/F_6

1
2
3

*100p_4
PGND

REV:2A MODIFY

31

1
33

DRSKP

PR76

PWM2

28

CSP2

CSN2

PR141
3.01K/F_6

PR44
NDVC^0_4

PU4
SVC^MAX8552EUB+

0_4

8736_DPRSLPVR 3

DPRSLPVR

PR37

SVC^.22u_4
*100K_4
+3V

PR41

PSI#

0_4

8736_PSI#

PSI
PWM3

PR38
PR134

1.91K/F_4

*100K_4
PR133

3,5,11,19 IMVP_PWRGD

0_4

8736_IMVPOK

24

PR143
PR145
PR144
SVC^NTC 10K_6-B4.25K SVC^3.01K/F_6
PC38
SVC^4700p_4

CSN3

PR42

2,11 CLK_EN#

PR132

0_4

8736_CLKEN#

CLKEN

11

0_4

8736_VR_HOT# 22

GND

20

VPS

13

8736_VPS

FBS

12

8736_FBS

DRSKP# PR90

DH

PC152

SVC^2200p_4

SVC^.1u/25V_6

PR49
PR47
10/F_6

HI0805R800R_8_5A

470u/25V
PQ46

4
SVC^AOL1414

PC145
PC146
SVC^.1u/16V_4 SVC^.01u/25V_4

SVC^.22u/10V_4
LX

DL

SVC^0_4

SVC^0_4

VCC_CORE

PL17
SVC^0.36uH

+ PC54

+ PC147

470u/2.5V_7343

PD9

470u/2.5V_7343

*SSM24PT-LF

PWM

PC57
SVC^100p_4
PGND

PC42

100/F_4

PQ26
*AOL1412

PQ45
SVC^AOL1412

PR48
*33_4

VR_HOT

PC131

PR53

*100p_4

VSSSENSE 4
10.5K/F_4

8736_THRM
PR78

PC150

SVC^.1u/25V_6

0_4

1000p_4
PR131

DLY

8736_GNDS

GNDS
*100K_4

3 CPU_PROCHOT#

10

EN

100K_4

BST

*0_4

PR93
PR39

PC148

SVC^2200p_4

PC59

8736VCC
PR45

SVC^RB751V

GND

PR89
SVC^0_4
5

8736EN PR91
8736_CSP3

VIN
PL1

SVC^5.1K/F_4
PR92

7
10

PC154
SVC^10u/25V_1206

+ PC153

SVC^0_4

29

CSP3
IMVPOK

PR146

PC149

PR40

11 DPRSLPVR

PC40

PD10

DRSKP#

PC155
SVC^10u/25V_1206

1000p_4

SVC^2.2u/6.3V_6

8736VCC

SVC^1.62K/F_6
*100K_4

EMI

PC151
SVC^.1u/25V_6

PC58

+5VPCU

*0_4

0_4

PR75

1.62K/F_6

PR142

PR140
NTC 10K_6-B4.25K
PC37
4700p_4

100p_4
3,11 DPRSLP#

PR139
8736_PN1

PC39

PC41
.22u/10V_4

8736_CSN1

8736_CSP1

1
2
3

CSP1
CSN1

SHDN

1
2
3

D6

1
2
3

8736EN

PC164

100K_4

PR43

19,23 VRON

VCC

VIN_8736_2

D5

18

26

LX1
8736_TRC

1K_4

23

THRM

PC43
PR79
*10K_6

PC47

PU3
MAX8736ETL+

PR56
VCCSENSE 4
PR55

100p_4

PQ29

+1.8VSUS

10/F_6
PR59

100/F_4

1000p_4

VCC_CORE

*33_4

PC65

PC61

.1u/16V_4

10u/6.3V_6

AO4404

8
7
6
5

1
2
3

9338DRV

PC159

560u/2.5V_ECAP6.3X5.7
PR99

+1.5V

0_6

6A

+3V

REV:3A MODIFY

19 HWPG_CPUIO

19,20,21,23,24 MAINON

PR98

+1.5V 4,6,8,11,12,16,21

100K_4

0_4

PC68
3
9338EN 4
1

+5VPCU

PGD

DRV

6
.01u/25V_4

Rg
EN
VCC

GND

PR150

ADJ

PU5
G9338 ADJ

+ PC157

PC158

PC156

.1u/16V_4

10u/6.3V_6

PROJECT : ZR1

PR148
10K/F_6

Vout1 = (1+Rg/Rh)*0.5

PC160
.1u/16V_4

PR147
20K/F_6

Quanta Computer Inc.

Rh
Size
Date:

Rev
3A

CPU CORE-MAX8736 / 1.5V

560u/2.5V_ECAP6.3X5.7
5

Document Number
Wednesday, August 02, 2006
1

Sheet

22

of

30

VIN8743

VIN8743

DL2

BST1

8743LX2

17

LX2

DH1

26

8743DH1

8743DH2

18

DH2

LX1

27

8743LX1

16

CS2

DL1

24

15

OUT2

CS1

28

14

PC16
100p_4

HWPG_DDR

1
2
3

1
2
3

80.6K/F_6

8743FB2

ON1

11

PR15

19,20,21 SUSON

0_4 12

OUT1

FB1

TON
ON1 MAX8743EEI+

FB2
PGOOD

8743ILIM1 3

ILIM1

19,22 VRON

PR19

VRON

0_4

3
2
1

PC105

PC113

1
1

PC108
.1u/16V_4

*10u/6.3V_6

560u/4V

PC102
*10u/6.3V_6

8743FB1
PC21

PR18

100p_4

549/F_6

REF

10

SKIP

GND

23

PC114
PR17

OVP

ILIM2

8743REF

1u/10V_4
10K/F_6

UVP

8743ILIM213

8743VCC
MAINON PR20

+VCCP

PD1
*SSM14PT-LF

8743REF

ON2

PR12
100K/F_6

AO4702

PR11

PQ3
AO4702

PQ35
4

.1u/16V_4

6A
2,3,4,5,6,8,11,12,21,22 +VCCP

PL8
2.5uH_SIL104R

10u/6.3V_6

REV:2A MODIFY

5
6
7
8

560u/4V

PQ2
AO4702
4

PC4
.1u/25V_6

25 8743BST1

3
2
1

PC20

21

PC22

V+

BST2

20

560u/4V

PC115

10u/25V_1206

4.7u/6.3V_6

19

VDD

PC111

.1u/25V_6

AO4418

PC8

8743DL2

VCC

1
2
3
8
7
6
5

8
7
6
5
1

1
PC104

10/F_6

8743BST2
+1.8VSUS

PU1

PC109

PQ36

8743VCC
22

VIN8743
PC5
.1u/25V_6

PL9
1R5UH-3.8mR

+5VPCU

PR5

10A

5
6
7
8

PQ1
AO4418

10u/25V_1206

PD2
CHP202U

PC25
4.7u/6.3V_6

8
7
6
5

PC2

VIN
PL10
HI0805R800R_8_5A

PR125

ON1

*0_4

PR123

118K/F_6
8743ILIM1

61.9K/F_6

AO4702 Rds on = 16mOhm

8743ILIM2

ILOAD *

PR124

ILIM2 = 1.235V Current limit 15A


ILIM1 = 0.91V Current limit 7A

+3VSUS
PR126
PR21

REV:2A MODIFY

100K/F_6

Rds on * 10 = ILIM

100K/F_6

*10K_4
HWPG_DDR

19 HWPG_DDR

+0.9VSUS

AO6402
6
5
2
1

PC165

+1.8VSUS

+0.9VSUS 5,9,21

SD

VDDQ

AVIN

PC126
3

10u/6.3V_6

19,20,21,22,24 MAINON

PU2

+1.8V

10u/6.3V_6

PVIN

VREF

VSENSE

TGND

REV:3A MODIFY

+1.8V

GND

PQ42

+1.8VSUS

PC26
.1u/16V_4

VTT

+0.9V
+0.9V 9,21

10u/6.3V_6

PC30

PC29

REV:2A MODIFY

G2996
PC27

PC31

.1u/16V_4

10u/6.3V_6

+ PC32

.1u/16V_4
*150u/4V_3528

21 MAIND
A

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Rev
3A

1.8VSUS / +VCCP / 0.9V


Date:
5

Wednesday, August 02, 2006

Sheet
1

23

of

30

VGA

VIN5
PL13
VIN
+5VSUS

EV^HI0805R800R_8_5A

PR29
PC120

PC119

PC118

EV^.1u/25V_6

EV^10u/25V_1206

EV^10u/25V_1206

PC35

PD18

*.1u/16V_4

EV^SW1010CPT

5
6
7
8

EV^10/F_6

PC121

PR33

PQ41
EV^4.7u/6.3V_6
4

EV^AO4418

EV^1M_6
PU9
EV^SC470
14

DH

13

VOUT

LX

12

PR128

VCCA

ILIM

11

EV^10K_4

FBK

VDDP

10

PGOOD

GND

DL

PGND

NVVDD 21,28

PL14
EV^1.5uH_CHOKE

NVVDD
PR30

EV^14K/F_6

REV:2A MODIFY
DL-VGA

PQ40

PD17

EV^AO4702

EV^SSM24PT-LF

PC128

+ PC127

EV^560u/4V
2

19,29 VGA_PWRGD

EV^.1u/25V_6
DH-VGA

BST

PC130

*470u/2.5V_7343

EV^10u/6.3V_6

R2

PR31

PC34

EV^10K/F_6

EV^1000p_4

VIN

EN/PSV

+3V

3
2
1

19,20,21,22,23 MAINON

PC124

5
6
7
8

PR129
EV^120K_4

EV^.1u/16V_4

PC123

PR32

R3

EV^.22u/10V_4

EV^11K/F_6

EV^1000p_4

PC125

3
2
1

VGA_CORE_FB
PC122

+5VSUS

R4

HI-->VOUT=(1+R2/R3)*0.5
LO-->VOUT=(1+R2/(R3//R4))*0.5
M52P(G)

PR34

VGA_CORE_FB
3

*110K/F_4

PR35
PQ6
*3.24K/F_6
*2N7002

PR1 : 10K
PR4 : 11K
PR2 : 110K
PR36
PC36

*100/F_4

M54P

PQ7

PR1 : 12K
PR4 : 12K
PR2 : 60.4K

*.22u/10V_4
*2N7002
2

REV:3A MODIFY

G3_VID0 26

Power Play Mode


VGA_PWR_SW
VGA_CORE
0.95V--M52P(G)
HI
1.0V --M54P
1.0V--M52P(G)
Default LO
1.1V--M54P

+5V
+5V

EV^.1u/16V_4

VPP PGOOD

19,20,21,22,23 MAINON

VEN

+1.8V

3
8
9

VIN
GND
GND

EV^.1u/16V_4

ADJ

PC138

EV^10u/6.3V_6

+1.2V
PC139

NC

19,20,21,22,23 MAINON

2A

.1u/16V_4

MAINON

+3VPCU

EV^10u/6.3V_6
PC73

PC72

10u/6.3V_6

.1u/16V_4

PR137

PC136

VO

PC66

PU6
G966
4

VPP PGOOD

VEN

3
8
9

VIN
GND
GND

VO

+2.5V

1A
NC

PC141

REV:3A MODIFY

PU10
EV^G966

ADJ

REV:3A MODIFY

PR95

PC60

71.5K/F_6

10u/6.3V_6

EV^19.1K/F_6
PR136
A

PR94

EV^34K/F_6

34K/F_6

Vout =0.8(1+R1/R2)
= 0.8(1+20K/20K) =
2.5V

Vout =0.8(1+R1/R2) =
0.8(1+20K/20K) = 1.2V

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
3A

VGA (1.0V/1.2V/2.5V)
5

Sheet
1

24

of

30

PQ39
AO4427

VA1
VA
1
2
3

CS_OUT

1
3

16,20 VA

2
PD16
PC110

.1u/25V_6

PC23

.1u/25V_6

SBM1040-13-F

PC33

PR25

.1u/25V_6

220K/F_6

8
7
6
5

1
2
3
PC28

PR23
33K_6

PC24

2200p_4

.1u/25V_6

PC112

PQ38
AO4427

VIN

8
7
6
5
4

.1u/25V_6
2711_gate

PR127

PC107

*.1u/25V_6

PR26

2P

PC106

*.1u/25V_6

220K/F_6

D/C# 19

REV:3A MODIFY

0.01_3720
PD6
SW1010CPT

1P

PR22
10K_6

PQ5
IMD2AT108

PR27

ACIN

PR155

CELL_SET 19
PR16 ZD12V

6.8K_6

10K_6

10K_6
PR13

FOR 4S3P CELL-SET HIGH


FOR 3S3P CELL-SET LOW

0_6

PC17

VIN

REF3V
CELLS

1u/25V_8
1

PC117

10u/25V_1206

PR3
100K_6
PR6

PC116

ACIN
BST

15
13

ICTL

PC98

PC7

12

REFIN

1000p_4

1000P_4

11

19 CC-SET

PR117
8724LDO

ACOK

DHI

25

LX

23

DLO

21
20

CSIP
CSIN

19
18

CSIP
CSIN

BATT

16

BAT-V

REF

8724REF

CLS

PR118

CCV

0_6

PC1

PC3

PC9

.1u/16V_4

.01u/25V_4

.01u/25V_4

GND
GND

7 S1/D2

D1 2

G2 3

S2 4

PR122
0.015_3720

PL11
10uH_CDRH104R

D1 1

BAT-V

PC99

PC10

PC11

PC15

*100u/25V

10u/25V_1206

10u/25V_1206

.01u/25V_4

16.2K/F_6
B

PR121
10K/F_6

PC97
1u/10V_4

PR4

0_6

CCS

14
29

PR2

CCI

G1

8724DL

PGND

SHDN

1
8724LX

IINP

8
2

SW1010CPT
.1u/25V_6
8724DH

ICHG

1K/F_6

24 8724BST 1
PC103

PR1

PD15

22

28

0_6

PQ37
SI4914DY

28724LDO

VCTL

1u/10V_4

10

27
26
LDO
DLOV

PC101

.1u/16V_4

PC100
1u/10V_4

17

1P

PC6

0_6

CELLS

PR119

DCIN

CSSP
CSSN

PU8
MAX8724

REF3V
8724LDO

PL12
HI0805R800R_8_5A

.1u/25V_6

PR120
33_6

100K_6

*0_6

PQ4
2N7002
1

2P

19

CSSP
CSSN

PD5

PR14

CURRNT LIMIT POINT = 3.4A


PC18

PJ1

PR7

PC13

BATTERY

47p_4

330_4

47p_4

BAT-V

PC14

PL6
HI0805R800R_8_5A
PC19
.1u/25V_6

6
7

PL7
HI0805R800R_8_5A

1000p_4
MBAT+
TEMP_MBAT

5
4
3
2
1

PR9

PR10
REF3V

PR8
330_4

10K/F_6

+3VPCU
A

0_6

MBCLK 3,19
MBDATA 3,19

TEMP_MBAT

TEMP_MBAT 19

PD3

ZD5.6V

ZD5.6V

PROJECT : ZR1

PC12

Quanta Computer Inc.

.01u/25V_4

PD4

MBDATA

10mil
A

Size

Document Number

Rev
3A

BATTERY CHARGER
Date:
5

Wednesday, August 02, 2006

Sheet
1

25

of

30

NVIDIA
G72M
G3-64
(23X 23)

6
6
6
6

0.1u Capacitors place at


last 1/3 of trace

R395

EV^100K_4

A9
D9
A10
B10
C10
C12
B12
A12
A13
B13
B15
A15
B16

G3_GPIO0

R391

EV^10K_4

DISP_ON1
EXT_BLON
G3_VID0

R385
R388

EV^0_4
EV^0_4

R107

EV^0_4

AE27
AD26
AD27
AE26
AD25

G72_JTAG_TCK
G72_JTAG_TMS
G72_JTAG_TDI

R371
R370
R369

EV^10K_4
EV^10K_4
EV^10K_4

G72_JTAG_TRST

R94

EV^10K_4

IFPATXC#
IFPATXC
IFPATXD0#
IFPATXD0
IFPATXD1#
IFPATXD1
IFPATXD2#
IFPATXD2
IFPATXD3#
IFPATXD3

U4
T4
N5
N4
R4
R5
T6
T5
P6
R6

EXT_LVDS_TXLCKEXT_LVDS_TXLCK+
EXT_LVDS_TXL0EXT_LVDS_TXL0+
EXT_LVDS_TXL1EXT_LVDS_TXL1+
EXT_LVDS_TXL2EXT_LVDS_TXL2+

RN81 3
1
RN78 3
1
RN79 3
1
RN80 3
1

4
2
4
2
4
2
4
2

EV^0_4P2R

IFPBTXC#
IFPBTXC
IFPBTXD4#
IFPBTXD4
IFPBTXD5#
IFPBTXD5
IFPBTXD6#
IFPBTXD6
IFPBTXD7#
IFPBTXD7

W6
W5
W2
W3
AA3
AA2
AA1
AB1
AB2
AB3

EXT_LVDS_TXUCKEXT_LVDS_TXUCK+
EXT_LVDS_TXU0EXT_LVDS_TXU0+
EXT_LVDS_TXU1EXT_LVDS_TXU1+
EXT_LVDS_TXU2EXT_LVDS_TXU2+

RN85 3
1
RN82 3
1
RN83 3
1
RN84 3
1

4
2
4
2
4
2
4
2

EV^0_4P2R

IFPCTXC#
IFPCTXC
IFPCTXD0#
IFPCTXD0
IFPCTXD1#
IFPCTXD1
IFPCTXD2#
IFPCTXD2

W1
V1
R1
T1
T2
T3
V3
V2

G72_DVI_CLKG72_DVI_CLK+
G72_DVI_TX0G72_DVI_TX0+
G72_DVI_TX1G72_DVI_TX1+
G72_DVI_TX2G72_DVI_TX2+

RN73 1
3
RN70 3
1
RN71 3
1
RN72 3
1

2
4
4
2
4
2
4
2

EVDV^0_4P2R

RN45 3
1
R414
R413

4 EV^0_4P2R
2
EV^0_4
EV^0_4

U30A

C303
C297

EV^.1u/16V_4
EV^.1u/16V_4

C280
C275

EV^.1u/16V_4
EV^.1u/16V_4

C271
C267

EV^.1u/16V_4
EV^.1u/16V_4

C245
C238

EV^.1u/16V_4
EV^.1u/16V_4

C237
C228

EV^.1u/16V_4
EV^.1u/16V_4

C226
C220

EV^.1u/16V_4
EV^.1u/16V_4

C217
C205

EV^.1u/16V_4
EV^.1u/16V_4

C204
C198

EV^.1u/16V_4
EV^.1u/16V_4

C188
C174

EV^.1u/16V_4
EV^.1u/16V_4

C172
C166

EV^.1u/16V_4
EV^.1u/16V_4

C157
C153

EV^.1u/16V_4
EV^.1u/16V_4

C152
C150

EV^.1u/16V_4
EV^.1u/16V_4

C149
C146

EV^.1u/16V_4
EV^.1u/16V_4

C144
C143

EV^.1u/16V_4
EV^.1u/16V_4

C140
C138

EV^.1u/16V_4
EV^.1u/16V_4

AD5
AD6
AF1
AG2
V_GMCHEXP_RXP1 AE6
V_GMCHEXP_RXN1 AE7
AG3
AG4
V_GMCHEXP_RXP2 AD7
V_GMCHEXP_RXN2 AC7
AF4
AF5
V_GMCHEXP_RXP3 AE9
V_GMCHEXP_RXN3 AE10
AG6
AG7
V_GMCHEXP_RXP4 AD10
V_GMCHEXP_RXN4 AC10
AF7
AF8
V_GMCHEXP_RXP5 AE12
V_GMCHEXP_RXN5 AE13
AG9
AG10
V_GMCHEXP_RXP6 AD13
V_GMCHEXP_RXN6 AC13
AF10
AF11
V_GMCHEXP_RXP7 AC15
V_GMCHEXP_RXN7 AD15
AG12
AG13
V_GMCHEXP_RXP8 AE15
V_GMCHEXP_RXN8 AE16
AG15
AG16
V_GMCHEXP_RXP9 AC18
V_GMCHEXP_RXN9 AD18
AF16
AF17
V_GMCHEXP_RXP10 AE18
V_GMCHEXP_RXN10 AE19
AG18
AG19
V_GMCHEXP_RXP11 AC21
V_GMCHEXP_RXN11 AD21
AF19
AF20
V_GMCHEXP_RXP12 AE21
V_GMCHEXP_RXN12 AE22
AG21
AG22
V_GMCHEXP_RXP13 AD22
V_GMCHEXP_RXN13 AD23
AF22
AF23
V_GMCHEXP_RXP14 AF25
V_GMCHEXP_RXN14 AE25
AG24
AG25
V_GMCHEXP_RXP15 AE24
V_GMCHEXP_RXN15 AD24
AG26
AF27
AE3
AE4

2 CLK_PCIE_VGA
2 CLK_PCIE_VGA#

AF13
AF14
R114

PLTRST#

PLTRST#

PEX_RST

EV^0_4

AC6

THERMDC_VGA
THERMDA_VGA
PLLVDD
B

XTAL_BUFF

R397
R398

XTALSSIN
*EV^22_4 XTALOUTBUFF
EV^10K_4
XTALIN
XTALOUT

C9
B9

GPIO0
GPIO1
GPIO2
GPIO3
GPIO4
GPIO5
GPIO6
GPIO7
GPIO8
GPIO9
GPIO10
GPIO11
GPIO12

GPIO

JTAG_TCK
JTAG_TMS
JTAG_TDI
JTAG_TDO
JTAG_TRST

JTAG

IFPA
LVDS-L

IFPB
LVDS-U

IFPC
DVI

PEX_REFCLK
PEX_REFCLK#

DACA
PEX_TSTCLK_OUT
PEX_TSTCLK_OUT#

CRT

TMDS_HPD

LCD_ON
BLON
R382
EV^10K_4

B2
E2
H2
L2
P2
U2
Y2
AC2
AF2
AF3
B5
E5
L5
P5
U5
Y5
AC5
H6
AF6
B8
E8
AD8
K9
P9
V9
AD9
AF9
B11
E11
F11
L11
P11
U11
AD11
N12
P12
R12
AD12
AF12
N13
P13
R13
B14
E14
J14
L14
N14
P14
R14
U14
W14
AC14
AD14
N15
P15
R15
AF15
N16
P16
R16
AD16
B17
E17
L17
P17
U17
AD17
AF18
K19
P19
V19
AD19
B20
E20
AD20
AF21
B23
E23
H23
L23
P23
U23
Y23
AC23
AF24
B26
E26
H26
L26
P26
U26
Y26
AC26
AF26

TMDS_HPD 6,20
LCD_ON 6,10
BLON 6,10
+3V
G3_VID0 24

VGAGPIO8_THRM

I2CA_SCL
I2CA_SDA
DACA_HSYNC
DACA_VSYNC

D10
E10
AD4
AC4

EXT_DDCCLK
EXT_DDCDAT
EXT_HSYNC
EXT_VSYNC

DACA_RED
DACA_GREEN
DACA_BLUE

AE1
AD1
AD2

EXT_VGA_RED
EXT_VGA_GRN
EXT_VGA_BLU

R423
R421
R422

VGA_THERM#

+3V

EV^0_4P2R
EV^0_4P2R
EV^0_4P2R

EV^0_4P2R
EV^0_4P2R
EV^0_4P2R

LVDS_TXLCKLVDS_TXLCK+
LVDS_TXL0LVDS_TXL0+
LVDS_TXL1LVDS_TXL1+
LVDS_TXL2LVDS_TXL2+

LVDS_TXUCKLVDS_TXUCK+
LVDS_TXU0LVDS_TXU0+
LVDS_TXU1LVDS_TXU1+
LVDS_TXU2LVDS_TXU2+

EVDV^0_4P2R
EVDV^0_4P2R
EVDV^0_4P2R

EV^0_4
EV^0_4
EV^0_4

LVDS_TXLCK- 6,10
LVDS_TXLCK+ 6,10
LVDS_TXL0- 6,10
LVDS_TXL0+ 6,10
LVDS_TXL1- 6,10
LVDS_TXL1+ 6,10
LVDS_TXL2- 6,10
LVDS_TXL2+ 6,10

LVDS_TXUCK- 6,10
LVDS_TXUCK+ 6,10
LVDS_TXU0- 6,10
LVDS_TXU0+ 6,10
LVDS_TXU1- 6,10
LVDS_TXU1+ 6,10
LVDS_TXU2- 6,10
LVDS_TXU2+ 6,10

DVI_CLK- 6,20
DVI_CLK+ 6,20
DVI_TX0- 6,20
DVI_TX0+ 6,20
DVI_TX1- 6,20
DVI_TX1+ 6,20
DVI_TX2- 6,20
DVI_TX2+ 6,20

CRTDCLK 6,10
CRTDDAT 6,10
CRTHSYNC 6,10
CRTVSYNC 6,10
VGA_RED 6,10
VGA_GRN 6,10
VGA_BLU 6,10

PEX_RST

THERMDN
THERMDP THERMAL

H4

PLLVDD

C1
C3

XTALSSIN
XTALOUTBUFF

B1
C2
H5

U30F

PEX_TX0P
PEX_TX0N
PEX_RX0P
PEX_RX0N
PEX_TX1P
PEX_TX1N
PEX_RX1P
PEX_RX1N
PEX_TX2P
PEX_TX2N
PEX_RX2P
PEX_RX2N
PEX_TX3P
PEX_TX3N
PEX_RX3P
PEX_RX3N
PEX_TX4P
PEX_TX4N
PEX_RX4P
PEX_RX4N
PEX_TX5P
PEX_TX5N
PEX_RX5P
PEX_RX5N
PEX_TX6P
PEX_TX6N
PEX_RX6P
PEX_RX6N
PEX_TX7P
PEX_TX7N
PEX_RX7P
PEX_RX7N
PEX_TX8P
PEX_TX8N
PEX_RX8P
PEX_RX8N
PEX_TX9P
PEX_TX9N
PEX_RX9P
PEX_RX9N
PEX_TX10P
PEX_TX10N
PEX_RX10P
PEX_RX10N
PEX_TX11P
PEX_TX11N
PEX_RX11P
PEX_RX11N
PEX_TX12P
PEX_TX12N
PEX_RX12P
PEX_RX12N
PEX_TX13P
PEX_TX13N
PEX_RX13P
PEX_RX13N
PEX_TX14P
PEX_TX14N
PEX_RX14P
PEX_RX14N
PEX_TX15P
PEX_TX15N
PEX_RX15P
PEX_RX15N

PCI EXPRESS

EV^.1u/16V_4 V_GMCHEXP_RXP0
EV^.1u/16V_4 V_GMCHEXP_RXN0

C299
C295

GMCHEXP_RXP0
GMCHEXP_RXN0
GMCHEXP_TXP0
GMCHEXP_TXN0
GMCHEXP_RXP1
GMCHEXP_RXN1
GMCHEXP_TXP1
GMCHEXP_TXN1
GMCHEXP_RXP2
GMCHEXP_RXN2
GMCHEXP_TXP2
GMCHEXP_TXN2
GMCHEXP_RXP3
GMCHEXP_RXN3
GMCHEXP_TXP3
GMCHEXP_TXN3
GMCHEXP_RXP4
GMCHEXP_RXN4
GMCHEXP_TXP4
GMCHEXP_TXN4
GMCHEXP_RXP5
GMCHEXP_RXN5
GMCHEXP_TXP5
GMCHEXP_TXN5
GMCHEXP_RXP6
GMCHEXP_RXN6
GMCHEXP_TXP6
GMCHEXP_TXN6
GMCHEXP_RXP7
GMCHEXP_RXN7
GMCHEXP_TXP7
GMCHEXP_TXN7
GMCHEXP_RXP8
GMCHEXP_RXN8
GMCHEXP_TXP8
GMCHEXP_TXN8
GMCHEXP_RXP9
GMCHEXP_RXN9
GMCHEXP_TXP9
GMCHEXP_TXN9
GMCHEXP_RXP10
GMCHEXP_RXN10
GMCHEXP_TXP10
GMCHEXP_TXN10
GMCHEXP_RXP11
GMCHEXP_RXN11
GMCHEXP_TXP11
GMCHEXP_TXN11
GMCHEXP_RXP12
GMCHEXP_RXN12
GMCHEXP_TXP12
GMCHEXP_TXN12
GMCHEXP_RXP13
GMCHEXP_RXN13
GMCHEXP_TXP13
GMCHEXP_TXN13
GMCHEXP_RXP14
GMCHEXP_RXN14
GMCHEXP_TXP14
GMCHEXP_TXN14
GMCHEXP_RXP15
GMCHEXP_RXN15
GMCHEXP_TXP15
GMCHEXP_TXN15

6,11,13,16,18,19,20

GMCHEXP_TXP[0..15]
GMCHEXP_TXN[0..15]
GMCHEXP_RXP[0..15]
GMCHEXP_RXN[0..15]

DACB
TV

DACA_IDUMP

U9

I2CB_SCL
I2CB_SDA
DACB_HSYNC
DACB_VSYNC

F9
F10
E6
F5

G72_TMDS_CLK
G72_TMDS_DAT

DACB_RED
DACB_GREEN
DACB_BLUE

F4
E4
D5

EXT_TV_C/R
EXT_TV_Y/G
EXT_TV_COMP

DACB_IDUMP

L9

RN44

4 EV^0_4P2R TMDS_DDCCLK
TMDS_DDCDATA
2

3
1

R166
R165
R168

EV^0_4
EV^0_4
EV^0_4

TMDS_DDCCLK 6,20
TMDS_DDCDATA 6,20

TV_C/R 6,10
TV_Y/G 6,10
TV_COMP 6,10

PLL

XTALIN
XTALOUT
PLLGND

Stuff for use GPU internal SS


D11
RN69

6,10 LCD_EDIDCLK
6,10 LCD_EDIDDAT

3
1

4 EV^33_4P2R
2

VTHM_CLK
VTHM_DAT

E9
D8

DACC

CLAMP

GND00
GND01
GND02
GND03
GND04
GND05
GND06
GND07
GND08
GND09
GND10
GND11
GND12
GND13
GND14
GND15
GND16
GND17
GND18
GND19
GND20
GND21
GND22
GND23
GND24
GND25
GND26
GND27
GND28
GND29
GND30
GND31
GND32
GND33
GND34
GND35
GND36
GND37
GND38
GND39
GND40
GND41
GND42
GND43
GND44
GND45
GND46
GND47
GND48
GND49
GND50
GND51
GND52
GND53
GND54
GND55
GND56
GND57
GND58
GND59
GND60
GND61
GND62
GND63
GND64
GND65
GND66
GND67
GND68
GND69
GND70
GND71
GND72
GND73
GND74
GND75
GND76
GND77
GND78
GND79
GND80
GND81
GND82
GND83
GND84
GND85
GND86
GND87
GND88
GND89
GND90
GND91
GND92
GND93

GROUND

EV^GF_GO7300

I2CC_SCL
I2CC_SDA
EV^GF_GO7300

Thermal Sensor for Graphic

XTALIN

SLAVE ADDRESS: 9A

Y5

+3V

XTALOUT
C584

15 MIL

C579

R111

EV^27MHz
EV^22p_4

3V_THM2

+3V

EV^22p_4

*EV^200_6

R117

R118

R120

*EV^2.2K_4

*EV^2.2K_4

*EV^2.2K_4

C196
*EV^.1u/16V_4

PLACE CLOSE TO GPU

R115
*EV^0_4

U10
1
3
2
5

THERMDC_VGA

MEMORY CLOCK SPREAD SPECTRUM

10 mil trace /
10 mil space

R380
3V_THM3

C547
+2.5V

C190
*EV^2200p_4

VCC
DXN
DXP
GND

/ALERT
SDA
SCLK
/THERM

VGA_THERM#

MAXIM : AL006649019
GMT

*EV^4.7/F_6

VGA_THERM# 15

R108
*EV^0_4

*EV^MAX6649

THERMDA_VGA

+3V

VGAGPIO8_THRM

6
7 VTHM_DAT
8 VTHM_CLK
4

: AL000799001

*EV^.1u/16V_4
L16
EV^BK1608HS220_6_1A
XTAL_BUFF

PLLVDD
C300

C289

C279

C274

*4.7u/6.3V_6

EV^4.7u/6.3V_6

EV^470p_4

EV^4700p_4

U33

XTALSSIN

R128
R134

1726_S0

R394
R393

1726_S0
*EV^22_4 XLOUT
EV^10K_4
*10K_4
*EV^10K_4

1
2
3
4

XIN
VSS
SRS
SSCLK

XOUT
VDD
PD
REF

8
7
6
5

MK_PD
MK_27M

R378
R379

*10K_4
*EV^10K_4

*EV^25819

+3V

+3V

DISP_ON1

R387

EV^1K_4

EXT_BLON

R390

EV^1K_4

EXT_TV_C/R

R135

EV^150/F_4

R417

EV^150/F_4

EXT_VGA_RED

EXT_TV_Y/G

R124

EV^150/F_4

R415

EV^150/F_4

EXT_VGA_GRN

EXT_TV_COMP

R121

EV^150/F_4

R416

EV^150/F_4

EXT_VGA_BLU

PROJECT : ZR1

Quanta Computer Inc.


Size

Stuff for use GPU internal SS

Rev
1A

G72MV_PCIE/DAC/GND
Date:

Document Number

Wednesday, August 02, 2006

Sheet
1

26

of

30

U6

U30B

A26
C24
B24
A24
C22
A25
B25
D23
G22
J23
E24
F23
J24
F24
G23
H24
D16
E16
D17
F18
E19
E18
D20
D19
A18
B18
A19
B19
D18
C19
C16
C18
N26
N25
R25
R26
R27
T25
T27
T26
AB23
Y24
AB24
AB22
AC24
AC22
AA23
AA22
T24
T23
R24
R23
R22
T22
N23
P24
AA24
AA27
AA26
AB25
AB26
AB27
AA25
W25

FB_DQM0
FB_DQM1
FB_DQM2
FB_DQM3
FB_DQM4
FB_DQM5
FB_DQM6
FB_DQM7

D21
F22
F20
A21
V27
W22
V22
V24

FB_DQS0_WP0 B22
FB_DQS0_WP1 D22
FB_DQS0_WP2 E21
FB_DQS0_WP3 C21
FB_DQS0_WP4 V25
FB_DQS0_WP5 W24
FB_DQS0_WP6 U24
FB_DQS0_WP7 W26

FB_CMD0
FB_DQ0
FB_CMD1
FB_DQ1
FB_CMD2
FB_DQ2
FB_CMD3
FB_DQ3
FB_CMD4
FB_DQ4
FB_CMD5
FB_DQ5
FB_CMD6
FB_DQ6
FB_CMD7
FB_DQ7
FB_CMD8
FB_DQ8
FB_CMD9
FB_DQ9
FB_CMD10
FB_DQ10
FB_CMD11
FB_DQ11
FB_CMD12
FB_DQ12
FB_CMD13
FB_DQ13
FB_CMD14
FB_DQ14
FB_CMD15
FB_DQ15
FB_CMD16
FB_DQ16
FB_CMD17
FB_DQ17
FB_CMD18
FB_DQ18
FB_CMD19
FB_DQ19
FB_CMD20
FB_DQ20
FB_CMD21
FB_DQ21
FB_CMD22
FB_DQ22
FB_CMD23
FB_DQ23
FB_CMD24
FB_DQ24
FB_CMD25
FB_DQ25
FB_CMD26
FB_DQ26
FB_DQ27
FB_DQ28
FB_DQ29
FB_DQ30
FB_CLK0
FB_DQ31
FB_CLK#
FB_DQ32
FB_DQ33
FB_CLK1
FB_DQ34
FB_CLK1#
FB_DQ35
FB_DQ36
FB_DQ37
FB_DQ38
FB_REFCLK
FB_DQ39
FB_REFCLK#
FB_DQ40
FB_DQ41
FB_DQ42
FB_DQ43
FB_DQ44
FB_DQ45
FB_DQ46
FB_DQ47
FB_DEBUG
FB_DQ48
FB_DQ49
FB_DQ50
FB_CAL_PD_VDDQ
FB_DQ51
FB_DQ52
FB_CAL_PU_GND
FB_DQ53
FB_DQ54
FB_DQ55 FB_CAL_TERM_GND
FB_DQ56
FB_DQ57
FB_DQ58
FB_DQ59
FB_VREF
FB_DQ60
FB_DQ61
FB_DQ62
FB_DQ63

G27
D25
F26
F25
G25
J25
J27
M26
C27
C25
D24
N27
G24
J26
M27
C26
M25
D26
D27
K26
K25
K24
F27
K27
G26
B27
N24

FB_DQM0
FB_DQM1
FB_DQM2
FB_DQM3
FB_DQM4
FB_DQM5
FB_DQM6
FB_DQM7

FB_PLLVDD
FB_PLLAVDD

D14
D13

FB_PLLGND

C15

FB_DQS_WP0
FB_DQS_WP1
FB_DQS_WP2
FB_DQS_WP3
FB_DQS_WP4
FB_DQS_WP5
FB_DQS_WP6
FB_DQS_WP7

FB_DQS_RN0
FB_DQS_RN1
FB_DQS_RN2
FB_DQS_RN3
FB_DQS_RN4
FB_DQS_RN5
FB_DQS_RN6
FB_DQS_RN7

MEMORY INTERFANE A

FB_DQ0
FB_DQ1
FB_DQ2
FB_DQ3
FB_DQ4
FB_DQ5
FB_DQ6
FB_DQ7
FB_DQ8
FB_DQ9
FB_DQ10
FB_DQ11
FB_DQ12
FB_DQ13
FB_DQ14
FB_DQ15
FB_DQ16
FB_DQ17
FB_DQ18
FB_DQ19
FB_DQ20
FB_DQ21
FB_DQ22
FB_DQ23
FB_DQ24
FB_DQ25
FB_DQ26
FB_DQ27
FB_DQ28
FB_DQ29
FB_DQ30
FB_DQ31
FB_DQ32
FB_DQ33
FB_DQ34
FB_DQ35
FB_DQ36
FB_DQ37
FB_DQ38
FB_DQ39
FB_DQ40
FB_DQ41
FB_DQ42
FB_DQ43
FB_DQ44
FB_DQ45
FB_DQ46
FB_DQ47
FB_DQ48
FB_DQ49
FB_DQ50
FB_DQ51
FB_DQ52
FB_DQ53
FB_DQ54
FB_DQ55
FB_DQ56
FB_DQ57
FB_DQ58
FB_DQ59
FB_DQ60
FB_DQ61
FB_DQ62
FB_DQ63

VMA_MA3
VMA_MA0
VMA_MA2
VMA_MA1
VMA_MA3H
VMA_MA4H
VMA_MA5H
VMA_CS0#
VMA_WE#
VMA_BA0
VMA_CKE
VMA_ODT
VMA_MA2H
VMA_MA12
VMA_RAS#
VMA_MA11
VMA_MA10
VMA_BA1
VMA_MA8
VMA_MA9
VMA_MA6
VMA_MA5
VMA_MA7
VMA_MA4
VMA_CAS#

R93
R360

EV^10K_4
EV^10K_4

L24
K23

FB_CLK0P
FB_CLK0N

R104

EV^120/F_4

M22
N22

FB_CLK1P
FB_CLK1N

R103

EV^120/F_4

PUT CLOSE VRAM


M23
M24

FB_DQM0
FB_DQM1

B3
F3

UDM
LDM

FB_DQS0_WP0
FB_DQS0_RN0
FB_DQS0_WP1
FB_DQS0_RN1

B7
A8
F7
E8

UDQS
UDQS
LDQS
LDQS

FB_CLK0P
FB_CLK0N

J8
K8

CK
CK

VMA_BA1
VMA_BA0

L3
L2

BA1
BA0

VMA_MA12
VMA_MA11
VMA_MA10
VMA_MA9
VMA_MA8
VMA_MA7
VMA_MA6
VMA_MA5
VMA_MA4
VMA_MA3
VMA_MA2
VMA_MA1
VMA_MA0

R2
P7
M2
P3
P8
P2
N7
N3
N8
N2
M7
M3
M8

A12
A11
A10
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0

VMA_ODT
VMA_CKE
VMA_CS0#
VMA_WE#
VMA_RAS#
VMA_CAS#

K9
K2
L8
K3
K7
L7

ODT
CKE
CS
WE
RAS
CAS

VREF

J2

VDD1
VDD2
VDD3
VDD4
VDD5

A1
E1
J9
M9
R1

VDDQ1
VDDQ2
VDDQ3
VDDQ4
VDDQ5
VDDQ6
VDDQ7
VDDQ8
VDDQ9
VDDQ10

A9
C1
C3
C7
C9
E9
G1
G3
G7
G9

VDDL

J1

NC1
NC2
NC3
NC4
NC5
NC6

A2
E2
L1
R3
R7
R8

VSS1
VSS2
VSS3
VSS4
VSS5

A3
E3
J3
N1
P9

VSSQ1
VSSQ2
VSSQ3
VSSQ4
VSSQ5
VSSQ6
VSSQ7
VSSQ8
VSSQ9
VSSQ10

A7
B2
B8
D2
D8
E7
F2
F8
H2
H8

VSSDL

J7

MAVREF_A

+1.8V

FB_DQ17
FB_DQ19
FB_DQ16
FB_DQ22
FB_DQ20
FB_DQ18
FB_DQ23
FB_DQ21
FB_DQ25
FB_DQ30
FB_DQ24
FB_DQ28
FB_DQ31
FB_DQ27
FB_DQ29
FB_DQ26

B9
B1
D9
D1
D3
D7
C2
C8
F9
F1
H9
H1
H3
H7
G2
G8

UDQ7
UDQ6
UDQ5
UDQ4
UDQ3
UDQ2
UDQ1
UDQ0
LDQ7
LDQ6
LDQ5
LDQ4
LDQ3
LDQ2
LDQ1
LDQ0

FB_DQM2
FB_DQM3

B3
F3

UDM
LDM

FB_DQS0_WP2
FB_DQS0_RN2
FB_DQS0_WP3
FB_DQS0_RN3

B7
A8
F7
E8

UDQS
UDQS
LDQS
LDQS

FB_CLK0P
FB_CLK0N

J8
K8

CK
CK

VMA_BA1
VMA_BA0

L3
L2

BA1
BA0

VMA_MA12
VMA_MA11
VMA_MA10
VMA_MA9
VMA_MA8
VMA_MA7
VMA_MA6
VMA_MA5
VMA_MA4
VMA_MA3
VMA_MA2
VMA_MA1
VMA_MA0

R2
P7
M2
P3
P8
P2
N7
N3
N8
N2
M7
M3
M8

A12
A11
A10
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0

VMA_ODT
VMA_CKE
VMA_CS0#
VMA_WE#
VMA_RAS#
VMA_CAS#

K9
K2
L8
K3
K7
L7

ODT
CKE
CS
WE
RAS
CAS

FBCAL_PD_VDDQ

R110

EV^40.2/F_4

E13

FBCAL_PU_GND

R112

EV^40.2/F_4

H22

FBCAL_TERM_GND

R98

*0_4

R377

EV^1K/F_4

R376

EV^1K/F_4

C528

EV^.1u/16V_4

+1.8V

15 mil
A16

FB_VREF1

+1.8V

15 mil

A22
E22
F21
B21
V26
W23
V23
W27

L11
EV^BK1608HS220_6_1A

FBA_PLLAVDD

FB_DQS0_RN0
FB_DQS0_RN1
FB_DQS0_RN2
FB_DQS0_RN3
FB_DQS0_RN4
FB_DQS0_RN5
FB_DQS0_RN6
FB_DQS0_RN7

+1.2V
C192

C191

C193

EV^.01u/16V_4

EV^1u/10V_4

EV^4.7u/6.3V_6

PLACE CLOSE TO BALLS

EV^GF_GO7300
+1.8V

FB_DQ41
FB_DQ45
FB_DQ44
FB_DQ43
FB_DQ47
FB_DQ42
FB_DQ46
FB_DQ40
FB_DQ37
FB_DQ39
FB_DQ36
FB_DQ33
FB_DQ34
FB_DQ35
FB_DQ32
FB_DQ38

B9
B1
D9
D1
D3
D7
C2
C8
F9
F1
H9
H1
H3
H7
G2
G8

UDQ7
UDQ6
UDQ5
UDQ4
UDQ3
UDQ2
UDQ1
UDQ0
LDQ7
LDQ6
LDQ5
LDQ4
LDQ3
LDQ2
LDQ1
LDQ0
UDM
LDM

FB_DQM5
FB_DQM4

B3
F3

FB_DQS0_WP5
FB_DQS0_RN5
FB_DQS0_WP4
FB_DQS0_RN4

B7
A8
F7
E8

UDQS
UDQS
LDQS
LDQS

FB_CLK1P
FB_CLK1N

J8
K8

CK
CK

VMA_BA1
VMA_BA0

L3
L2

VMA_MA12
VMA_MA11
VMA_MA10
VMA_MA9
VMA_MA8
VMA_MA7
VMA_MA6
VMA_MA5H
VMA_MA4H
VMA_MA3H
VMA_MA2H
VMA_MA1
VMA_MA0

R2
P7
M2
P3
P8
P2
N7
N3
N8
N2
M7
M3
M8

A12
A11
A10
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0

VMA_ODT
VMA_CKE
VMA_CS0#
VMA_WE#
VMA_RAS#
VMA_CAS#

K9
K2
L8
K3
K7
L7

ODT
CKE
CS
WE
RAS
CAS

BA1
BA0

J2

VDD1
VDD2
VDD3
VDD4
VDD5

A1
E1
J9
M9
R1

VDDQ1
VDDQ2
VDDQ3
VDDQ4
VDDQ5
VDDQ6
VDDQ7
VDDQ8
VDDQ9
VDDQ10

A9
C1
C3
C7
C9
E9
G1
G3
G7
G9

VDDL

J1

NC1
NC2
NC3
NC4
NC5
NC6

A2
E2
L1
R3
R7
R8

VSS1
VSS2
VSS3
VSS4
VSS5

A3
E3
J3
N1
P9

VSSQ1
VSSQ2
VSSQ3
VSSQ4
VSSQ5
VSSQ6
VSSQ7
VSSQ8
VSSQ9
VSSQ10

A7
B2
B8
D2
D8
E7
F2
F8
H2
H8

VSSDL

J7

VREF

J2

VDD1
VDD2
VDD3
VDD4
VDD5

A1
E1
J9
M9
R1

VDDQ1
VDDQ2
VDDQ3
VDDQ4
VDDQ5
VDDQ6
VDDQ7
VDDQ8
VDDQ9
VDDQ10

A9
C1
C3
C7
C9
E9
G1
G3
G7
G9

VDDL

J1

NC1
NC2
NC3
NC4
NC5
NC6

A2
E2
L1
R3
R7
R8

VSS1
VSS2
VSS3
VSS4
VSS5

A3
E3
J3
N1
P9

VSSQ1
VSSQ2
VSSQ3
VSSQ4
VSSQ5
VSSQ6
VSSQ7
VSSQ8
VSSQ9
VSSQ10

A7
B2
B8
D2
D8
E7
F2
F8
H2
H8

VSSDL

J7

MAVREF_A

+1.8V

U28
VREF

J2

VDD1
VDD2
VDD3
VDD4
VDD5

A1
E1
J9
M9
R1

VDDQ1
VDDQ2
VDDQ3
VDDQ4
VDDQ5
VDDQ6
VDDQ7
VDDQ8
VDDQ9
VDDQ10

A9
C1
C3
C7
C9
E9
G1
G3
G7
G9

VDDL

J1

NC1
NC2
NC3
NC4
NC5
NC6

A2
E2
L1
R3
R7
R8

VSS1
VSS2
VSS3
VSS4
VSS5

A3
E3
J3
N1
P9

VSSQ1
VSSQ2
VSSQ3
VSSQ4
VSSQ5
VSSQ6
VSSQ7
VSSQ8
VSSQ9
VSSQ10

A7
B2
B8
D2
D8
E7
F2
F8
H2
H8

VSSDL

J7

MAVREF_B

+1.8V

FB_DQ63
FB_DQ58
FB_DQ57
FB_DQ61
FB_DQ59
FB_DQ56
FB_DQ60
FB_DQ62
FB_DQ52
FB_DQ51
FB_DQ54
FB_DQ53
FB_DQ48
FB_DQ50
FB_DQ49
FB_DQ55

B9
B1
D9
D1
D3
D7
C2
C8
F9
F1
H9
H1
H3
H7
G2
G8

UDQ7
UDQ6
UDQ5
UDQ4
UDQ3
UDQ2
UDQ1
UDQ0
LDQ7
LDQ6
LDQ5
LDQ4
LDQ3
LDQ2
LDQ1
LDQ0

FB_DQM7
FB_DQM6

B3
F3

UDM
LDM

FB_DQS0_WP7
FB_DQS0_RN7
FB_DQS0_WP6
FB_DQS0_RN6

B7
A8
F7
E8

UDQS
UDQS
LDQS
LDQS

FB_CLK1P
FB_CLK1N

J8
K8

CK
CK

VMA_BA1
VMA_BA0

L3
L2

BA1
BA0

VMA_MA12
VMA_MA11
VMA_MA10
VMA_MA9
VMA_MA8
VMA_MA7
VMA_MA6
VMA_MA5H
VMA_MA4H
VMA_MA3H
VMA_MA2H
VMA_MA1
VMA_MA0

R2
P7
M2
P3
P8
P2
N7
N3
N8
N2
M7
M3
M8

A12
A11
A10
A9
A8
A7
A6
A5
A4
A3
A2
A1
A0

VMA_ODT
VMA_CKE
VMA_CS0#
VMA_WE#
VMA_RAS#
VMA_CAS#

K9
K2
L8
K3
K7
L7

ODT
CKE
CS
WE
RAS
CAS

EV^GDDR2

R365

VREF

EV^GDDR2

U5
D15

D12
E12
F12
C13

R364

UDQ7
UDQ6
UDQ5
UDQ4
UDQ3
UDQ2
UDQ1
UDQ0
LDQ7
LDQ6
LDQ5
LDQ4
LDQ3
LDQ2
LDQ1
LDQ0

EV^GDDR2

U30C

+1.8V

B9
B1
D9
D1
D3
D7
C2
C8
F9
F1
H9
H1
H3
H7
G2
G8

K22

EV^GF_GO7300

NC_0
NC_1
NC_2
NC_3

FB_DQ3
FB_DQ6
FB_DQ2
FB_DQ4
FB_DQ1
FB_DQ5
FB_DQ7
FB_DQ0
FB_DQ13
FB_DQ8
FB_DQ12
FB_DQ14
FB_DQ15
FB_DQ9
FB_DQ10
FB_DQ11

U29

MAVREF_B

+1.8V

EV^GDDR2

EV^1K/F_4

25
MIL
MAVREF_A

EV^1K/F_4

25
MIL
MAVREF_B

SAMSUNG 16M*16 --> AKD5JGBT509


SAMSUNG 32M*16 --> AKD5FGBT501

PROJECT : ZR1

R361

C510

R366

C511

INFI 16M*16 --> AKD5JG-T^08

Quanta Computer Inc.

EV^1K/F_4

EV^.1u/16V_4

EV^1K/F_4

EV^.1u/16V_4

INFI 32M*16 --> AKD5FG-T^00


Size

HYNIX 16M*16 --> AKD5JG-TW12


5

Document Number

Rev
1A

G72MV_MEMORY A/B/C/D

HYNIX 32M*16 --> AKD5FG-TW14

Date:
2

Wednesday, August 02, 2006

Sheet
1

27

of

30

C199

C184

C224

C163

C256

EV^4.7u/6.3V_6

EV^1u/10V_4

EV^1u/10V_4

EV^.1u/16V_4

EV^.1u/16V_4

1500mA

+1.2V
C206

C218

C173

C171

C185

EV^4.7u/6.3V_6

EV^1u/10V_4

EV^1u/10V_4

EV^.1u/16V_4

EV^.1u/16V_4

+1.2V

NVVDD

C323

C325

EV^4.7u/6.3V_6

EV^.1u/16V_4

C209

C208

C210

C234

C223

C176

C242

EV^.1u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

C178

C180

EV^10u/6.3V_6

EV^10u/6.3V_6

C182

C221

C236

C230

C229

C231

C183

EV^.47u/6.3V_4

EV^.47u/6.3V_4

EV^.47u/6.3V_4

EV^.47u/6.3V_4

EV^.47u/6.3V_4

EV^.47u/6.3V_4

EV^.47u/6.3V_4

+1.8V

250mA

PLACE NEAR BALLS

+1.2V

U30D
AB10
AB11
AB14
AB15
W17
W18
AB20
AB21

PEX_IOVDD0
PEX_IOVDD1
PEX_IOVDD2
PEX_IOVDD3
PEX_IOVDD4
PEX_IOVDD5
PEX_IOVDD6
PEX_IOVDD7

AA4
AB5
AB6
AB7
AB8
AB9
AC9
AC11
AB12
AC12
AB13
AB16
AC16
AB17
AC17
AB18
AB19
AC19
AC20

PEX_IOVDDQ0
PEX_IOVDDQ1
PEX_IOVDDQ2
PEX_IOVDDQ3
PEX_IOVDDQ4
PEX_IOVDDQ5
PEX_IOVDDQ6
PEX_IOVDDQ7
PEX_IOVDDQ8
PEX_IOVDDQ9
PEX_IOVDDQ10
PEX_IOVDDQ11
PEX_IOVDDQ12
PEX_IOVDDQ13
PEX_IOVDDQ14
PEX_IOVDDQ15
PEX_IOVDDQ16
PEX_IOVDDQ17
PEX_IOVDDQ18

J9
M9
N9
R9
T9
J10
J11
M11
N11
R11
T11
L12
M12
T12
U12
L13
M13
T13
U13
W13
M14
T14
L15
M15
T15
U15
W15
L16
M16
T16
U16
W16
M17
N17
R17
T17

VDD0
VDD1
VDD2
VDD3
VDD4
VDD5
VDD6
VDD7
VDD8
VDD9
VDD10
VDD11
VDD12
VDD13
VDD14
VDD15
VDD16
VDD17
VDD18
VDD19
VDD20
VDD21
VDD22
VDD23
VDD24
VDD25
VDD26
VDD27
VDD28
VDD29
VDD30
VDD31
VDD32
VDD33
VDD34
VDD35

FB_VDDQ0
FB_VDDQ1
FB_VDDQ2
FB_VDDQ3
FB_VDDQ4
FB_VDDQ5
FB_VDDQ6
FB_VDDQ7
FB_VDDQ8
FB_VDDQ9

F17
F19
J19
M19
T19
J22
L22
P22
U22
Y22

FB_VTT0
FB_VTT1
FB_VTT2
FB_VTT3
FB_VTT4
FB_VTT5
FB_VTT6
FB_VTT7
FB_VTT8
FB_VTT9

E15
F15
F16
J17
J18
L19
N19
R19
U19
W19

C189

C161

C167

C197

C156

C151

C131

EV^.1u/16V_4

EV^.1u/16V_4

EV^.022u/16V_4

EV^.022u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

EV^4.7u/6.3V_6
D

+1.8V

C170

C212

C126

C160

C162

C124

EV^.01u/16V_4

EV^.01u/16V_4

EV^.01u/16V_4

EV^.1u/16V_4

EV^.1u/16V_4

EV^4.7u/6.3V_6

500mA
MIOA_VDDQ0
MIOA_VDDQ1
MIOA_VDDQ2

F6
G6
J6

+3V
C258
EV^.1u/16V_4

POWER

500mA
MIOB_VDDQ0
MIOB_VDDQ1
MIOB_VDDQ2

K5
K6
L6

MIOBCAL_PD_VDDQ

J5

MIOB_VREF

J4

+3V
C219
EV^.1u/16V_4

MIOBCAL_PU_GND

M3

PEX_PLLAVDD

Y6

PEX_PLL_AVDD

+3V
C194
EV^4.7u/6.3V_6

C246
EV^.022u/16V_4

C247

C211

EV^1u/10V_4

EV^.1u/16V_4

W9
W10
W11
W12

VDD_LP0
VDD_LP1
VDD_LP2
VDD_LP3

J12
F13
J13
F14
J15
J16

VDD33_0
VDD33_1
VDD33_2
VDD33_3
VDD33_4
VDD33_5

PEX_PLLDVDD

AA5

PEX_PLLGND

AA6

L20

180mA

PEX_PLL_AVDD

EV^10nH_0.3A_8
+1.2V

C324

C252

C326

C318

EV^1u/10V_4

EV^.1u/16V_4

EV^4.7u/6.3V_6

EV^.01u/16V_4
L21

20mA

PEX_PLL_DVDD

EV^10nH_0.3A_8

C310

C251

C312

C309

C235

EV^1u/10V_4

EV^.01u/16V_4

EV^4.7u/6.3V_6

EV^.1u/16V_4

EV^10u/6.3V_6

EV^GF_GO7300

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Date:

Wednesday, August 02, 2006

Rev
1A

G72MV_POWER
5

Sheet
1

28

of

30

20mil / 140mA
+3V

DACA_VDD
DACA_VDD
DACA_VREF
DACA_RSET

L14
EV^BK1608HS220_6_1A
C287

C276

C293

C266

R131

EV^4.7u/6.3V_6

EV^4700p_4

EV^470p_4

EV^.01u/16V_4

EV^124/F_4

10K for NO TV
D

+3V

AE2

DACA_VREF

AB4

DACA_VREF

DACA_RSET

AD3

DACA_RSET

20mil / 140mA

F8

C255
C269

C240

EV^4.7u/6.3V_6

EV^4700p_4

EV^470p_4

C241

R116

DACB_VREF

E7

DACB_VREF

EV^.01u/16V_4

EV^124/F_4

DACB_RSET

D6

DACB_RSET

R123

EV^1K_4

N6

IFPAB_VPROBE

IFPAB_RSET

U6

IFPAB_RSET

IFPAB_PLLVDD

V5

IFPAB_PLLVDD

IFPAB
LVDS
+2.5V

20mil / 40mA

L17
EV^BK1608HS220_6_1A

V6

+3V
MIOBD0
MIOBD1

MIOBD0
MIOBD1
MIOBD2
MIOBD3
MIOBD4
MIOBD5
MIOBD6
MIOBD7
MIOBD8
MIOBD9
MIOBD10
MIOBD11

G2
G3
J2
J1
K4
K1
M2
M1
N1
N2
N3
R3

MIOB_HSYNC
MIOB_VSYNC
MIOB_DE
MIOB_CTL3

G4
F1
G1
F2

MIOB_CLKOUT
MIOB_CLKOUT#
MIOB_CLKIN

K2
K3
R2

MIOAD0
MIOAD1
MIOAD2
MIOAD3
MIOAD4
MIOAD5
MIOAD6
MIOAD7
MIOAD8
MIOAD9
MIOAD10

A2
B3
A3
D4
A4
B4
B6
P4
C6
G5
V4

MIOAD0
MIOAD1

MIOA_HSYNC

C4

MIOA_HSYNC

MIOB

DACB_VDD

DACB

DACB_VDD
DACB_VREF
DACB_RSET

EV^BK1608HS220_6_1A

DACA_VDD

DACA

DACB_VDD

L13

U30E

MIOA

SUB_VENDOR

MIOBD3
MIOBD4
MIOBD5
MIOBD6
MIOBD7
MIOBD8
MIOBD9

R404

EV^2.2K_4 MIOAD1

R405

EV^10K_4 MIOBD0

R406

EV^10K_4

RAM_CFG_0

R407

*10K_4

MIOBD1

R408

EV^10K_4

RAM_CFG_1

R412

EV^10K_4 MIOBD8

R418

*10K_4

RAM_CFG_2

MIOBD11

R402

EV^10K_4 MIOBD9

R403

*10K_4

RAM_CFG_3

MIOBD6

R411

EV^2.2K_4

CRYSTAL_1

MIOBD4

R400

EV^2.2K_4

PCI_DEVID_0

MIOBD5

R410

EV^2.2K_4

PCI_DEVID_1

MIOBD3

R409

EV^2.2K_4

PCI_DEVID_2

MIOBD11

R420

*2.2K_4

PCI_DEVID_3

EV^2.2K_4 MIOAD0

R133

*2.2K_4

PEX_PLL_EN_TERM

MIOAD6

R396

EV^2.2K_4

3GIO_PADCFG_LUT_ADR0

CRYSTAL_0

MIOB_CLKIN

R129

EV^10K_4

MIOAD6

R119

IFPAB_PLLGND

EV^2.2K_4
R132

IFPAB_PLLVDD
C298

C262

C268

EV^4.7u/6.3V_6

EV^4700p_4

EV^470p_4

+1.8V L15
EV^BK1608HS220_6_1A

IFPAB_IOVDD

W4

IFPAB_IOVDD

Y4

IFPB_IOVDD

M5

IFPC_VPROBE

IFPA_IOVDD

20mil / 260mA

ROMCS

D1

ROM_SO
ROM_SI
ROM_SCLK

D3
F3
D2

R401

*2.2K_4

MIOBD7

Mobile_Mode

IFPAB_IOVDD
C

C291

C294

C264

EV^10u/6.3V_6

EV^4700p_4

EV^470p_4
R127

EV^1K_4

IFPC_RSET

IFPC_PLLVDD

J3

M4

IFPC_IOVDD

20mil / 40mA

L18
EVDV^BK1608HS220_6_1A

L4

I2CH_SCL
I2CH_SDA

C7
B7

BUFRST
STEREO
SWAPRDY_A

A6
F7
A7

TESTMODE

D7

IFPC_PLLVDD

DVI

+2.5V

IFPC_PLLGND

GND

HDCP_SCL
HDCP_SDA

TESTMODE
R113

C311

MIOAD1

SETTING
LOW

LOW: BIOS NOT PRESENT ( VBIOS in SBIOS )


HI : BIOS PRESENT

RAMCFG0
RAMCFG1
RAMCFG2
RAMCFG3

MIOBD0
MIOBD1
MIOBD8
MIOBD9

HI
HI
HI
LOW

RAMCFG[3210]
0000 : 16M X
0001 : 16M X
0010 : 16M X
0011 : 16M X

CRYSTAL0
CRYSTAL1

MIOBD2
MIOBD6

LOW
HI

PCI_DEVID0
PCI_DEVID1
PCI_DEVID2
PCI_DEVID3

MIOBD4
MIOBD5
MIOBD3
MIOBD11

HI
HI
HI
LOW

USER0
USER1
USER2
USER3
PEX_PLL_EN_TERM

MIOAD2
MIOAD3
MIOAD4
MIOAD5
MIOAD0

HI
HI
HI
HI
LOW

3GIO_PADCFG_LUT_ADR0

MIOAD6

HI

3GIO_PADCFG_LUT_ADR1

MIOBD8
MIOBD9
MIOBD7

LOW
LOW
LOW

EV^10K_4

AC8

IFPC_IOVDD

IFPC_PLLVDD
C304

G7X

IFPC_RSET

IFPC
M6

FUNCTION
SUB_VENDOR

C308

16
16
16
16

X
X
X
X

4
4
4
4

,
,
,
,

128M
128M
128M
128M

Elpida
SamSung
Infineon
Hynix

0101 : 32M X 16 X 4 , 256M SamSung


0110 : 32M X 16 X 4 , 256M Infineon
0111 : 32M X 16 X 4 , 256M Hynix
00 : 13.5MHz
01 : 14.31818MHz
10 : 27.0MHz
PCI DEVICE
PCI_DEVICE[3:0]
1000
0111

DESCRIPTION
G72M
G72M-V

EV^GF_GO7300
EVDV^4.7u/6.3V_6

EVDV^4700p_4

EVDV^470p_4

DACA FOR CRT


DACB FOR TV
IFPA & IFPB ARE FOR LVDS INTERFACE
IFPC IS FOR DVO
INTERFACE

10K for NO DVO

+3V

3GIO_PADCFG_LUT_ADR2
3

Q8
EVDV^SI2305DS

Mobile_Mode

+3V

HDCP ROM

R142
G

G72_N1

2
EVDV^10K_4

20mil / 120mA

L19
EVDV^BK1608HS220_6_1A

R137
3

R97

*0_6

EV^10K_4
HDCP_SDA
HDCP_SCL

IFPC_IOVDD

C305

C288

C273

C278

EVDV^4.7u/6.3V_6

EVDV^4.7u/6.3V_6

EVDV^4700p_4

EVDV^470p_4

R101
Q7
19,24 VGA_PWRGD

2
EV^10K_4

EVDV^2N7002

10K for NO DVO

USER_STRAP[3::0] 1111 //Panel ID strap

0 : ENABLE PCI-E PLL TERMINATION


1 : DISABLE PCI-E PLL TERMINATION

0 : DESKTOP
1 : MOBILE
00 : G72M
MOBILE GPIO : 0 : GPIO PULL DOWN
MOBILE GPIO : 1 : GPIO FLOAT

+3V
U8
5
6

SDA
SCL

1
2
3
7

NC1
NC2
NC3
NC4

VCC

GND

C175
EV^.1u/16V_4

*AT88SC0808C

PROJECT : ZR1

Quanta Computer Inc.


Size

Document Number

Rev
1A

G72MV_STRAP/MISC
Date:
5

Wednesday, August 02, 2006

Sheet
1

29

of

30

You might also like