You are on page 1of 10

Foundry Sector Update

Apple's next foundry partner



For sales & dealing
Tel: +886-2-2547-8881
P
r
i
m
a
s
i
a



























Primasia Securities Company Ltd.
Taiwan
May 8, 2012
TSMC
Ticker: 2330 TT
Price: NT$84.4

UMC
Ticker: 2303 TT
Price: NT$14.75


SMIC
Ticker: 981 HK
Price: HK$0.39



Top 10 foundries




Top 10 smartphone vendors


Source: IC Insights, IDC


Matt Cleary
Tel: +886-2-2547-8878
mattcleary@primasia.com


Best of frenemies: Apple has very quietly begun to make the transition to
application processors (APUs) manufactured using Samsung's 32nm process
technology, focusing attention on the question of what Apple's foundry strategy
is likely to be as settlement talks between the two litigants draw near. We
believe Apple's move away from package-on-package will make it easier to
migrate away from Samsung, while Apple's recent moves to diversify its other
suppliers shows the intent to do the same with its foundry business.
Intel offers the dilemma of conversion: Intel's status as the only
chipmaker with FinFETs makes it an enticing potential partner for Apple, whose
products would gain a battery life edge over rival devices. However, in order to
use Intel's process, Apple would need to make wholesale changes to its iOS in
order to accommodate Intel's strict no-ARM policy while maintaining software
compatibility. This would be very resource-intensive for Apple, and could
alienate both customers and app developers if anything went wrong.
A5 APUs made on 32nm beginning to appear: Certain iPad 2 models have
begun to appear equipped with APUs made on Samsung's new 32nm process
technology. Look for Apple to expand the use of 32nm process to the rest of its
APU line as the year progresses. However, Samsung's 28nm process is not a
linear shrink version of 32nm, and thus we doubt that Apple will go through the
trouble of re-designing its APUs to use Samsung's 28nm process.
It's a three-horse race: We believe that only Intel, TSMC and Samsung have
a viable shot at being selected as Apple's next foundry partners. UMC clearly
lacks the scale and leading-edge process level in order to compete in this league,
while GlobalFoundries probably still has to prove itself following the public
divorce from AMD. TSMC is pulling out all the stops in order to win Apple's
business, including hiring teams of IC design and system level engineers,
accelerating its 20nm process development and possibly pulling in its FinFET
introduction from 14nm to 20nm.
The game is TSMC's to losein 2014: Given the competitive conflicts with
Samsung and the broader engineering challenges that would be triggered by a
move to Intel, it seems most likely that TSMC will have the inside track to
become Apple's next foundry partneronce TSMC gets 20nm up and running.
The broader question will be whether Apple will prove lucrative enough as a
customer to justify all of these efforts.


-45
-15
15
45
9
12
15
18
May-11 Sep-11 Dec-11 May-12
2303 United Microelec.
Rel. to Taiex
Base Period: 06-May-11'
%
NT$
-30
10
50
50
75
100
May-11 Sep-11 Dec-11 May-12
2330 TSMC
Rel. to Taiex
Base Period: 06-May-11'
%
NT$
10
30
50
70
90
Jan-03 Feb-06 Mar-09 May-12
NT$
TSMC trailing PB band
3.7 x
3.1 x
2.5 x
2.0 x
1.4 x
0
10
20
30
40
Jan-03 Feb-06 Mar-09 May-12
NT$
UMC trailing PB band
1.8 x
1.4 x
1.1 x
0.8 x
0.4 x


Foundry Sector Update
8 May 2012 2
Foundry Update
With Apple quietly introducing 32nm parts into its products, patent
infringement suit settlement talks between Apple and Samsung set to begin in
a few weeks, and the next generation of iPhone likely coming out later this
year, Apple 's most contentious supplier relationship has come back into focus.
In particular, speculation has been on the rise about whether Apple will
continue to have its biggest rival in tablets and smartphones make the
processors for its own devices. In this report we read the tealeaves and
explain why we believe that Apple will migrate at least some of its application
processor (APU) foundry to TSMC, but not until the 20nm node.

The move away from Samsung is inevitable
First off, we think that Apple's intention to ultimately migrate away from
Samsung as its mobile processor foundry should not be doubted. Apple
undoubtedly sees Samsung as its greatest rival and is no doubt keenly aware
that relying on Samsung to fab its APU represents a serious disclosure of IP
and a major competitive risk. While Apple's sourcing decisions of late have not
all pointed to a diversification away from Samsung, many of them have.
1

Moreover, the risk to Apple of sourcing components such as LCDs from
Samsung carries a far lower risk profile than depending on Samsung for its
next generation of applications and graphics processing. Thus, we believe that
Apple remains highly motivated to migrate away from Samsung's foundry
service when practicable.

Samsung's 32nm is the next step
However, it does not appear that now is a very practicable time to switch
foundries. Firstly, Apple has already begun to use Samsung's new 32nm
process node for the A5 processor in its iTV and iPad 2 products
2
. Apple's
strategy here seems to be to try out Samsung's newest logic node on a small
scale, without risking its ability to ramp up volumes in much higher volume,
strategically critical iPhone and iPad lines. Given that Apple has undertaken
the redesign, process characterization and design verification expenses
necessary to use Samsung's 32nm, it would obviously make sense for Apple to
amortize these expenses over as many products as practicable. Most likely,
this will mean migrating its iPhone and iPad processors to that 32nm node as
its next step. Not only would Apple then be able to claim further speed and
battery life benefits to help justify consumers upgrade decisions, but it would
also lower its die costsall while continuing to leverage the gate-first design
experience that the company has gained while working with Samsung.





1
Apple has been using more memory products from Hynix and Toshiba, and had reportedly been
working very closely with Sharp for the high-resolution display in the new iPad before yield
problems forced Apple to source from Samsung instead.

2
Apple has quietly begun using A5 processors made using Samsung's 32nm process in the
WiFi-only version of its iPad 2. Meanwhile, the A5 processor in the new iTV is similar to the A5s
used in the iPad 2 and Phone 4S, except that it is made on a 32nm process and has one of its two
application cores disabled.




Foundry Sector Update
Matt Cleary 3

Phase-out of PoP package gives Apple flexibility
One of the big APU changes which occurred with the launch of the A5X
processor was the move away from the package-on-package (PoP)
configuration used in prior A5 variants, whereby a DRAM package was
mounted directly on top of the APU. Of course this PoP architecture offers
extremely high performance, in the form of excellent APU-memory
communication, due to both the large number of interconnects that such an
architecture allows, as well as the short lengths of such interconnects.
However, Apple made the move away from PoP with the introduction of the
third-generation iPad, and put the two DRAM dies directly on the
motherboardalthough directly opposite where the A5X APU is mounted. This
configuration enables Apple to maintain relatively short interconnect length
and a high interconnect density, while also enabling Apple to avoid the costly
yield losses inherent in such a complex packaging type as PoP.

However, the move away from PoP also provides Apple a great deal of new
flexibilitylatitude which may signal the company's strategic intent. First off,
by separating the DRAM and the APU, Apple gives itself the flexibility to source
its DRAM from vendors other than Samsungsomething that Apple appears
to be doing more of. Secondly, the move away from Samsung's PoP
architecture enables Apple to consider foundry partners which might not have
the same level of multi-die packaging capabilities in house. The overall effect
is to reduce the risk profile of any switch in foundry suppliers. Thus, while the
move away from PoP may have brought cost benefits for Apple, we believe
that this was part of a broader strategic move to prepare for an eventual move
away from Samsung as a foundry vendor.

Gate-last and FinFET transitions ahead
Although the shrink from 45nm to 32nm appears to be going smoothly for
Apple, the next step is not going to be quite as trivial. Samsung (which, like
GlobalFoundries has based its advanced logic process on IBM's Common
Platform technology) has a 28nm process on its technology roadmap.
However, while this 28nm process was originally intended to be a linear
shrink
3
from Samsung's 32nm process, industry sources tell us that this
proved impossible. It is unclear how extensive the re-design requirements
would be in order to port a complex design like Apple's A5 processor from
Samsung's 32nm process to its forthcoming 28nm process, we believe that
this will not be trivial. Nonetheless, doing so would undoubtedly be far easier
than redesigning the A5 to use a gate-last 28nm process, such as TSMC's. Still,
the re-design work necessary to use Samsung's 28nm process could prove to
be a transition point, where it might make sense for Apple to reassess its
options.





3
A linear shrink node is a semiconductor process technology where designs of a larger scale
process node can be very simply scaled down and fabricated using the same circuit layout. Linear
shrinks enable chipmakers to lower chip costs by reducing the size of the semiconductor die
without extensive re-working of the design.



Foundry Sector Update
8 May 2012 4

Beyond the 28nm node, Apple will undoubtedly face a major re-design effort,
regardless of which foundry it chooses. For one thing, all of the major
foundries use gate-last transistor architectures for their 20nm process nodes.
Redesigning its A5 processor for a gate-last process would require a major
commitment of design resources on Apple's partanalogous to taking an
architect's design for a house and re-working the designs so that the house
hangs from an overhead structure rather than sitting on the ground. In
addition to the gate first-to-gate last transition, the move to beyond 28nm
may well require Apple to begin using new FinFET transistors
4
. Intel is already
using FinFETs in its new 22nm products, and has made no secret of its
aspirations to secure Apple's mobile processor business. At the same time,
TSMC has begun to hint that FinFETs could be pulled forward from its
previously-stated launch at the 14nm node to the 20nm node. Meanwhile,
Samsung, IBM and GlobalFoundries have all previously said that FinFETs will
arrive with their 14nm process generations. Thus, whichever foundry Apple
chooses, the company faces a very significant re-design in preparation for the
move to a gate-last architecture beyond 28nm. At this juncture, it would also
most likely make sense for Apple to undertake the transition from planar to
FinFET transistors. Given these two different transitions, this would be the
junction at which it would cause the least design disruption to switch
foundries.

Intel wants to be Apple's next foundry
One potentially attractive foundry option for Apple would be to have its chip
made by Intel. Given that Intel already has a gate-last process using FinFET
transistor architecture in mass production at the 22nm node, Apple could
position itself very competitively by using Intel as a foundry. However, as we
detailed in our recent report on Intel's foundry aspirations, Apple's use of Intel
would be problematic on a number of fronts. First and most importantly, Intel
would refuse on philosophical grounds to make any product based on an ARM
processor core. As all of Apple's mobile processors have thus far been based
on ARM architecture, this dictate from Intel would trigger not just a massive
chip re-design around Intel's X86 Atom core. It would also require Apple to
re-write a great deal of its iOS mobile device operating system in order to
ensure that the large body of existing iOS applications would be compatible
with both Apple's new devices and its legacy applications.







4
Also referred to as 3D transistors or Tri-gate transistors, FinFET transistors are unlike traditional
planar transistors in that they are effectively turned up on their edge. Whereas planar transistors
are thin gate structures lying flat and regulating the flow of electronics through the underlying
silicon substrate, FinFETs incorporate a thin, tall silicon structure with a controlling gate draped
over the top of it. While FinFETs are far more difficult to fabricate than planar transistors, they
have advantage is that they leak far less current and are easier to control than planar transistors
of comparable size.



Foundry Sector Update
Matt Cleary 5

One potential benefit of a move to use Intel and its X86 architecture might be
that Apple could unify its iOS mobile operating system and the OS operating
system which it uses in its Mac and MacBook lines of computers. However,
such a move could orphan the half million iOS applications already in use,
alienating both users and software developers in the process. Moreover, while
the added silicon horsepower that Apple would gain from a move to the 22nm
node would take the company's smartphones and tablets closer to the point
where they could run the same desktop applications that OS offers, it is far
from clear that these systems would be ready overall to make this leap in
performance.

But maintaining a separate iOS amidst any shift to Intel foundry would also be
fraught with risk for Apple. Throwing out the existing APU design and
undertaking major surgery on iOS would require a tremendous amount of
engineering and software work and would involve not just changes to the
operating system, but also trigger hardware changes to subsequent iPads,
iPhones, iTVs and iPods beyond the APU.

Proponents would argue that Apple had overcome a similar challenge
previously when they migrated to the X86 architecture from the PowerPC in
their computer lineup. However, systems experts tell us that that transition
was much easier because very little computation work was done by the
peripheral chips on those Mac/MacBook boardsi.e. the chips other than the
CPU. This centralization of computational effort made it very easy to virtualize
the PowerPC operations within the new X86-based OS. Apple's current lineup
does not have their computational functionality so narrowly centralized within
their APUs, and thus rely much more heavily from various codecs, touchpad
controllers, display controllers, GSM/3G/WiFi/WLAN coprocessors, and so on.
This distributed workload would make emulation virtually impossible, and
necessitate nearly a ground-up rebuild of iOS.

Such a rebuild would be very risky for Apple from a compatibility standpoint. It
is not difficult to imagine how compatibility problems with the half million iOS
apps currently in the iStore could seriously damage Apple's hard-fought
reputation for seamless software operation. We believe that it would not make
sense for Apple to take on these risks for the benefit of using Intel's 22nm
technology. This conclusion is further supported by the fact that Apple is
happily leading the tablet and smartphone markets without APUs made on
leading-edge process nodes. If Apple can compete effectively with chips made
on a 45nm process, why would it undertake such an outsized risk? Finally, we
doubt that Apple's new CEO would feel comfortable undertaking such risky
chip and operating system transitions simultaneously. Given Tim Cook's
background in supply chain management, we dont believe that his expertise
would inspire in him the confidence to risk the company in this way.
Consequently, we believe that a change of course by Apple to use Intel as its
foundry would be very unlikely.




Foundry Sector Update
8 May 2012 6
A Samsung-Intel split would make no sense
One analyst was widely quoted in recent weeks predicting that Apple would
split its APU foundry between Samsung and Intel. However, this prediction
failed to explain whether Apple would use an ARM core or Atom. Obviously it
wouldnt make sense for Apple to use botha strategy that would embody
much of the compatibility risk explained above, while simultaneously giving up
most of the economies of scale which Apple's processor strategy has
heretofore been based on. Thus, any strategy that had Apple splitting its
foundry business between Samsung and Intel would necessarily require the
wholesale move to an Atom core, as well as Intel granting Samsung a license
to make chips using the X86 architecture. We believe that this latter factor
would be a deal breaker for Intel. Moreover, we very much doubt that Intel
would accommodate any deal whereby it won only part of Apple's mobile
processor business. We believe that the organizational upheaval that the
introduction of a large foundry business would create at Intel would only
make sense to Intel if it were guaranteed 100% of Apple's mobile processor
business. Otherwise, Intel would have a very hard time justifying the potential
risk and margin dilution to shareholders. Thus, we conclude that it would be
nigh impossible for Apple to split its APU business between Samsung and
Intel.

TSMC widely fingered as Apple's next foundry
While a number of journalists and analysts have predicted that TSMC will win
Apple's foundry business at either the 28nm or 20nm nodes, similar
predictions were rife ahead of the A5's launch. One would be correct in
wondering why TSMC didnt win Apple's A5 business, and whether the
foundry giant stands a better chance of winning the contract to build Apple's
processor business this time around.

First, we believe that Apple's decision not to choose TSMC as its foundry
vendor for the A5 generation did not hinge on any single factor. Rather, we
believe that factors like cost, power consumption, IP entanglements and
re-design workload were all part of Apple's decision to stay with
Samsungnot to mention the complex customer-supplier-rival politics of the
Samsung-Apple relationship. We do believe that TSMC was very much in the
running, as Apple's engagement with TSMC went so far as to include test
wafer production. But in the end, we believe that these factors were pivotal in
Apple's decision to stay with Samsung:

Power consumption: We believe that TSMC's test chips did not match
the power efficiency of Samsung's, a factor that most likely involved not
just the power consumed by the APU, but by the system as a whole.
Given its experience in handsets, Samsung was most likely able to
leverage its knowledge of systems to gain an edge in non-APU power
consumption.



Foundry Sector Update
Matt Cleary 7

Die size: We believe that TSMC's test chips were larger than Samsung's.
Here again, Samsung's experience in ARM-based ASICs design most
likely gave it an edge in terms of the non-core design library, and the
degree to which these elements could be integrated while still
minimizing die size. As die size is directly correlated with chip cost,
Samsung also won on this measure.

IP entanglements: Despite its flexibility to use the same ARM core
with most any foundry, we believe that Apple would have found it
technologically and legally difficult to replace the non-core design IP
that Samsung had provided in the A4 generation
5
. In some
ARM-based chips, these non-core design elements account for more
than three quarters of the chip's total transistors.

Design workload: As wed asserted above, a move by Apple to use
TSMC's 28nm process technology would have Apple to migrate from a
gate-first architecture on the A4 to a gate-last architecture on the A5.
This alone would have been a great deal of workeven for a dedicated
IC design company, let alone a system company like Apple. However,
the replacement and optimization of the non-core IP elements on the
chip would have added greatly to the effort that would have been
needed in order for Apple to migrate to TSMC at the 28nm node.

Clearly there were a range of issues that would have made it difficult and/or
risky for Apple to migrate to TSMC for the A5. At the same time, we believe
that the marginal risk to Apple (in terms of potential IP loss or strategic
disclosure) of keeping its foundry business with Samsung would have been
relatively moderate in the A4 to A5 transition, given that the primary change
was simply an increase in the number of cores. Balanced against the litany of
risks involved with moving to TSMC and the management changes happening
at Apple during this timeframe, it's not terribly surprising that Apple chose to
maintain its foundry strategy of using Samsung.










5
It is important to remember that Apple's mobile processor progression started with using
standard Samsung APUs, and then migrating to an Apple-branded device (the A4) which was
largely designed by Samsung's ASICs design team. Samsung's central role in the A4's design
obviously meant that use of Samsung's IP and cell library was quite intensea factor that would
have made it difficult and legally complex for Apple to migrate quickly to use another foundry.
This would have been the case even more so because of the relative immaturity of the IP library
offerings for TSMC's 28nm processes at that point in time.



Foundry Sector Update
8 May 2012 8
TSMC is pulling out all the stops
Despite losing out to Samsung for the A5, we believe that TSMC is going to
great lengths to try and win Apple's A6 business. First, we believe that TSMC
has gone on a hiring spree, adding a great deal of design talent in both Taiwan
and Silicon Valley. This talent will be employed both in building up TSMC's IP
design library, enhancing its system-level know-how, and extending its SoC
design integration capabilities. Moreover, we suspect that TSMC's recent
pull-in of 20nm R&D, and ambiguity about whether or not it will pull FinFETs in
to the 20nm node are likely a reaction to either Apple's wishes or the fact that
Intel is currently offering its FinFET 22nm architecture to Apple. Finally,
TSMC's efforts to build advanced 2.5D and 3D packaging capabilities in house
can easily be seen as a response to Samsung's own very advanced, in-house
packaging resources. While all of these moves would no doubt appeal to
TSMC's other leading-edge clientele, the combined result of these moves at a
time when 28nm production is still quite challenging tends to support the view
that TSMC would very much like to win the next round.

and is likely to win at the 20nm node
We believe that all the available evidence points to TSMC winning Apple
foundry business at some point, given:

Apple's fractious relationship with Samsung
The challenges to Apple of moving from an ARM core to an Atom core
The logic of Apple staying with Samsung for the 32nm node, and
How that logic changes due to the design challenges beyond 32nm

However, it clearly doesnt make sense for Apple to get involved with TSMC at
the 28nm node. First off, TSMC does not have anywhere near enough 28nm
capacity available to accommodate a meaningful portion of Apple's wafer
demand. But secondly, TSMC's 28nm node wouldnt offer sufficient benefits
over Samsung's 32nm process to justify the re-design work involved. Thus,
we would expect to see Apple continue to make linear shrinks of its current A5
products on Samsung's 32nm process. The calculus changes however once
TSMC's 20nm process is ready. While there is a chance that Apple could be
enticed to use Samsung's 28nm node, the fact that this is not a linear-shrink
node means that the move from 32nm to 28nm within Samsung would not be
trivial. Moreover, the payoff in terms of die size, speed and power
consumption may not justify the cost and effort. Thus, we believe that Apple's
foundry business is TSMC's to lose, once it has its 20nm process up and
running.



Foundry Sector Update
Matt Cleary 9

We do not see either GlobalFoundries or UMC having any realistic chance at
this business. GlobalFoundries would most likely have or be happy to build
sufficient capacity to accommodate Apple's wafer demand. Moreover,
GlobalFoundries (like Samsung) is a licensee of the IBM-led Common Platform
process technology, and thus could provide Apple with a relatively easy
second source for Samsung-produced products. However, we suspect that
GlobalFoundries will need to again prove itself following the very public
challenges that have played out between it and AMD. Moreover, we believe
that Apple is not interested in second-sourcing nearly as much as it is
interested in extricating itself from its foundry reliance on Samsung. Moreover,
we doubt that Apple would leave Samsung to enter another sole-source
relationship with GlobalFoundriesagain for reasons of risk management. In
the case of UMC, we doubt that the company has either the advanced-node
timing or mass production capacity on leading nodes that Apple would look for
in its next foundry partner.

Thus, it really is a three-horse race between the world's three biggest
chipmakers: Intel, Samsung and TSMC. In the case of Intel, Apple would gain
access to the world's most advanced process technology and gain an instant
power efficiency advantage over every other player in the smartphone and
tablet markets. However, Apple would need to bend over backwards in order
to accommodate Intel's staunch devotion to the X86 architecture. In the case
of Samsung, Apple would enjoy continuity until it comes time to migrate to the
20nm nodeat which point the re-design efforts would be nearly as great as
they would be to go to any other foundry vendor. Moreover, Apple would
continue to be dependent on its biggest rival, and would continue to give its
rival early information about its processor strategy, cost and unit volumes.
Given these conflicts, we believe that TSMC offers Apple the most attractive
risk-reward proposition.

Perhaps the natural follow-up question would then be whether winning
Apple's foundry business would indeed be worth all of the effort. After all,
Apple's reputation as a highly demanding client far exceeds any evidence that
it is a highly lucrative client. This of course will come largely down to wafer
pricing at the point when Apple commits to bring its business over to TSMC,
and which party needs the other more. This will most likely not be a question
that we can answer before 2014.



Primasia research is available on Bloomberg (PRMA <go>), firstcall.com, factset.com and at www.primasia.com.
Please see the last page of this report for important disclaimers.
For sales & dealing
Tel: +886-2-2547-8881



Contact information

Primasia Securities Ltd.
3F, No. 99 Hu-Hsin North Road, Taipei, 10595 Taiwan

International Sales
Michael McGregor, VP
+886-2-2547-8881 MichaelMcGregor@primasia.com

Research
Matt Cleary, Head of Research
+886-2-2547-8878 MattCleary@primasia.com

Kai Chen, CFA, CPA
+886-2-2547-8885 KaiChen@primasia.com

Filia Lin
+886-2-2547-8879 FiliaLin@primasia.com

James Martin, CFA
+886-2-2547-8866 JamesMartin@primasia.com

Jason Skinner
+886-2-2547-8886 JasonSkinner@primasia.com

Freddy Yam
+886-2-2547-8876 FreddyYam@primasia.com

Please visit our Bloomberg research page at PRMA <GO>

Disclaimers
Information presented in this paper was obtained or derived from sources Primasia Co., Ltd. believes to be reliable, but Primasia makes no representations
as to their accuracy or completeness. Primasia accepts no liability for loss arising from the use of the material presented in this report. Primasia is under no
obligation to ensure that such other reports are brought to the attention of any recipient of this report. Primasia research reports are issued to professional
institutional investors only and are intended to serve as reference only. Primasia research reports are not to be considered as an offer or the solicitation of
an offer to sell or to buy securities or other financial instruments. Primasia will not treat recipients as its customers by virtue of their receiving the report.
Primasia Co. Ltd. (or one of its affiliates) may from time to time perform investment banking or other services or solicit investment banking or other business
for any company mentioned in this report. Primasia, its directors, connected parties, employees and/or persons associated with any of them may as at this
date or from time to time have interests in or interest in the acquisition or disposal of (including underwriting commitments) the securities or class of
securities mentioned in this report.
Primasia research reports are distributed in the United States only to Major U.S. Institutional Investors (as defined in Rule 15a-6 under the Securities
Exchange Act of 1934, as amended (the "Act") and SEC staff interpretations thereof). All transactions by a US person in the securities mentioned in this
report must be effected through a registered broker-dealer under Section 15 of the Act. Primasia is registered to conduct a securities business in Taiwan but
is not registered as, or an affiliate of, a U.S. broker-dealer.
The authors certify that the views expressed in this research report accurately reflect each analyst's (s') personal views about the subject security (ies) and
issuer (s) and that no part of his / her compensation was, is, or will be, directly or indirectly, related to the specific view(s) expressed in this report.
Information, opinions and estimates contained in this report reflect a judgment at its original date of publication by Primasia and are subject to change
without notice. Investors should consider this report only as one factor in making an investment decision. This report may contain the addresses of
third-party websites. Primasia has no, and shall not accept, any responsibility for the content contained therein. Additional information is available upon
request. If this report is being distributed by a financial institution other than Primasia to U.S. investors, that financial institution is solely responsible for its
distribution. U.S. clients of that institution must contact that institution to effect a transaction in the securities mentioned in this report or require further
information. This report does not constitute investment advice by Primasia, and neither Primasia nor its officers, directors and employees accept any liability
whatsoever for any direct or consequential loss arising from the use of this report or its content.
Data and views presented in this document have not been reviewed by, and may not reflect information known to, professionals in other Primasia Securities'
business areas, including investment banking, derivatives, and fixed income. Primasia Securities is involved in many businesses that relate to companies
mentioned in this report. These businesses include specialized trading and other financial services. Primasia Securities may engage in securities
transactions in a manner inconsistent with the statements contained in this report. Past performance should not be taken as an indication or guarantee of
future performance, and no representation or warranty, express or implied, is made regarding future performance.

You might also like