Professional Documents
Culture Documents
Extend low-output-voltage
STEVE EDN070104DI4012 FIGURE 1
switching regulators
input range
D Is Inside
74 A
utomatic latch-off circuit
saves batteries
76 S
witching regulator reduces
78 A
nalog divider uses
few components
VIN
4.5 TO 36V
C1
2.2 F
50V
2 VIN
NC
8 COMP
5 SHDN
NOTES:
C1: TDK, C3225X5R1H225M.
C2: TDK, C3225X5R0J476M.
L1: TOKO, D63CB, P/N: A916CY-100M.
D1: DFLS140L.
D2: CMDSH-4E.
1
BOOST
SW 3
IC1
LT1936
GND
4
FB
VOUT
3.3V AT 1.2A
L1
10 H
VC
7
R3
68.1k
C5
0.22 F
16V
D1
R1
10k
1%
R2
17.4k
1%
C7
100 pF
C2
47 F
6.3V
C4
18 pF
C3
150 pF
Figure 1 For efficient operation at output voltages of 3.3V or higher, a charge pump comprising D2 and C5 provides a
voltage boost that provides sufficient drive for IC1s internal switching transistor.
designideas
8 IN
C8
1 F
OUT
IC2
LT3010-5
SHDN
SENSE 2
NC
7 NC
NC
C9
1 F
D2
C5
0.22 F
16V
GND
4
1
VIN
3.6 TO
36V
2
C1
2.2 F
50V
BOOST
VIN
8 COMP
IC1
LT1936
5 SHDN
NOTES:
C1: TDK, C3225X5R1H225M.
C2, C8: TDK, C3216X5R0J476M.
C9: TAIYO YUDEN, GMK316BJ105ML-B.
C10: TAIYO YUDEN, JMK107BJ105MA.
L1: TOKO, D63CB.
D1: DIODES, DFLS140L.
D2: CENTRAL SEMI, CMDSH-4E.
GND
4
SW
FB
L1
4.7 H
6
D1
VC
7
R3
68.1k
VOUT
1.8V AT
1.4A
R2
10k
1%
R1
20k
1%
C6
100 pF
C2
47 F
6.3V
C7
47 F
6.3V
C4
18 pF
C3
150 pF
Figure 2 At outputs as low as 1.8V, efficient operation at low input voltages benefits from an added low-dropout regulator,
IC2, for the Boost pin, which extends the circuits input-voltage range.
edn070104di40122
DIANE
Figure 4 At 3.6V input (VIN) and 1.8V output, a voltage of 3.3V at IC1s boost pin
ensures that IC1s internal switch still operates in saturated mode.
designideas
On the input side, IC1s LBI/EN pin
connects to the battery through a voltage-divider network formed by resistors
R4, R5, and R10. The NCP1421 remains
enabled while the voltage on LBI/EN
Kieran OMalley, On Semiconductor, West Kingston, RI
exceeds 1.2V. When the voltage on
Although rechargeable batter- the flashlight, and it remains off until LBI/EN falls below 1.2V, the LBOies offer many advantages, they the user manually turns on the light detector pin goes low, switching on
can suffer damage and shortened ser- using switch S1.
Q3 and supplying current to Q1s base.
vice lives if theyre fully drained of
A 600-mA NCP1421 PFM step- When Q1 switches on, Q2s base goes
their charge. The circuit in Figure up synchronous-rectifier dc/dc-con- low and latches the virtual SCR (sili1 shuts off a battery-powered appli- verter, IC1, from On Semiconductor con-controlled rectifier) formed by Q1
ancein this instance, an LED flash- (www.onsemi.com) forms the heart and Q2,, an MBT3946DW1 integrated
light receiving power from NiMH of the circuit, but the basic design ap- dual transistor, IC2.
(nickel-metal-hydride) cellswhen plies to many other converters offerIn addition, Q1 latches the LBI/EN
the battery voltage falls below a preset ing similar features (Reference 1). pin low to prevent IC1 from turning on
limit. Although intended for an LED The NCP1421s key features include again upon load removal. To restart the
flashlight, this circuit can apply to any an integrated LBI/EN (low-battery circuit, switch S1 must interrupt the
battery-powered application. Withinput/enable)
and an open-drain LBO
circuits
STEVE
EDN061215DI3998fig1
FIGURE
1 power. Resistors R4, R5, and
out ensuring that the user will remove (low-battery output). Operating from R10 set the battery-voltage trip point
the batteries for recharging, this circuit two AA-size NiMH batteries, the cir- for the LBO detector. R5 also sets the
latches the flashlight off when the bat- cuit comprises the components of a current drawn from the battery when
tery voltage falls below the usable limit normal boost regulator: an inductor, the SCR activates. The circuit switchand thus provides a strong hint that it input and output capacitors, and a cur- es off when the battery voltage drops to
may be time to recharge.
rent-sense circuit to the right of IC1. approximately 1.3V, a point at which
Although a simple nonlatching volt- A combination of the LEDs forward the LBI/EN pin reaches 1.2V.EDN
age comparator can switch off power, voltage, which R3 and R2 divide down,
removing the batterys load causes a and voltage across current-sense resis- R e f e r e n c e
voltage rebound, and the comparator tor R1 produces a feedback voltage for 1 NCP1421 data sheet, www.
restores power, forcing the light into comparison with the NCP1421s 1.2V onsemi.com/pub/Collateral/
a flashing mode. This circuit turns off nominal reference voltage.
NCP1421-D.PDF.
S1
+
BP1
TWO AA
NiMH
_
BATTERIES
R6
10k
R5
10k
Q3
R9
1k
1
2N3906
R4
51k
2
3
Q2
R8
1k
IC2
MBT3946DW1
Q1
R7
10k
L1
6.8 H
C3
22 F
4
C2
470 nF
R10
910k
FB
OUT
IC1
NCP1421
LBI/EN
LX
LBO
GND
REF
BAT
7
6
5
R3
470k
C1
22 F
LED1
R2
100k
R1
2.2
Figure 1 This circuit extends the lives of rechargeable batteries by removing power at a preset voltage and preventing overdischarge.
designideas
Switching regulator reduces
motor brakes power consumption
A
BRAKE
TEMPERATURE
(C)
75
RPOWER
24V
POWER
34
22
1
2
TIME (MINUTES)
COMMAND
R1
K1
K2
BRAKE
R2
1k
IC1
C1
IC2
D1
1N4007
RBRAKE
RETURN
Figure 2 Actuating the brake release trips relay K1 and applies 24V to the brake.
An RC network delays K2s actuation. When normally closed relay K2 opens,
resistor R POWER reduces the voltage applied to the brake to the holding level.
designideas
24V
C1
220 F
D
STEVE
EDN070104DI4014 FIGURE 4
IN4007
3
C2
100 nF
GND
SHIELDED CABLE
IN
COMMAND
R3
10k
R4
1k
OUT
IC1
LM2575
ON GND
R2
D1
IN4007
D2
IN5821
FB
R1
IC2
BRAKE
C3
RETURN
Figure 3 Applying the command input switches on PWM regulator IC1, and capacitor C1 holds IC1s feedback input low,
applying a maximum output voltage of 24V to the brake until C1 fully charges. As the feedback voltage slowly rises to
1.23V, the regulators output voltage decreases to approximately 12V, the brakes nominal holding voltage.
present a problem if another application requires a precisely timed actuation pulse. After start-up, the regulator
delivers a 12V holding voltage, reducing the power demand to one-quarter of
the start-up value. As a bonus, the circuit uses inexpensive components, occupies only a few square centimeters of
pc-board area, and eliminates the need
for two electromechanical relays. Wiring for the PWM-drive voltage can radiate electrical noise unless the circuit
is adjacent to the brake. For remote installation, use a shielded twisted-pair
cable to minimize noise radiation.EDN
5V
ON
ACTUATION PULSE
COMMAND
VOLTAGE
(V)
HOLDING VOLTAGE
24V
1 TO 4 SEC
TIME (SEC)
Figure 4 After the actuation pulse applies full voltage to the brake, the regulators output gradually decreases to the nominal holding voltage.
12V
BRAKE
VOLTAGE
OFF
yielding a voltage that equals VB/2 multiplied by IC2s duty cycle. A second resistive voltage divider, R6 and R7, halves
the numerator-input voltage, VA, and
applies the signal to integrator IC1A,
along with the output from the lowpass
filter, R8 and C3. The integrators output voltage drives current through R2
into C1, creating a bias voltage that in
turn controls IC2s output pulse width
and forming a feedback loop.
In operation, the feedback loop forces IC2s duty cycle to equalize the voltages at IC1As Pin 2 and Pin 3, such that
VB multiplied by the duty cycle equals
VA, or the duty cycle equals the ratio
of VA to VB. IC2s output at Pin 3 comprises a 0 to 5V pulse waveform. The
feedback circuit controls this waveform and in turn drives a lowpass filter, R5 and C4, to generate a dc-output
designideas
voltage equal to 5V multiplied by the
pulse width, or VA35V/VB.
Aside from the tolerances of the resistors in divider networks R3 and R4
and R6 and R7, the primary source for
inaccuracy in the circuit arises from
R3
10k
VB
IN
5V
NC
the nonzero on-resistance of IC2s discharge switch and the inability of discharge-switch-voltage follower IC1As
output to reach 0V. Keeping the circuits resistance values high tends to
reduce this effect. A Spice simula-
5
4
2
6
8
CV
RST
TRIG
THR
VCC
IC2
TLC555
GND
3
OUT
7
DISCHG
+ IC
1B
LMC662
6 _
R1
10k
R8
10k
5V
C1
0.001 F
R4
10k
R2
22k
R5
10k
5V
VB
OUTPUT
VA
C4
0.1 F
IC1A
LMC662
4
1
C5
0.1 F
_ 2
C3
0.1 F
C2
0.1 F
VA
IN
R6
10k
R7
10k
Figure 1 This low-cost pulse-width modulator performs analog division. Inputs VA and VB control this low-cost pulse-width
modulator, and a lowpass filter follows it.
designideas
Edited By brad thompson
and Fran Granville
D Is Inside
GND
C1
0.1 F
C2
10 F
R8
3.9k
J2
J1 VCC
(RESET)PB5
(XTAL2)PB4
version, and the LM3916, which displays its input in volume units, for
audio applications.)
This application required more flexibility than the LM3914 offers, and it
uses a circuit based on an Atmel (www.
atmel.com) AVR-family ATTiny13 microcontroller, which features 1 kbyte of
program memory; a four-channel, 10bit ADC; and six general-purpose I/O
pins. Altering the circuits firmware allows linear or logarithmic scaling of
the 0 to 5V input-voltage range.
The circuit in Figure 1 continuously displays the input voltage in 20 levels. When closed, switch S1 freezes the
displayed reading at its then-current
level. Five of the microcontrollers six
I/O pins control all 20 LEDs and the
switch. Configured as an ADC-input
channel, the remaining I/O pin re-
in an instrumentation amp
EWhat are your design problems
and solutions? Publish them here
and receive $150! Send your
Design Ideas to edndesignideas@
reedbusiness.com.
ceives the analog-input voltage. The
microcontroller uses Charlieplexing, a
method of using I/O lines to drive as
many as N3(N21) LEDs, to drive 20
LEDs with only five I/O pins (references 2 through 4).
The firmware is written in C and
compiled using AVR-GCC, a freeware
C compiler and assembler available in
Windows and Linux versions at www.
avrfreaks.net. It uses the Tiny13s internal 10-bit ADC operating in free-
INPUT 0 TO 5V
1
3
2
IC1
(XTAL1)PB3
ATTINY13
7
(SCK)PB2
6
8
(MISO)PB1
VCC
4
5
GND
(MOSI)PB0
10
11
D210
12
D29
13
D28
14
D27
15
D26
16
D25
17
D24
18
D23
19
D22
NOTES:
ALL LEDs ARE SUPERBRIGHT, 3 MM DIAMETER.
S1 IS NORMALLY OPEN IN RUN MODE, CLOSED TO HOLD READING.
10
20
11
D11 D110
12
D19
13
D18
14
D17
15
D16
16
D15
17
D14
18
D13
19
D12
20
D11
R1
100
R2
100
R3
100
R4
100
R5
100
S1
Figure 1 Based on a low-cost microcontroller, this dot/bar LED driver operates in linear or logarithmic modes.
edn070118di40091
DIANE
designideas
running, interrupt-driven mode to
convert the analog-input voltage into
a digital number. Upon completion of
each conversion, the ADC generates
an interrupt that a subroutine reads;
the interrupt stores the ADCs converted output in a shared variable.
To provide a flicker-free display, an
internal timer generates a 1875-Hz interrupt derived from the 9.6-MHz system clock to drive the multiplexed
LEDs at a rate exceeding 90 Hz. Dividing the ADC count by a constant
yields a linear display of the input
voltage. A look-up table scales the
ADC count to produce a logarithmic
display. Figure 2 shows the logarithmic-conversion curve that defines the
look-up tables values. Versions of the
ATTiny13s control programs for linear and logarithmic scales are available for downloading from the online
version of this Design Idea at www.
edn.com/070118di1. You can modify
the source code to display only a particular subrange of the input voltage
of 0 to 5V. For example, you can specify a linear-display range spanning 1
to 3V or a logarithmic scale for input
voltages of 2 to 3V.EDN
1200
1000
SERIES1
800
ADC COUNT 600
(0 TO 1023)
400
200
0
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21
LED NUMBER
R e fe r e nce s
150
100
LED-OUTPUT
CHANGE (%)
50
0
50
100
10
15
20
25
Figure 1 An LEDs light output changes considerably as a function of its forward current, even within the sweet spot (oval area) of its nominal operating
current.
edn070104di39811
DIANE
designideas
VIN
5V
GND
L1
22 H
C3
10 F
VOUT TO
ADDITIONAL
LED STRIP
D1
BAT54C
C4
0.1 F
GND
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
C1
0.22 F
C2
0.22 F
LX
VIN
DIM/BRIGHT
CONTROL
INPUT
D2
IC1
3
FB
ENAB EL7630
(SC 70 PACKAGE)
GND
PGND
5
NOTE:
D2 TO D13: WHITE LEDs.
R1
5
R2
5
Figure 2 One method of driving an LED illuminator samples current through a string and adjusts the voltage across the
entire string to maintain a constant current.
C3
10 F
Figure 3 Even at a
constantedn070104di39812
forward current, an LEDs light
output correlates
strongly with temperature and can vary
by as much as 100%
over the entire operating-temperature
range (upper curve).
3
DIANE
2
CURRENT FEEDBACK
RELATIVE 1.5
LUMINOUS
INTENSITY 1
0.5
0
40
OPTICAL FEEDBACK
20
L1
22 H
6
VIN
LX
PGND
5
5
R1
100
VCC
C5
0.1 F
NOTE:
D2 TO D13: WHITE LEDs.
40
60
C2
C1
0.22DIANE
F
0.22 F
edn070104di39813
D2
D3
D4
D5
D6
D7
D8
D9
D10
D11
D12
D13
IC1
4
3
FB
ENAB EL7630
(SC 70 PACKAGE)
GND
20
VOUT TO
ADDITIONAL
LED STRIP
D1
BAT54C
C4
0.1 F
GND
DIM/BRIGHT
CONTROL
INPUT
2.5
EN
VCC
IC2
ISL29000
OUT
NC
GND
2
4
NC
R2
1k
Figure 4 Photosensor IC2, an Intersil ISL29000, resides near an LED to detect brightness fluctuations and provides compensating feedback to IC1, the current controller, which is an Intersil EL7630 pulse-width regulator.
edn070104di39814
DIANE
designideas
a function of temperature, which can
affect an illuminators performance
over an extended-temperature range
(upper curve, Figure 3).
To compensate for LED-output variations due to aging and temperature
fluctuations, the control loop needs
more information in addition to voltage or current data. Adding an ambient-light sensor and optical feedback
to the control loop can ensure that a
white LEDs light output remains uniform and consistent over time and temperature variations. An optical sensor
can measure the LEDs light-output intensity and provide a feedback signal
for the control loop, which can adjust
the current to produce a relatively constant light output. As the LEDs light
outputs decrease, increased current
compensates for aging and temperature-induced variations (lower curve,
Figure 3).
The circuit in Figure 4 includes an
optical-feedback loop based on Intersils
(www.intersil.com) ISL29000 light-tocurrent optical sensor, IC2, which senses
changes in the LEDs light output and
When a design based on multiple point-of-load dc/dc converters requires a specific power-supply-start-up sequence, wiring each converters power-good output to the next
that, during shutdown, the power-supply rails switch off in reverse order. Although various vendors provide programmable-sequencing ICs, these
components are usually too expensive
for cost-sensitive applications.
Offering an alternative to programmable-sequencing ICs, the circuit of
Figure 1 can sequence and cheaply
(continued on pg 92)
C3
0.1 F
C4
0.1 F
R7
10k
R6
10k
R5
10k
R4
10k
R3
33k
EN1
EN4
EN3
3.3V
IN DC/DC OUT
CONVERTER 1
EN
5V
INPUT
POWER
IN DC/DC OUT
CONVERTER 2
EN
1.8V
IN4
2.5V REFERENCE
+
IN DC/DC OUT
CONVERTER 3
EN
1.2V
IN3
1.8V REFERENCE
+
IN DC/DC OUT
CONVERTER 4
EN
NC
NC
NC
NC
OUT1
3.3V REFERENCE
2.5V
IN2
1.2V REFERENCE
+
OUT3
OUT4
1.2V REERENCE
IN3
IN4
OUT4
IN1
OUT3
OUT1
OUT2
5V
3.3V REFERENCE
+
IC2
MAX16029
2.5V REFERENCE
1.8V REFERENCE
COLY1
IC1
COLY2
MAX16029
COLY3
COLY4
CRESET TOL GND TH0 TH1 RESET NR
C2
EN2 VCC
IN2
OUT2
COLY1
COLY2
COLY3
COLY4
NC
NC
NC
NC
NC
NC
NC
5V
R1
100k
NC
R2
33k
C1
POWER OK
POWER ON/OFF
CONTROL INPUT
(ON=HIGH)
(OFF=LOW
Figure 1 Comprising a pair of inexpensive ICs, this circuit applies four supply voltages in a specified order at power-up
and then removes them in reverse order at power-down.
edn070118di40161
DIANE
designideas
(continued from pg 88)
and effectively monitor four powersupply rails. Four dc/dc power supplies
provide the application circuit with
3.3, 2.5, 1.8, and 1.2V. A quad supervisor circuit, IC1, monitors each rail,
generating the master POK (powerOK) signal and ensuring that, during
power-up, the next supply in the sequence does not turn on until the preceding supply voltage is valid. Using an
RC circuit comprising R1, R2, R3, and
C1, a second quad supervisor, IC2, creates the power-up and power-down sequences. Each supervisors internally preset voltage threshold eliminates
the need for external resistive-voltage
dividers.
Connecting the power-on/off signal
to 5V initiates a power-up sequence,
which charges C1 through R2. As the
capacitors voltage gradually exceeds
1.2, 1.8, 2.5, and 3.3V, each of IC2s
corresponding open-drain outputs
floats, thereby allowing the power supplies to turn on in the prescribed sequence. After a time delay, which C2
sets, and after all four supplies turn on,
the POK signal assertsthat is, goes
high.
To monitor the supply rails, allow
a voltage (V11V2) across the gain-setting resistor RG. Signal current in the
combined feedback path is thus proportional to the differential input voltage
and inversely related to RG. The output voltage, VOUT, equals G(V11V2)
that is, VOUT=2(1+R/RG)(V11V2).
You choose RG for the desired gain
G, which may range from a value of
2 (RG omitted) to a maximum that
is limited only by the op amps openloop gain, the allowable gain error, and
the required bandwidth. The Figure 1
circuit provides a 2-kHz bandwidth at
a gain of 2000; in general, the bandwidth is about 2 MHz/G. Whats more,
the output offset equals the difference
in op-amp offsets multiplied by G.
The dc CMR (common-mode rejection) is an important spec for instrumentation amps; in Figure 1, CMR depends primarily on matching values for
the four resistors labeled R. DC CMRR
designideas
V1
IC1A
VOUT
R
10k
R
10k
R
10k
IC1B
V2
NOTES:
VOUT =2(1+R/RG)(V1V2).
IC1 IS AN OPA2111.
10k
50 pF
EDN02201986FIG1
R
10k
RG
204
DIANE
designideas
Edited By brad thompson
and Fran Granville
D Is Inside
88 Open-door alarm prevents
accidental defrosts
IAVG
2.5 A
ICHARGE
15 A
CSS
R1
1M
COMP
Q1 2N3906
5V
VDD
ERROR
AMPLIFIER
PART OF
UC384X
ISOURCE
1 mA
TYPICAL
IB1
12.5 A
edn070201di40191
DIANE
dissipation
designideas
battery chargers that require a longer
soft start or a more accurately timed
soft-start ramp. Adding a second transistor to form a PNP-NPN compound
transistor maintains the slow-start
function. The circuits composite current gain (beta) consists of the product of Q1s and Q2s current gains, or
7036054200, which greatly exceeds
the single transistors current gain of
60. The higher current gain reduces the
charging currents base-current component to only 338 nA. Figure 3 compares the responses of both circuits. The
dark-green trace shows that the circuit
of Figure 2 produces the expected 1second soft-start time interval, and the
light-green trace illustrates Figure 1s
too-brief start-up time. Although the
circuit of Figure 2 yields a more accurate soft-start ramp, it also allows the
use of smaller capacitors, such as multilayer ceramics, to reduce pc-board area
and component cost.
Although a Darlington-connected transistor pair would also provide
high current gain, its output transistor cannot saturatea prerequisite for
keeping the off-state voltage at IC1s
COMP pin below 1V. The PNP transistor, Q1 in the PNP-NPN compound
connection in Figure 2 can saturate,
and the NPN transistor, Q2, maintains
its voltage-controlled saturation voltage at significantly less than 1V over
the circuits operating-temperature
range.EDN
IC1
UC384X
VREF
IAVG
2.5 A
5V
R1
1M
ICHARGE
2.838 A
CSS
COMP
2N3906
Q1
IB1
338 mA
6 A
Q2
100k
IB2
14.3 A
PART OF
UC384X
ERROR
AMPLIFIER
2N3904
NOTES:
BETA FOR Q1 IS 60 MINIMUM AT 20 A.
BETA FOR Q2 IS 70 MINUMUM AT 1 mA.
edn070201di40192
DIANE
Figure 3 The dark-green trace shows that the circuit of Figure 2 produces the
expected 1-second slow-start time interval, and the light-green trace illustrates
Figure 1s too-brief start-up time. (The 1t measurement equals 1 second.)
ISOURCE
1 mA
TYPICAL
IC
20.3 A
VDD
ones part to properly close the freezers door. This Design Idea describes an
alarm that provides a timely open-door
warning that can prevent an expensive
incident.
A decade ago, a designer would have
based this circuit on a type-555 timer
IC, but, today, a small microcontroller
provides a less expensive approach.
The alarm in Figure 1 detects an open
designideas
chip.com) PIC10F200. Because IC2
sleeps between door openings and
IC1
L4931CZ50
voltage regulator IC1 consumes lit3
1
IN
TOP VIEW OUT
tle quiescent current, the 9V alkaline
GND
battery that powers the circuit offers
2
a projected life of approximately one
+
month. When you activate the buzzC1
9V
er, it consumes approximately 2 mA,
0.1 F
a drive current thats directly available
from the microcontrollers output port.
At this current level, only an unencased piezoelectric element provides
1
NC
GP3 8
NC
NC
a sufficiently loud warning. In high2 V
VSS 7
IC2
noise environments, you can use a
DD
PIC10F200
+
C2
solid-state relay or a logic-level MOS6
3
C3 NC
GP2
NC
NC
10
F
FET to drive the buzzer directly from
0.1 F
5
4
GP1
GP0
the 9V battery.
S1
You can attach the normally open
REFRIGERATOR
RS49-532
switches and their actuation magnets
MAGNET
LS1
+
to the refrigerator or freezer using douBUZZER
S2
ble-sided adhesive-foam tape. The
RS273-074
FREEZER
switches are sensitive to magnet oriMAGNET
RS49-532
entation and position, making it easy
NOTES:
to find a mounting configuration that
RS DESIGNATES A RADIO SHACK PART NUMBER.
can detect a door thats open by as litS1 AND S2 ARE NORMALLY HELD CLOSED BY THEIR RESPECTIVE MAGNETS.
tle as 2 mm. Source code for the microcontroller is available for downloading
Figure 1 This circuit protects the contents of a laboratory freezer or refrigerator
STEVE
EDN070201DI3983
FIGURE 1
EDN070201DI3983 FIGURE 2
from the online
version
of this Design
by sounding an alarm when aSTEVE
door opens.
Idea at www.edn.com/070201di1.EDN
edn070201di39791
DIANE
One option for driving highbrightness LEDs uses the standard stepdown buck converter (Fig-
VIN
IN
CIN
RC
LX
IC1
BUCK
CONVERTER
PGND
COUT
FB
COMP
ure 1). The sense resistor, RS, generates a feedback voltage, VFB, that
sets the desired LED current, ILED, ac-
HIGHBRIGHTNESS
LED
IN
CIN
VFB
RC
RS
CC
Figure 1 A generic buck converter, IC1, provides constantcurrent drive for a high-brightness LED.
CC
LX
IC1
BUCK
CONVERTER
PGND
COUT
FB
COMP
GND
VIN
GND
VFB
RG1
IC2
HIGHBRIGHTNESS
LED
VSENSE
RS
RG2
designideas
voltage on the order of 1V, which dissipates high power in the sense resistor
(PSENSE5VFB/ILED). Reducing the sense
resistors value and adding an op amp
to boost the sensed voltage reduces
the power penalty (Figure 2). In some
cases, you can eliminate the op amp by
using a stable reference voltage, which
is available on some converter ICs, to
pull up the sense voltage (Figure 3).
The variation of
LED current averages approximately 5 mA over
an input-voltage
range of 4 to 5.5V.
VIN
IN
10 F
LX
10 F
IC1
MAX1951
10
VCC
100 nF
2 H
FB
R1
50k
VFB
HIGHBRIGHTNESS
LED
VSENSE
R2
100k
COMP
PGND
RC
REF
GND
100 nF
RS
CC
Figure 3 Adjusting the feedback signal improves the efficiency in this buckconverter driver for high-brightness LEDs.
0.41
0.408
0.406
0.404
ADJUSTED FEEDBACK
0.402
LED CURRENT
(A)
0.4
NORMAL FEEDBACK
0.398
0.396
0.394
0.392
0.39
3.5
3.75
4.25
4.5
4.75
INPUT VOLTAGE (V)
5.25
5.5
Figure 4 This graph shows LED current as a function of input voltage at halfload for the circuit of Figure 3.
0.81
0.805
ADJUSTED FEEDBACK
EDN070201DI3983FIG4
MIKE
0.8
0.795
0.79
LED CURRENT
(A)
NORMAL FEEDBACK
0.785
0.78
0.775
0.77
3.5
3.75
4.25
4.5
4.75
5.25
Figure 5 This graph shows LED current as a function of input voltage at full
load for the circuit of Figure 3.
EDN070201DI3983FIG5
MIKE
5.5
designideas
Equation 1
VLED I LED
.
VIN IIN
95
90
85
ADJUSTED FEEDBACK FULL LOAD
EFFICIENCY
(%)
80
70
3
3.5
4.5
5.5
designideas
Edited By brad thompson
and Fran Granville
D Is Inside
82 Analog switch converts 555
+ IN
NC
NC
IN
4
3
2
1
NC
9
10
11
12
from a 3V battery
to a panoramic-potentiometer circuit
90 Simple single-cell white-LED
driver in a CPLD
16
NC
NC
NC
14
NC
7
8
IC2
AD8222
VS
15
NC
NC
16
2
1
9
10
15
OUT
GND
14
11
12
13
VS
4
3
NC
NC
13
REF
GND
Figure 1 Based on two dual-section instrumentation amplifiers, this composite instrumentation amplifier offers a gain of
two with an error margin of less than 0.06% and requires no gain-setting resistors.
EDN070215DI3996FIG1
MIKE
designideas
that of the difference of the input
signals.
The circuits worst-case gain error
does not exceed the value of d253d1,
where, at a gain of one, d1 represents
the maximum gain error of one section of the AD8222. For B-grade ICs,
you calculate the value of d2 as d2#
IC2
V
14
IC2
4066
3
S2
S3
8
11
S4
10
12
NC
S1
1
NC
R1
1.3k
15V
13
RPOT
RVAR
R3
1.3k
R4
NC
R2
1.3k
IC1
555
OUTPUT
C
1 nF
(continued on pg 86)
IC2
S1
V
13
R2
R3
EDN070215DI4032FIG2
MIKE
15V
13
RPOT
816k
R3
1.3k
7
IC1
555
S2
6
2
C1
S1
4066
R1
1.3k
1
R1
IC2
2
IC1
555
1
OUTPUT
3
OUTPUT
R2
1.3k
C
1 nF
MIKE
S2
6
2
1
designideas
To obtain a continuously variable duty
cycle, Figure 2 shows how to connect potentiometer R4 to the common
junction of R1, R2, and R3. The output
waveforms duty cycle, DTC, follows
the equation: DTC5(R11R21RVAR)/
(R112R21R31RPOT), where RPOT is
the potentiometers end-to-end resistance, and RVAR is the fraction of RPOT
between the rotor and R1. As the equation shows, DTC depends linearly on
RVAR. Switch S1 comprises one section
of a 4066 CMOS quad bilateral SPST
switch, IC2.
You can use the circuit in Figure 3
Using a blue LED can pose problems when available power-supSergi Snchez, Federal Signal Vama SA, Vilassar de Dalt, Spain
ply voltages dont meet or exceed the
LEDs 3V forward-voltage drop. This
Design Idea shows how to drive a
3V
blue LED from a 3V battery or anothR2
er power supply. The circuit in Figure
J
3
10k
Q2
CTRL
1 uses the On Semiconductor (www.
3V
LED
BC807
onsemi.com) NCP1729 voltage inOFF
verter, IC1, to produce enough voltage
LED
BLUE
to drive blue LED D1. Transistor Q1
0V
ON
D1
ILED
serves as a constant-current limiter for
the LEDs forward current. When curR1
rent through the LED and RS increases
33k
RS
to a level that develops enough base68
emitter voltage to turn on Q1, Q1s col0.7V
ILEDI(RS)=
=10 mA.
lector draws current from the voltage
Q1
68
BC817
divider comprising R1 and R2 and forces IC1 to shut down. The voltage inverter restarts when the voltage drop
across RS falls below Q1s base-emitter
2
turn-on threshVCC
1
TABLE 1 led applied voltage old. Pulling tranV0
VBAT (V)
VOUT (V)
VBE(Q1) (V)
sistor Q2s base to
6
C
VOUT
5
ground through
1.8
0.41
11.5
SHD
C3
C1
R2 turns on the
IC1
10 F
2
0.46
11.37
10 F
NCP1729
circuit.
3
C
2.5
0.42
10.79
R2
In this appliC
2
VSS
100k
10 F
cation, the LED
3
0.4
10.27
4
exhibits a volt3.5
0.23
0.41
age drop of approximately 3.3V at 10 mA forwardbias current. Table 1 illustrates the
LEDs applied voltage, VBAT1|VOUT|,
Figure 1 This circuit uses the On Semiconductor NCP1729 voltage inverter,
and Q1s base-emitter voltage for variIC1, to produce enough voltage to drive blue LED D1.
ous battery-voltage values.EDN
designideas
Add simple disable function
to a panoramic-potentiometer circuit
Lawrence Mayes, Malvern, United Kingdom
tors and use an SPST switch to disable or enable the circuit. The circuit
in Figure 3 presents the same gain
characteristics as in Figure 1. Closing switch S1 enables the panoramic-potentiometer function, and open-
R5
10k
R1
820
R3
4.7k
MONAURAL
INPUT
STEVE
R7
1k
VM
GND
R2
820
R4
4.7k
R5
12k
R2
1k
R6
12k
R4
4.7k
VR
BYPASS
Figure 2 A DPDT switch removes the panoramic potentiometer but introduces wiring
complexity and transients.
VL
GND
S1
VR
R9
4.7k
R7
1k
VM
LEFTCHANNEL
OUTPUT
R8
4.7k
GND
VM
R3
4.7k
VM
VR
VL
VL
RIGHTCHANNEL
OUTPUT
GND
MONAURAL
INPUT
S1
GND
R6
10k
IN
VL
EDN070201DI3782 FIGURE 3
R1
1k
THE CIRCUIT
OF FIGURE 1
LEFTCHANNEL
OUTPUT
In audio-mixing applications,
one frequently required function involves mixing a monaural or
single-channel source into a stereosound field. Audio engineers refer to
a panoramic-potentiometer circuit as
a circuit that generates left and right
signals of correct amplitudes from a
monaural signal and places the signals
anywhere in a stereo-sound
field.
STEVE image
EDN070201DI3782
FIGURE
2
For the images loudness to appear independent of its final position, the derived left and right signals must add to
produce a constant-power signal rather
than a constant-voltage signal.
The widely used circuit in Figure 1
performs this function by dividing the
monaural signal between the two stereo channels and varying each channels gain between zero and M such
that at R7s centered position, each
channels gain is 0.707M. If you calculate component values to achieve
these conditions, then the circuit presents the remarkable property that, for
all positions of R7s wiper, the sum of
the powers in the left and right channels is constant to within 0.19 dB.
You can use a DPDT switch, S1, to
bypass the circuit and thus remove it
from the audio chain (Figure 2). As
an alternative, you can add two resis-
NOTE:
S1: OPENBYPASS; CLOSEDINJECT MONAURAL IMAGE.
RIGHTCHANNEL
OUTPUT
VR
GND
Figure 3 Adding resistors R7 and R8 and SPST switch S1 simplifies wiring and
minimizes transients.
designideas
Simple single-cell white-LED driver
uses improvised transformer
T1
100 H
1.5V DC
D1
WHITE LED
R1
220
EIGHT
TURNS
AWG #30
Q2
2N3904
Q1
2N3904
Implement a stepper-motor
driver in a CPLD
edn070215di37341
DIANE
Step 0
Step 1
Step 2
Step 3
Step 4
Step 5
Step 6
Step 7
A_n
B_n
designideas
produces a half-step. A high level on
the reset input puts the motor in a previously defined state and commands
the CPLD to ignore any incoming
clock pulses.
The CPLDs outputs comprise A
and A_n and B and B_n phases, each
of which controls one of the motors
two coils through external power drivers IC2 and IC3, which operate at the
motors nominal voltage (Figure 1). A
pair of Schottky diodes at each drivers output protects the drivers outputs
during inductive-voltage transients induced by reversing the windings currents. Using MOSFET drivers with
internal diodes, such as Microchips
(www.microchip.com) TC4424A dual
driver, may eliminate the requirement
for external diodes.
The CPLDs program comprises an
eight-state Moore finite-state machine
that corresponds to the motors eight
half-step states. Table 1 shows the drivers outputs for each machine state. In
full-step state mode, the state machine
executes only Step 0, Step 2, Step 4,
VCC
5V
IC2
TC4424A
D1
D2
A_n
CLOCK
CW/CCW
INPUTS
FULL/HALF_STEP
L1
VD
D3
TWOWINDING
STEPPER
MOTOR
L2
D4
L3
IC1
XC9536
L4
VD
RESET
IC3
TC4424A
B
VD
D5
D6
VD
D7
B_n
D8
edn070215di40241
VD
DIANE
designideas
Edited By brad thompson
and Fran Granville
D Is Inside
70 Low-cost current monitor
two operational amplifiers, one precision shunt-voltage reference, four capacitors and often a fifth in parallel
with R6, and seven resistors.
Figure 2 shows an alternative single-amplifier design in which IC3, an
LM4040 precision-voltage reference,
drives optocoupler IC2 with a stiff
positive-voltage source over a wide
current range. The voltage reference
suppresses any noise present on the
bias-supply rail. Variations in the reference and power-supply voltages appear in common mode at the amplifiers inputs and thus provide additional noise immunity. A resistive-voltage
divider comprising R2 and R3 reduces the reference voltage to equal the
power supplys regulated output voltage, which drives IC1s inverting input
through R1. Given its single voltage di-
vider, the error-amplifier circuit of Figure 2 provides the same output voltage
as the circuit of Figure 1 and requires
a single operational amplifier and precision shunt reference, four capacitors,
and six resistors.
Miller-effect coupling of collectoremitter-voltage transitions into a typical phototransistor-based optocouplers
high-impedance, optically sensitive
VCC
R4
IC3
LM4040
2.5V
C2
C3
R3
R6
R1
C1
0.1 F
4
TO REMAINDER
OF PWM POWER
SUPPLY
IC2
R7
IC1B
+
R5
14
1
IC1A
+
VOLTAGE
REFERENCE
FROM
POWER
SUPPLYS
OUTPUT
2
3
R2
C4
Figure 1 A conventional isolated-feedback circuit requires an extra operational amplifier and adds several passive components to a representative pulse-width-modulated power-supply design.
edn070301di37571
DIANE
designideas
base region introduces a bandwidthlimiting pole, which dramatically slows
the devices response time. Holding
the phototransistors collector-emitter voltage constant and allowing only
its collector-emitter current to change
provide an order-of-magnitude switching-speed improvement.
National Semiconductors (www.
national.com) LM5026 active-clamp
current-mode PWM controller, IC4,
provides a convenient method of re-
VCC
IC4
LM5026
R5
499
C2
100 pF
R6
1k
R4
10k
5V REF
REF
5k
TO REMAINDER
OF PWM POWER
SUPPLY
IC2
PWM
COMPARATOR
IC3
LM4040
4.1V
C4
1000 pF
R2
30.9k
C1
0.1 F
COMP 3
C3
0.033 F
IC1
LM8261
3
+
2
CURRENT
MIRROR
R1
24.9k
FROM
POWER SUPPLYS
OUTPUT
R3
127k
Figure 2 Clamping an optoisolators voltage excursion improves the PWM-regulator loops transient response.
edn070301di37572
Low-cost current
monitor tracks
high dc currents
Susanne Nell, Breitenfurt, Austria
DIANE
CORE REACHES
SATURATION
B
B(H)
0.3
MAGNETIC0.2
FLUX DENSITY
(TESLA)
PERMEABILITY
0.1
0
0
10
20
30
40
MAGNETIC-FIELD STRENGTH
(A/m)
50
designideas
an expensive approach to simple current monitoring.
This Design Idea describes an inexpensive, low-power current-measurement circuit thats useful for measurements of modest accuracy. As a bonus,
a filter inductor in a dc/dc converters
input line can double as a current sensor for the measurement circuit. A representative ferrite cores permeability
decreases as the core nears saturation
(Figure 1). The curves shape and values depend on the core materials characteristics and whether the core includes an air gap.
The cores permeability depends on
the magnetic-flux level in the ferrite
material, which in turn depends on
the amount of current flowing through
the cores windings. This circuit uses
a simple LC oscillator to measure the
cores permeability. A primary winding
A filter inductor
in a dc/dc con
verters input line
can double as a
current sensor for
the measurement
circuit.
CURRENT TO MEASURE
IN
J4
J3
IDC
L
T1
TOROID FERRITE CORE
SECONDARY: 50 TURNS
5V
5V
R2
47k
J2
FREQUENCY
OUTPUT
C4
100 nF
R3
6.8k
POWER INPUT
Q1
BC548
C2
22 nF
5V
J1
+
R1
15k
R4
2.2k
C3
47 nF
C1
10 F
10V
VCC
GND
Figure 2 Varying the direct current flowing through the single-turn primary
winding alters T1s secondary windings inductance, which in turn varies the
oscillators output frequency.
edn070301di37322
DIANE
45
40
35
30
OUTPUT
25
FREQUENCY
20
(kHz)
SIEMENS
FONOX
VAKUUMSCHMELZE
15
OUT
10
5
0
0
10
11
Figure 3 Current-versus-output-frequency plots for three manufacturers toroidal cores show the influence of the cores characteristics on frequency linearity and relative sensitivity.
designideas
To solve the problem, I designed a
flexible digital-interface circuit around
a MAX7301 I/O expander from Maxim Integrated Products (www.maximic.com) and a programmable linearpower supply comprising a MAX1658
adjustable linear-voltage regulator under the control of a MAX5400 256position, digitally programmable potentiometer. This circuit provides a
programmable interface matching the
logic levels of ICs that require 2.5, 3,
3.3, and 5V power supplies.
Two SPIs (serial-peripheral inter-
DIGITAL-I/O VOLTAGE
TO Q1 TO Q6
ADJUSTABLE REGULATOR
5.25V
+C
1
10 F
C2
0.1 F
3
6
7
2
2.5 TO 5V
4
IC3 VOUT 5
VIN
MAX1658
1
SET
SD
GND
8
C3
0.1 F
5.25V
R1
4.7k
Q1
SI1012R/X
CHIP SELECT
MAX5400
8
CS
H
IC2
7
SCLK MAX5400 W
4
DIN
L 1
GND
5
256-POSITION,
50-k TRIM
POTENTIOMETER
R8
41.2k
DIGITAL-I/O VOLTAGE
5.25V
R2
4.7k
Q2
SI1012R/X
C4
10 F
R7
86.6k
6
VCC
3
D
Q4
SI1012R/X
C5
0.1 F
28
R4
4.7k
27
VCC
CS
25
SCLK
26
DIN
4
DOUT
NC
SCLK
P12
P13
P14
P15
P16
S
5.25V
R3
4.7k
Q3
SI1012R/X
S
DIGITAL-I/O
VOLTAGE
Q5
SI1012R/X
R9
39k
R5
4.7k
D
DATA
TO
MICROPROCESSOR
CONTROLLER
1.8 TO 5V LOGIC
S
S
DIGITAL-I/O
VOLTAGE
CHIP SELECT
MAX7301
R6
4.7k
SCLK
P17
ISET
P18
P19
Q6
SI1012R/X
DATA
S
Figure 1 A programmable power supply sets voltage thresholds for a universal digital-I/O device.
edn070301di37951
DIANE
7
8
9
10
11
12
13
IC1
14
MAX7301AAI P21
15
P22
16
P23
17
P24
18
P25
19
P26
20
P27
21
P28
22
P29
23
P30
24
P31
GND
P20
5
6
20 PROGRAMMABLE
DIGITAL-I/O LINES
WITH VARIABLE
VOLTAGE SPANNING
2.5 TO 5V
designideas
faces) control all 20 of the MAX7301AAIs input and output pins and
voltage thresholds (Figure 1). Unlike some SPI-port expanders that include weak, resistor-only pullups, the
MAX7301, IC1, features true, activepullup, totem-pole outputs that can
source higher currents. When powered
by the SPI-programmable linear regulator, the MAX7301s outputs can deliver logic levels of 2.5 to 5V. The programming interfaces for both devices
comprise two three-wire (plus ground)
SPI connections that use only six of
the controllers signal lines.
Six Vishay (www.vishay.com) Si
1012R low-gate-voltage-threshold Nchannel MOSFETs, Q1 through Q6,
isolate the controllers fixed-outputvoltage levels from IC1s variable-input-threshold voltages. Although any
of several IC-level-translator ICs work
equally well, the inexpensive MOSFET
buffers occupy small footprints on the
interfaces PCB (printed-circuit board).
For operation at serial-interface clock
designideas
Edited By brad thompson
and Charles H Small
Linear-brightness controller
for LEDs has 64 taps
D Is Inside
72 Controlled power supply
Applications that include LEDs sary resistor values. Initially, you fix RA
76 Single-IC-based electronic
but no microcontroller or other and then calculate RB and RSENSE. The
circuit replaces mechanical switch
form of control intelligence can ben- assumptions are that you can neglect
78 Microcontroller drives H bridge
efit from a simple circuit that provides the maximum 6.93-mA error induced
to power a permanent-magnet dc
manual control of the LEDs light in- by the bias current at CS1; that the
motor
tensity. Among the devices suitable for value you choose for RA is much highthis purpose are mechanical (analog) er than IC1s equivalent resistance, for
EWhat are your design problems
and electronic (digital) potentiom- which the worst-case value at position
and solutions? Publish them here
eters. The digital potentiometer with 32 (top and bottom resistances plus the
and receive $150! Send your
up and down pushbuttons, an alterna- wiper series resistance) is 2.9 kV; and
Design Ideas to edndesignideas@
tive to the mechanical potentiometer, that RSENSE is much less than RB.
reedbusiness.com.
is smaller, more reliable, and usually
After setting R A at 25.5 kV,
less expensive (Figure 1).
VWIPER5(5V/63)3N, where N is the
IC2, a current regulator, drives a wiper setting (0 to 63). Then, you or 1.1 kV (5% series). At the botchain of LEDs with current as high as solve the equation (VWIPER20.204V)/ tom position, where VWIPER50 and
200 mA. In a standard application cir- R A 5 ( 0 . 2 0 4 V 2 I L E D 3 R S E N S E ) / R B . LED current is the maximum of 200
cuit, IC2s internal regulator senses the Solve the above equation for RB un- mA, brightness should be the maxidrop across current-sense resistor RSENSE der the conditions for which ILED50, mum available. Solving for RSENSE,
in series with the LED chain. Thus, IC2 which are N563 and V WIPER 5 RSENSE5[0.204V1(0.204V3(1.085/
controls current through the chain by 5V (top position): R B525.5 kV3 25.5))]/0.2A51.063V; 1.07V is a stanregulating voltage at the differential 0.204V/(5V30.204V)51.085 kV. dard value in the 1% series.
inputs, CS2 and CS1, to the set val- You can choose RB from the stanA graph of LED current versus tap
ue of 204 mV. Resistors RA and RB al- dard values of 1.07 kV (1% series) position shows a slight nonlinearity
low the output voltage IC1s Pin 6 to ad12V
just the current level.
IC1 is a 64-tap linear
digital potentiometer
whose resistance conRA
nects between ground
1
8
OUT
RH
+V
and V5, a well-reguIN
2
7
lated voltage that IC2
V5
IC2
UC IC1 DC
0.1 F
internally generates.
MAX16800
DS1869-10
RB
LEDs
3
6
RW
CS+
D
EN
You manually adjust
DOWN
UP
4
5
the RW control voltRSENSE
RL
V
CS
GND
age (Pin 6), a fraction
0.1 F
of V5, using the up
and down pushbuttons. A few assumptions allow a quick
Figure 1 This brightness-control circuit lets you manually adjust the LED brightness using the up
and simplified calcuand down buttons.
lation of the neces-
DIANE
designideas
because of the variation in resistance
you see looking into the wiper at different tap positions (Figure 2). At
the extreme ends of the potentiometer, you see only the 400V wiper resistance. As the wiper moves toward
midpoint, the resistance increases
toward a maximum of one-quarter
of the end-to-end resistance value.
Because IC1 is a 10-kV potentiometer, the resistance the wiper sees at
midpoint is about 2.5 kV in series
with RWIPER. This variation introduces a maximum linearity error of 8%,
which is negligible in most LED applications. IC2 offers thermal protection against excessive heat and overload conditions. For effective power
dissipation and to avoid thermal cycling, you must connect the exposed
pad of the package to a large-area
ground plane.EDN
12
250
200
150
LED
CURRENT
(mA)
100
50
15
22
29
VPS
R1
R1
Q1
Q1
R5
VPS
IC1
VIN
VIN1
R6
R2
IC1
VOUT
R3
VPS
Q2
VIN2
VOUT
R3
Q2
R4
VPS
R4
VPS
Figure 1 These simple circuits present the general methods of connecting the amplifier as an inverter or a follower to effect increased output voltage.
43
50
57
64
Figure 2 A plot of LED current versus tap position in Figure 1 exhibits only a
slight nonlinearity.
R2
36
TAP POSITIONS
Increasing the output voltage of IC operational amplifiers usually involves adding high-voltage external transistors. The resulting
circuit then requires correction to retain its operating characteristics. This
correction is difficult, especially for
precise amplifiers. This Design Idea
presents an alternative: the use of a
controlled power supply for the operational amplifier itself, which can
increase the output voltage for many
precise operational amplifiers without altering their operational characteristics. You can accomplish this
task by connecting controlled transistors to the power supply of the amplifier. Resistor dividers that connect
to the amplifiers output and bipolar
high voltages control these transistors
(Reference 1). The simple circuits in
Figure 1 present general methods of
connecting the amplifier as an inverter or a follower to effect increased
output voltage.
Dividers with resistors R1, R2, R3, and
R4 determine the scale of power supply
V9PS and 2V9PS for the amplifiers. If
the output voltage ranges from 622V,
designideas
resistor R15R25R35R45R, and VPS
and 2V PS are 28V, then voltages
V9PS and 2V9PS fall in the following
range, allowing for any additional loss:
V9PS5VPSR2/(R11R2)1VOUT(2VOUT)
R 1/(R 11R 2), and 2V9 PS52V PSR 3/
( R 31 R 4) 1 V OUT( 2 V OUT) R 4/
(R 3 1R 4 ) or 3V,V9 PS ,25V and
23V.2V9PS.225V. However, power-supply circuits include transistors,
which create junction resistance, affecting the amplifiers operation.
You can use supporting amplifiers to
reduce losses and increase the quality
of the output voltage of the primary
amplifier. The requirements for supporting amplifiers are simple. They
should have power supplies with opposite polarity from and lower applied
voltage than that of the main power
supply. They should provide the necessary power to the primary amplifier,
and their frequency range should be
slightly higher than that of the primary
amplifier. You can use supporting amplifiers to eliminate the transitional resistances of transistors in power-supply
connections. Thus, these circuits offer
flexibility across a range of amplifier
configurations (references 2 and 3).
Figure 2 shows an example of how
to connect supporting amplifiers as
followers. You derive output voltages V9PS and 2V9PS from resistor connections with the following equations:
V95VPS1R7/(R61R7)1VOUT(2VOUT)
R 6/(R 61R 7), and 2V952V PS1R 8/
(R81R9)1VOUT(2VOUT)R9/(R81R9).
If the supporting amplifiers have a
power supply of 28V for V PS1 and
22V for 2VPS2 for amplifier IC2, then
2VPS15228V, VPS252V for amplifier IC3, and the output voltage of
amplifier IC1, VOUT, is 24V or 224V.
Also, R65R75R85R95R, such that
V9528V30.5124V30.5526V. Further, 2V95228V30.5124V30.55
22V for VOUTMAX524V. V528V3
0.5224V30.552V, and 2V95228V
30.5224V30.55226V for VOUTMIN5
224V. You can achieve the greatest
voltage range by using separate power suppliesone for the normal voltages of the amplifier and one for the
regulated part of the output voltage
(Figure 3).
VPS1
R6
VIN1
VIN2
VIN3
VIN4
IC2
R2
R1
R3
R7
VPS2
IC1
R4
VOUT
R5
VPS2
R8
IC3
R9
VPS1
VPS1
R6
R7
R8
EDN070315DI4043FIG2
MIKE
IC2
R9
VIN1
VIN2
VIN3
VIN4
R2
R1
R3
R4
R5
VPS2
R10
VPS2
IC1
VOUT
R12
R11
V
R13
VPS2
VPS2
IC3
R14
R15
VPS1
Figure 3 You can achieve the greatest voltage range by using separate power
suppliesone for the normal voltages of the amplifier and one for the regulated part of the output voltage.
designideas
IC1 is the primary amplifier. Supporting amplifiers IC2 and IC3 have
asymmetrical power supplies. You
could use many types of amplifiers in
this circuit, but modern operational amplifiers may be preferable because they allow the use of the complete range of the power supply and
because they handle rail-to-rail input
and output. In this circuit, VPS1528V,
2 V P S 1 5 2 2 8 V, V P S 2 5 2 V, a n d
2VPS2522V. The voltages of the primary amplifier are V952(2VPS1)R6/
R 7 2(2V PS2 )R 6 /R 8 1(2V OUT )R 10 /
( R 91 R 10) [ R 7R 81 R 6( R 71 R 8) ] /
R 7R 8. Further, 2V952(V PS1)R 11/
R 12 2(V PS2 )R 11 /R 13 1(2V OUT )R 15 /
(R 14 1R 15 )[R 12 R 13 1R 11 (R 12 1R 13 )]/
R12R13. Set R65R105R115R155R, R75
R 8 5R 12 5R 13 52R, and R 9 5R 14 5
3R, such that R 6/R 75R 6/R 85R 11/
R 12 5R 11 /R 13 50.5, R 10 /(R 9 1R 10 )5
R 15/(R 141R 15)50.25, and [R 7R 81
R6(R71R8)]/R7R85[R12R131R11(R121
R 13 )]/R 12 R 13 52. Then, substitute
these values into the amplifier voltages yields V9514V11V1(2VOUT)0.5,
and 2V95214V 21V1(2VOUT)0.5.
Minimum and maximum values for
each power supply are1.5VmVm28.5V,
and 21.5VM2VM228.5V. The total
voltage of the power supply has a limit of 30V, ranging from 1.5 to 28.5V
and from 21.5 to 228.5V. This range
VDD
R2
10k
C2
0.1 F
11
13
2
SET
10 J
15
6 J
5
14
IC1
Q
CD4027B
CL
RESET
R1
47k
12
SET
2
IC2
CD4027B Q
CL
RESET
4
S1
1
C1
10 F
GND
edn070301di40351
DIANE
OUTPUT
designideas
the value of R1 varies the pulse period, and you can set R1 for different
types of pushbutton switches. Complementary outputs of IC2 are available, and you can use them to drive
power switches, such as transistors,
MOSFETs, relays, and shutdown pins
of switching regulators. The circuit
operates over a supply voltage of 3 to
15V and can control power to analog
and digital circuits.EDN
Figure 2 Trace 1 is the voltage across the clock of IC1, Trace 2 is the output of
IC1, Trace 3 is the voltage on capacitor C1, and Trace 4 is the output of IC2.
R1, R2, R7, and R8 increase the switching speed of their associated transistors,
and resistors R5 and R6 limit base-current drain from the microcontrollers
5V high-logic-level outputs to approximately 15 to 20 mA. Resistors R3 and
R4 set Q1s and Q4s saturation base currents. Their value depends on the motor-supply voltage and Q1s and Q4s dc
current-gain according to the following
equation: R35R4m[VCC2VBE(ON)(Q4)
2V F (D 6 )2V CE(SAT) (Q 2 )]/[(I MOTOR )/
(continued on pg 82)
VCC
E1
E4
VCC
VCC
B1
Q1
C1
C2
B2
Q2
Q4
C4
PERMANENTMAGNET
DC MOTOR
C3
Q3
GND
B3
GND
E2
E3
edn070315di40201
B4
DIANE
designideas
(continued from pg 78)
VCC
D1
R1
1k
Q1
PNP
R2
1k
R3
R4
D5
1N4148
D6
1N4148
R5
220
VINA
FROM
MICROCONTROLLER
R7
1k
Q2
NPN
D2
PERMANENTMAGNET
DC MOTOR
D3
Q3
NPN
R6
220
R8
1k
VINB
FROM
MICROCONTROLLER
GND
GND
NOTES:
D1, D2, D3, AND D4 ARE UF5401 ULTRAFAST RECTIFIER DIODES.
SEE TEXT FOR VALUES OF (VISHAY) R3 AND R4.
SEE TEXT FOR PART NUMBERS OF Q1 THROUGH Q4.
Figure 2 This improved H-bridge-driver circuit uses transistors in complementary pairs and requires only two low-level control signals.
edn070315di40202
D4
Q4
PNP
DIANE
designideas
Edited By charles h small
and brad thompson
PRINTER
OPTIONAL DC
POWER SUPPLY
PORT 2
PARALLELPORT CABLE
BNC
COAXIAL CABLE
CHANNEL 2
OUTPUT
50
TWO-CHANNEL
ANALOG OSCILLOSCOPE
10 PROBE
Figure 1 The basic test setup for generating Bode plots requires a network
analyzer, an analog oscilloscope with one or more vertical outputs, an optional
dc-bias power supply, and a printer.
edn070315di40291
D Is Inside
76 PRBS generator runs
at 1.5 Gbps
80 Use SystemVerilog
DIANE
designideas
Figure 2 A vertical amplifier with 100-MHz bandwidth fairly accurately measures a device under test operating at 10
MHz, plotting the magnitude (top-trace) behavior and phase (bottom-trace) behavior of a typical device in log-frequency
format spanning a 50-kHz to 15-MHz range. Markers show measured values at reference points.
designideas
EXCLUSIVE-OR GATE
Communications-equipment tests
use certain standard polynomials. For
example, x71x611 yields a PRBS period of 127 bits, x231x1811 yields a period of more than 8 million bits, and
x311x2811 yields a period thats 256
times longer. A PRBS with a longer
period generally produces a greater variety of data patterns that more thoroughly check the transmission systems
performance.
A simple shift register with feedback
from an intermediate stage can generate a PRBS. The flip-flops constituting
the register must run at a speed equal
to the transmission speed, which may
pose a problem if you want to build a
long-period PRBS generator that runs
at a gigahertz clock rate. A high-speed
serializer such as Texas Instruments
(www.ti.com) TLK2201B, which runs
at data rates as high as 1.6Gbps, offers
one potential solution to the problem.
However, instead of accepting a PRBS
in its natural fully serial format, the serializer accepts only 10-bit portions at
a time.
The circuit in Figure 1 illustrates
a 31st-order, parallel-PRBS generator that delivers 10-bit output segments and can easily adapt to other
PRBS orders and output widths. To
design the circuit, begin by drawing
a diagram with 31 flip-flops arranged
in rows containing nominally 10 flipflops. In this instance, the design comprises four rows, with only one flip-flop
in Row 1. Figure 1 shows the timing
relationships among the flip-flops and
the numbering convention.
The resulting structure forms a parallel shift register, with the fourth
row fed directly from the third row,
the third fed from the second, and so
on. Flip-flops 10 through 2 in Row 2
and flip-flop 1 in Row 1 receive their
inputs from the feedback path. This
arrangement ensures that flip-flops
in consecutive rows always deliver
their outputs 10 time instants apart,
and the generators clock thus runs at
one-tenth the speed of an equivalent
serial-shift-register PRBS implementation.
To determine the feedback signals, derive the equation that describes a standardthat is, serial
fdbk1
y(n+9)
ROW 1
1
D
Q
CLK
y(n1)
fdbk10
y(n)
fdbk9
y(n+1)
fdbk8
y(n+2)
fdbk7
y(n+3)
fdbk6
y(n+4)
fdbk5
y(n+5)
fdbk4
y(n+6)
fdbk3
y(n+7)
fdbk2
y(n+8)
ROW 2
11
D
Q
CLK
10
Q
CLK
9
Q
CLK
8
Q
CLK
7
Q
CLK
6
Q
CLK
5
Q
CLK
4
Q
CLK
3
Q
CLK
2
Q
CLK
y(n11)
y(n10)
y(n9)
y(n8)
y(n7)
y(n6)
y(n5)
y(n4)
y(n3)
y(n2)
ROW 3
21
D
Q
CLK
20
Q
CLK
19
Q
CLK
18
Q
CLK
17
Q
CLK
16
Q
CLK
15
Q
CLK
14
Q
CLK
13
Q
CLK
12
Q
CLK
y(n21)
y(n20)
y(n19)
y(n18)
y(n17)
y(n16)
y(n15)
y(n14)
y(n13)
y(n12)
ROW 4
31
D
Q
CLK
30
Q
CLK
29
Q
CLK
28
Q
CLK
27
Q
CLK
26
Q
CLK
25
Q
CLK
24
Q
CLK
23
Q
CLK
22
Q
CLK
y(n31)
y(n30)
y(n29)
y(n28)
y(n27)
y(n26)
y(n25)
y(n24)
y(n23)
y(n22)
designideas
PRBS generators output, which, for
a polynomial of x311x2811, yields:
y(n)5y(n231) xor y(n228). Using
that equation, you can derive the equations that describe feedback signals fdbk1 through fdbk10. That is, fdbk1: y
(n19)5y(n222) xor y(n219), fdbk2:
y(n18)5y(n223) xor y(n220),
fdbk10: y(n)5y(n231) xor y(n228).
For example, feedback signal fdbk1 derives from the output of a two-input
exclusive-OR gate driven by the outputs of flip-flops 22 and 19.
Listing 1, which is available at the
Web version of this Design Idea at
www.edn.com/070329di1, contains
the VHDL code that implements the
circuit of Figure 1 in either a CPLD
or an FPGA device. Lines 15 through
18 define the parallel-shift register,
and lines 21 through 23 define the
feedback circuits construction. The
circuit in this Design Idea fits into
an XC3S50 Spartan 3 device from Xi
linx (www.xilinx.com), runs at a 150MHz clock rate, and drives a Texas
Instruments TLK2201B serializer at
150 MHz through a 10-bit interface.
Xilinxs ISE 7.1i software compiled
the circuits VHDL files. Figure 2 dis-
plays an eye diagram for the serializers output and confirms the circuits
operation at 1.5 Gbps. The compilation software predicts that the circuit
should run at clock rates exceeding
300 MHz, but the TLK2201B limits
operation to 150 MHz.EDN
R e fe r e nce
1 Miller, Andy, and Mike Gulotta, PN
generators using the SRL macro, Application Note APP211, Xilinx Inc,
June 15, 2004, www.xilinx.com/
bvdocs/appnotes/xapp211.pdf.
in which each automatically generated test can exercise many features and
parts of the design. A modern verification plan lists features, functional
coverage points for the features, and
Thomas L Anderson, Cadence Design Automation, San Jose, CA
coverage status. You gauge verificaThe design-and-verification plans typically include a list of design tion closure by the number of coverindustry is at the intersection features or tests that verify features and age points you exercise rather than
of two important trends in the design test status. This approach has worked the number of tests you complete.
and verification of SOC (system-on- well with handwritten, directed tests
SystemVerilog provides all the feachip) devices: the adoption of Sys- because of the clear correspondence tures necessary to develop both handtemVerilog HDVL (hardware-descrip- between features and tests. However, written tests and constrained-random
tion and -verification language) and verification consists of writing and testbenches and to track progress tothe increasingly critical role for cov- running each test in simulation, per- ward closure. Most simulators have
erage metrics. The interest in System- haps after turning on some code cov- built-in code coverage for the new deVerilog is understandable; this IEEE- erage to help identify features you may sign constructs that SystemVerilog instandard language has the features for have missed in the plan.
troduces. Thus, code-coverage metrics
RTL (register-transfer-level) design,
Constrained-random-stimulus gen- are available for designs taking advanhigh-level modeling, testbench cre- eration requires a different approach, tage of the languages advanced RTL
ation, and assertion specififeatures.
cation (Reference 1).
SystemVerilog provides
minimum_response: cover property (@(posedge clk)
Listing 1 Minimum
response
(req ##1and
ack maximum
));
SystemVerilog also proseveral powerful specificamaximum_response: cover property (@(posedge clk)
vides constructs for designtion methods for functional
(req ##5cover
ack ));
minimum_response:
property (@(posedge clk)
and-verification engineers
coverage. The first is cov(req ##1 ack ));
maximum_response: cover property (@(posedge clk)
to specify functional coverer property, which is part of
(req ##5 ack ));
age pointsconditions that
the SVA (SystemVerilog Asdesigners must exercise for
sertions) subset of the lancomplete verification of the
guage. SVAs assertion feadesign. Designers increasingtures, including temporal
Listing 2 Payload sizes of incoming packets
ly use functional coverage
sequences, are also available
to supplement traditional
for functional coverage. For
covergroup payloads_seen (@(packet_received);
code coverage. The primary
example, Listing 1 ensures
coverpoint payload_size {
bins empty = { 0 };
driver for this evolution is
that the simulator exercises
minimum = (@(packet_received);
{ 1 };
covergroup bins
payloads_seen
the widespread use of conthe two extremesone and
bins maximum=
{ 1023
coverpoint
payload_size
{ };
bins empty
others= ={ default;
}
bins
0 };
strained-random-stimulus
five cyclesof a request-acendgroup :bins
payloads_seen
minimum = { 1 };
generation.
knowledge handshake. Both
bins maximum= { 1023 };
Traditional verification
simulators and many formalbins others = default; }
Use SystemVerilog
for coverage metrics
endgroup : payloads_seen
designideas
designideas
Edited By charles h small
and brad thompson
D Is Inside
battery-powered system
VOLTMETER
we would create an accurate FloV
THERMOCOUPLE
therm model that would allow
MEASUREMENT
completion of a properly rated
SYSTEM
heat-sink design for the FPGA.
The only nonobvious part of
Figure 1 To measure an FPGAs thermal
this process involved how to disparameters, apply controlled forward bias
sipate a controlled amount of
to its internal parasitic diodes, thereby dispower within the FPGA. Acting
sipating a known amount of power within
on a flash of inspiration, I conits die.
nected a nonfunctional PCB to a
edn070215di37801
DIANE
designideas
CPLD autonomously powers
battery-powered system
STEVE EDN070301DI4039
C1
100 F
R2
1M
GATE
Q1
IRLML6302
TWO
AA
BATTERIES
2
1
D1
1N914
3
D3
1N914
R4
3.3k
D2
1N914
OTHER
C1
COMPONENTS
0.1 F
R3
3.3k
RST
EPM240-T100
S1
PWR
CHARGE
R1
100k
LPM COUNTER
RESET
C2
10 F
Q0
SAVE
CHG
PWR
ENABLE
DONE
APPLICATION
LOGIC
CONTROL
BLOCK
CN
10 F
Q
LOAD
INC
CLR
<
QN
LOAD
INC
CLR
>
ALTUFM_OSC
4.4 MHz
25
HEADER
Figure 1 The CPLD comprises a control block, a 4.4-MHz internal oscillator, a 3-bit register, and six I/Os.
designideas
power consumption during samples
less than the t of R1 and C1. Off time
when the power is on and between capacitors C , C ,
equals R13C15100,00030.0001510
2
3
samples when the system, except for
sec.
and C4 act as nonthe RC circuit, is effectively off.
The device powers up in the powerFigure 1 shows the basic CPLD on/ volatile memory,
up state but moves quickly to the samoff timer. Q1, an IRLML6302 P-chanple state. The sample state reads the
nel MOSFET, is the power-control storing the count
value on capacitors C2, C3, and C4.
switch for the system. When the gate of previous power
These capacitors act as nonvolatile
node is at VCC, which R2 pulls up, the
memory, storing the count of previous
power to the CPLD and the entire sys- cycles.
power cycles. If the Register 1 value
tem is off, leaving only the RC circuit
sampled on C2 through C4 is less than
to use a minute amount of power. The
7, then the control block goes to increCPLD comprises a control block, a 4.4- save state is active for 100 msec, al- ment, and the Register 1 value increMHz internal oscillator, a 3-bit register, lowing the outputs to fully charge the ments by one. Then, the control block
and six I/Os.
10-mF capacitors. After 100 msec, the again goes to the save state to charge
Figure 2 shows the state machine control block goes to the power-down C2 through C4 to a new binary value,
of the control block. The outputs in state, which stops driving the charge 001. The device powers down again.
the state box are high, and all others and power nodes. R4 pulls the power On the eighth power cycle, or about
are low. The dashed line from power- node high, leaving R2 to pull up the 80 seconds after power-up, the control
down to power-up represents the time gate node.
block moves to the enable state, thus
delay, which the RC circuit comprisOnce the gate node reaches VCC enabling a new sample-and-transmit
ing R1 and C1 measures when the sys- 2VTQ1 at about 2.3V, Q1 shuts off power sequence. This process repeats every
tem is off. Switch S1 turns on and ini- to the system. All EPM240-T100 I/O 80 seconds. You can change the period
tializes the circuit. When S1 closes, D2 is in a high-impedance state and does by adjusting C1 and R1 and by changdrives the gate node low, consequently not affect the gate or charge nodes. The ing the Register 1 size and count beturning on Q1 when the gate voltage is charge node starts at VCC and begins to tween enable cycles. Based on an 800.7V below VCC. The EPM240-T100 discharge through R1 once power is off. second period comprising eight smallis then operating in the power-up state Once the charge node drops to 2.3V, er power-up samples, test, and powerless than 200 msec after Q1 applies D1 pulls down the gate node. Once down cycles, the duty cycle for power is
power. The power-up state drives the the charge node reaches 1.6V, the gate less than 3%; therefore, this approach
power node low, which holds the gate node reaches 2.3V, and Q1 turns on. increases battery life by as much as 33
voltage at 0.7V, keeping Q1 on after The time for Q1 to turn on is slightly times.EDN
the switch is open. The
power-up state also drives
RESET=0
RESET
POWER-UP
the charge node to VCC.
(POWER, CHARGE, CLEAR)
(POWER, CHARGE)
This action charges the
RESET=0
RESET=1
RESET=1
negative terminal of C1
to VCC. Because reset50,
SAMPLE
REGISTER=7
SAMPLE
(POWER, CHARGE,
the control block goes to
(POWER, CHARGE, LOAD)
SAVE, ENABLE)
the reset state and RegisREGISTER LESS THAN 7
DONE=0
ter 1 gets reset. Once S1
INCREMENT
opens,
Text the control block
(POWER, CHARGE,
goes to the enable state
INCREMENT)
and drives the enable signal to one.
DONE=1
The sample-and-transSAVE
(POWER, CHARGE, SAVE)
LESS
mit circuit then begins
THAN
operation and drives the
MORE THAN
100 SEC
100 SEC
NOTE:
done signal to zero. Once
ALL INPUT STATES NOT SPECIFIED ARE
the sample and transmit
POWER-DOWN
DONT CARE. ALL OUTPUT STATES
are complete, the done
SPECIFIED ARE ONE; THOSE NOT
SPECIFIED ARE ZERO.
signal becomes one, and
the control block goes to
the save state. The save
Figure 2 In the state machine of the control block, the outputs in the state box are high, and
state charges capacitors
all others are low.
C2 to CN based on the
value in Register 1. The
edn070302di40392
DIANE
designideas
Find hex-code values
for microcontrollers ADC voltages
Harry Gibbens Jr, Deafworks, Provo, UT
at VDD. Based on those hex-code values, there are a total of 256 ticks. The
input voltages between VSS and VDD
represent a straight-line linear conversion. In other words, the higher
the input voltage, the higher the hexcode value.
The difficulty is that a programmer
who needs to write assembly code for
a programming algorithm must know
what the hex-code value is for a different input-analog-voltage level1.6V,
for example. Referring to the microcontrollers specs and even contacting
RE
68
ONE-CELL
NICD OR
NIMH BATTERY
Q1
2N5179
Q2
2N5179
OUTPUT TO
LOW-INPUT-CAPACITANCE
FREQUENCY COUNTER
OR OSCILLOSCOPE
RL
68
RR
68
L
1 H
designideas
constant comprising the inductance
under test and resistors RL and RR. The
time the waveform takes to change its
state is directly proportional to the inductance, and, for one-half cycle, it
approaches THALF5L/100. The period
of a full oscillation cycle is twice that
amount, or TFULL5L/50. Solving for
the inductance yields L5503TFULL.
As an alternative, the frequency is inversely proportional to the inductance,
or fOSC550/L. Using a frequency counter allows measurement of inductance
as L550/fOSC.
The circuits finite switching speed
VCL
140
70
0
70
140
OUTPUT 210
VOLTAGE 280
350
(mV)
420
490
560
630
0
0.5
1.5
2.5
3.5
4.5
TIME (SEC)
Figure 2 Testing an inductor with a value of approximately 100 mH produces this output waveform.
MIKE
designideas
pervisors reset-threshold voltage.
You should consider several tradeoffs for the selection of values for R1,
R2, and C1. The value of the local bypass capacitor, C1, for the reset supervisor should be low enough to allow
the reset supervisor to detect transient
supply-voltage drops. The time constant of R2 and C1 determines this factor; in this example, the time constant
is 100V30.01 mF51 msec. This figure
is typically much higher than the decay
rate of a regulated power supply that
has lost power.
When you activate S1, current flows
through R1 and R2. In the circuit in Figure 1, the current flow when you activate S1 is 3.3V/(100V1100V)516.5
mA. This amount of current would
be OK for a line-powered system but
may not be OK for a battery-powered
system. You can reduce the current
by increasing the value of R1 and ensuring that the reset supervisors supply voltage drops below the minimum
reset threshold. You can also increase
3.3V
R2
100
3
R1
100
S1
MANUAL
RESET
C1
0.01 F
16V
VCC
IC1
ADM809TART
RESET
GND
DIANE
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
72 Microcontroller programmer
DC-SUPPLY RAIL
VDC
+
C2
10 nF
R1
47k
2.5W
320
1
C1
1 F
VCC
6
VB
D1
UF4007
VIN
IR53HD420
2
7
RT
VO
RT
33k 3
CT
C3
3.3 F
L1
350V 2.7 mH
LED1
D2
UF4007
+
D3
UF4007
COM
4
C4 +
100 nF
160V
IL
OSRAM
SFH484
C5
4.7 F
160V
LED64
IL
OSRAM
SFH484
D4
UF4007
CT
330 pF
edn070329di40471
DIANE
designideas
DC-SUPPLY RAIL
VDC
+
C2
10 nF
R1
390k
0.25W
320
1
C1
1 F
VCC
6
VB
D1
UF4007
VIN
IR53HD420
2
7
RT
VO
RT
33k 3
CT
C3
3.3 F
L1
350V 2.7 mH
T1
D6
1N4148
D2
UF4007
+
D3
UF4007
COM
CT
330 pF
LED1
C6
330 pF
350V
C4 +
100 nF
160V
IL
OSRAM
SFH484
C5
4.7 F
160V
LED64
IL
OSRAM
SFH484
D4
UF4007
D5
1N4148
Figure 2 Adding a transformer to the circuit of Figure 1 allows you to connect as many LEDs as necessary.
the ripple current low for high efficiency and long LED lifetime. LED manufacturers usually demand values of a
few percentage points. Such a low ripple current may be difficult to achieve
with one electrolytic capacitor, C5, but
a parallel combination with an additional foil capacitor, C4, works well
enough in most cases. The voltage at
the input of the LED rectifier is fairly constant during one oscillation period, so the inductor current has a triangular shape, which is good for EMC
(electromagnetic compatibility). The
equation for the average LED current is ILEDAVG5(3VDC2N3VFLED)/
(43f3L1), where VDC is the supply
voltage, N is the number of LEDs in
series, VFLED is the LED forward voltage, f is the oscillation frequency, and
L1 is the inductance of the current-limiting inductor.
Although the circuit of Figure 1
works well, it has some deficiencies
that the circuit of Figure 2 remedies by
adding C6, D5, D6, and T1, wound on an
EPCOS EP13 coil former, with an ungapped-EP13-core of T38 material with
an inductance of 7000 nH. Both the
primary and the secondary windings
are 90 turns of 0.2-mm wire; the secondary winding is wound on top of the
primary winding. Stray inductance is
not important in this case, and the in-
designideas
Photodiode amplifier exhibits
one-third the output noise
of conventional transimpedance amp
Glen Brisebois, Linear Technology Corp, Milpitas, CA
130nV/Hz AT 25C
1M
5V
3-pF
PHOTODIODE
VOUT
1M GAIN
(1V/A)
IDEAL
NOISELESS
OP AMP
5V
5V
54V
edn070412di40531
DIANE
33k
MPSA06
0.3 pF
5V
+
3-pF
PHOTODIODE
10M GAIN
(10V/A)
10M
1%
10k
9.09k
1%
1/4W
MPSA06
1k
1%
IC1
LTC6240HV
10k
5V
2.4k
VOUT
1M GAIN
(1V/A)
43k
5V
1k
100 pF
5V
designideas
cuits total current consumption must
be less than 10 mA. The programmer
uses two RS-232 pins: DTR (dataterminal ready) and RTS (request to
send) as a minuscule power source. The
3
4
LED
2k
VR1
LM2936
C1 +
100 F
C2
0.1 F
20
D1
D2
1N5817 1N5817
J1
7
RTS
2
3
4
DTR
5
DB-95
5
7
IC1
DS275
TXDUT
RXIN
1
DOUT
3
DIN
2 RX
3 TX
VCC
R1
1k
IC2
AT89C2051
20
LED1
VCC
IC3
AT90S1200/2313
11
19
19
18
18
17
17
RESET
SCK
MISO
MOSI
16
CR1
9600 BPS
CR2
X2
5 X1
3.58 MHz
GND
10
Figure 2 This microcontroller programmer gets its power from the PCs serial port.
DIANE
4 MHz
X2
X1
GND
10
designideas
outputs from these pins arrive at a pair
of Schottky diodes, D1 and D2, which
cause a forward-voltage drop of only
0.3V, and then to VR1, an LM2936
low-dropout-voltage regulator. Capacitors C1 and C2 smooth the output voltage. To reduce current consumption,
LED1 uses a 1-kW current-limiting resistor, and the control firmware turns
it on only after the programming task
completes; otherwise, LED1 is off.
limiting. This regulator limits the input current, as the capacitors charge, to
less than 500 mA to satisfy USB specifications, and it provides the boost
function to charge the capacitor to a
voltage greater than the 5V USB input. Once the supercapacitor charges,
the regulator maintains 7V at the output and can supply a continuous load
of approximately 300 mA in addition
to brief current surges of several amLOCATE
CLOSE TO
VIN PIN
USB
POWER IN
5V
+
82k
47 F
TANTALUM
2
VIN
4
11
47k
VOUT
12
BURST
27 pF
SS
10
GND
0.01 F
470 pF
9, 13
ILIM
RT
6
200k
270k
Figure 1 This circuit has a switching frequency of 1 MHz and an output voltage of 7V.
edn070329di40461
2M
FB 7
LTC3458
COMP
33k
10 pF
1
SW
SHDN
3 SYNC
8
4.7 H
4.7 F
OFF ON
DIANE
22 F
+ 4.7F
2.5V
+ 4.7F
2.5V
432k
+ 4.7F
2.5V
designideas
the current-limiting pin, ILIM,
sets the input-current limit. The
circuit contains all surface-mount
components, and the high switching frequency allows the use of tiny inductors and capacitors, thus
reducing total circuit size. You
should use good PCB (printedcircuit-board)-layout practices.
The series-connected Polystor
aerogel supercapacitors, available from various online sources,
are each rated at 4.7F at 2.5V and
feature a typical ESR (equivalentseries resistance) of 25 mV, thus
allowing high discharge current.
Low leakage current provides
long capacitor-voltage-holdup
time. The individual capacitor
voltages track within 100 mV
when charging and charge completely in less than 60 seconds at
the rated charge current. Figure
2 shows the capacitors voltage,
charge current, and resulting current drawn from the USB port
when charging.EDN
7
6
SUPERCAPACITORCHARGE CURRENT
CHARGE
CURRENT
(mA)
SUPERCAPACITOR
VOLTAGE
400
300
2 VOLTAGE
200
2
INPUTSUPPLY
CURRENT
100
0
20
(V)
1
40
60
80
TIME (SEC)
Figure 2 These curves show the super capacitors voltage and charge current. Note
that the charging current is 300 mAthe USB maximum allowable current draw.
edn070329di4046fig2
mike
designideas
Edited By charles h small
and Fran Granville
Microcontroller functions
as voltmeter
D Is Inside
76 Simple test setup performs
Noureddine Benabadji,
University of Sciences and Technology, Oran, Algeria
common-mode voltage
EWhat are your design problems
and solutions? Publish them here
and receive $150! Send your
Design Ideas to edndesignideas@
reedbusiness.com.
5.5V
C4
0.1 F
14
R4
10k
4
C1
27 pF
15
RB0
VIN
RB5
RB6
RA0
RB7
3 RA4
D
INPUT
MEASURE R7
1M
0.001V
0.999V
CLKIN
2 RA3
C3
0.33 F
R3
120
RB2
CLKOUT
RB3
IC1
PIC16F84A-20P RB4 10
16
VIN+
RB1
MCLR
20-MHz CRYSTAL
C2
27 pF
DS3
(HUNDREDS)
VDD
Q1
VSS
RA2
DS2
(TENS)
DS1
(UNITS)
DS0
(CHANNEL)
11
12
R1
17 470 TO 1.5k
13
R2
470 TO 1.5k
RA1
DB9F
1
2
3
4
5
6
7
8
9
18
S
R5
2.7k
R6
470
CALIBRATION
RB0
DCD
RXD
TXD
DTR
GND
DSR
RTS
CTS
RI
NOTES:
CURRENT LED IS 6.5 TO 2.1 mA.
DS3 AND DS1 ARE COMMON-ANODE, SEVEN-SEGMENT LED DISPLAYS.
DS2 AND DS0 ARE COMMON-CATHODE, SEVEN-SEGMENT LED DISPLAYS.
USE GRADE A ONLY FOR Q1.
Figure 1 By using common-anode and common-cathode, seven-segment LED displays, the I/O ports of a microcontroller
can drive the displays without using additional external components.
edn070329di40491
DIANE
designideas
input activates common-anode display
DS3. Setting the RA0 output low and
RB7 as the input activates commoncathode display DS2. With RA0 as the
input, setting the RB7 output high activates common-anode display DS1, and,
with RA0 as the input, setting the RB7
output low activates common-cathode
display DS0. While successively activating one display, only one line, RB0
to RB6, is configured as an output to
drive one LED segment. This design
no longer is limited to a VDD of 3V or
lower, because LEDs inversely connect
in parallel, so the forward voltage of
one diode limits the reverse voltage of
the other. Using a red-diode display requires 1.6V.
Figure 2 illustrates the new aspects
of this Design Idea. Q1, R5, and R6 act
as an equivalent variable resistor, RX,
which charges capacitor C3. Instead of
pulling RX to ground, just connect it to
one I/ORB0, for exampleof the
microcontroller. If RB0 is an output
with a low state, then the first analog
channel activates, and the measure subroutine counts pulses of charge as high
as 66% of VDD; then, a look-up table
converts this time delay to a three-digit
millivolt value. To expand the number
of analog inputs, you can connect as
many as seven variable-resistor circuits in a parallel configurationthat
is, each one connects between C3 and
one I/O line, RB1 through RB7. Notice that I/O lines connect to the display and also activate or deactivate the
analog channels. When one analoginput channel activates through one
I/O line with the output in the low
C3
0.33 F
D
VIN8
Q8
S
D
VIN2
BF245A
Q2
S
RA4
D
VIN1
BF245A
R7
1M
Q1
BF245A
S
R5
2.7k
R6
470
CALIBRATION
RB0
RB1
RB7
Figure 2 You can expand the number of voltages measured in Figure 1 by multiplexing additional transistor circuits.
state, the other lines are high-imped- 070510di1, gives a practical example
ance inputs, which deactivate all other with a PIC16F84A-20P. It is not optichannels. Meanwhile, the display is mized but is fully commented to make
edn070329di40492 DIANE
off.
it easy to translate to another MicroThe circuit in Figure 1 also adds a chip (www.microchip.com) midrange
simple serial link with no added com- device, such as a PIC16F628A, that
ponents. If you connect two I/O lines, supports a frequency of 20 MHz with
RA1 and RA2, configured as outputs, more I/O lines.EDN
to RXD (Pin 2) and GND (Pin 5) of an
RS232 connector, you can reproduce, R e f e r e n c e s
by software, positive and negative volt- 1 Benabadji, Noureddine, Microages with respect to ground of the PCs controller, JFET form low-cost, twoRS232 port. When RA1 is high and digit millivoltmeter, EDN, June 22,
RA2 is low, then RXD has a positive 2006, pg 71, www.edn.com/article/
voltage of 5V with respect to ground CA6343251.
of the PCs RS232 port. When RA1 is 2 Benabadji, Noureddine, Ultralowlow and RA2 is high, then RXD has a cost, two-digit counter features
negative voltage of 25V with respect few components, EDN, Aug 17,
to ground of the PCs RS232 port. 2006, pg 69, www.edn.com/article/
Listing 1, available at www.edn.com/ CA6360316.
IC1
PIC16F84A-20P
R3
120
RA3
designideas
RS
0.333
1
INPUT
5V
1
CIN
2.2 F
16V
MMJT9435
LED1
4
CS
COUT
10 F
6.3V
1
DRV
IN
BAT
C1
2000 F
6V
C2
2000 F
6V
C3
2000 F
6V
C4
2000 F
6V
C5
2000 F
6V
C6
2000 F
6V
RL
0100
IC1
ADP2291
300
8
CHG
TIMER
ADJ GND
7
5
2
CT
100 nF
NOTES:
CIN AND COUT ARE TANTALUM-CHIP CAPACITORS.
C1 THROUGH C6 ARE ALUMINUM-FOIL CAPACITORS.
CT IS A CERAMIC-CHIP CAPACITOR.
LED1 IS A 0805-SIZE, RED-CHIP LED.
Figure 1 A handful of off-the-shelf components allows you to qualitatively and quantitatively check the operation of a linear
lithium single-cell charger.
Equation
for 070412
DI3968the
( ) time
erly
functioning.
You estimate
that the LED is on using the following
equation:
V
V
1V
t LEDON C T + OUT T
10IPR
IPR
C
=
(9 VT + 1VOUT ),
IPR
designideas
VCC
VCC
R1
10k
1 VDD
GP5/ON
R2
10k
1
2
R4
330
10
R5
330
R6
330
R7
330
R8
330
QF 11
R9
330
CA
QG 12
R10
330
CA
R11
330
10
R12
330
R13
330
R14
330
R15
330
QF 11
R16
330
CA
QG 12
R17
330
CA
R18
330
10
R19
330
R20
330
R21
330
R22
330
QF 11
R23
330
CA
QG 12
R24
330
CA
QA
QB
QC
GP4/COUT
4
IC1
GP3/MC
PIC12F675
5
GP2
6
GP1
VSS
7
GP0
QD 6
IC2
74AC164N
QE 10
8
CLK
CLR
QH
0.5V INPUT
1
2
QA
A
B
QB
GND
13
QC 5
QD 6
IC3
74AC164N
QE 10
8
1
2
CLK
CLR
QH
QA
QB
QC
CLK
CLR
QH
DS2
HD-A101
13
QD 6
IC4
74AC164N
QE 10
NOTES:
DS1, DS2, AND DS3 ARE LITE-ON LSHD-A10s.
BYPASS EACH ICs VDD PIN (WHERE VCC
CONNECTS) TO GROUND WITH A 0.1-F
CAPACITOR; THESE CAPACITORS ARE C1
THROUGH C4.
DS1
HD-A101
13
DS3
HD-A101
NC
Figure 1 A low-cost microcontroller captures an analog voltage, converts it to a peak reading, and displays the results in
decimal format on LED displays.
edn070215di37431
DIANE
designideas
a three-digit, seven-segment display.
Although applicable to other microcontrollers, the circuit in Figure 1 uses
a Microchip (www.microchip.com)
PIC12F675 controller and three multiply sourced 74AC164 serial-input/
parallel-output shift registers.
The circuit accepts incoming signals
of 0 to 5V. The microcontroller, IC1,
performs the analog-to-digital conversion and subsequently converts the
binary-voltage value to BCD (binarycoded-decimal) format. Next, the microcontroller converts the BCD values
to hardware-specific seven-segmentdisplay masks and shifts the masks to
the 74AC164 registers, IC2 through
IC4, which in turn drive the seven-segment displays.
Available for downloading from the
R e fe r e nce
Raynus, Abel, Squeeze extra
outputs from a pin-limited microcontroller, EDN, Aug 4, 2005, pg
96, www.edn.com/article/
CA629311.
Amplifier cancels
common-mode voltage
W Stephen Woodward, Chapel HIll, NC
15V
200k
1/10W
200k
1/10W
0.047 F
50V
200k
1/10W
200k
1/10W
200k
1/10W
VCM
2k
GROUND
1/10W
REFERENCE
FROM
VOLTAGE
SOURCE
0.1 F
10V
0.1 F
10V
20k
1/10W
A1
OP400A
0.1 F
10V
0.1 F
10V
20k
1/10W
20k
1/10W
20k
20k
20k
1/10W 1/10W 1/10W
20k
1/10W
13
15V
0.047 F
50V
VDD
S1
S2
S3
S4
S5
S6
S7
S8
EN
A0 1
A1 16
A2 15
VEE GND
H5508B
0.047 F
50V
ADC_MUX_A0_1
ADC_MUX_A1_1
20k
1/10W
2k
1/10W
ADC_MUX_A2_1
VOUT
A2
OP400A
8
14
15V
0.047 F
50V
GROUND-NOISECANCELLATION
AMPLIFIER
1.82k
1/10W
VIN8
0.1 F
10V
15V
4
5
6
7
12
11
10
9
3
200k
1/10W
0.1 F
10V
VIN7
20k
1/10W
20k
1/10W
2k
1/10W
2k
1/10W
Figure 1 Amplifier A1 amplifies and inverts the common-mode voltage by a factor of 110. Then, the circuit applies this signal to an array of
passive summation networks. An analog multiplexer selects the desired input signal, and op amp A2 supplies a compensating gain.
EDN070426DI4059FIG1
MIKE
designideas
route signals between remotely located
circuits, the CMV differential appears
as additive noise and offset, corrupting
the desired signals.
Many approaches exist for eliminating CMV errors. These methods include the brute-force approach of using
massive amounts of copper in ground
interconnections, fully differential instrumentation-amplifier signal conditioners, and galvanic isolators. Each
has its place, depending on such factors as the severity of the CMV problem and the number of signal channels
needing CMV remediation. One of
the most popular and effective CMV
remedies is differential amplification,
in which you perform an analog subtraction to remove the CMV component from the signal. The downside of
this method is that it requires a dedicated amplifier for every signal channel. The circuit in Figure 1 is a variation on that same differential-amplifier
idea, but it combines two shared CMV
amplifiers with simple passive-resistor
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
104 Precision integrator sparks
RF
499
IN
RS
200
IC1
AD8007
6
A
D1
D2
6
4
100 nF
IC2
ADCMP601
OUT
47 nF
5
LE/HYS
VSS
2.5V
NOTE: D1 AND D2 ARE HSMS-282Ls OR HSMS-282Cs.
Figure 1 Comparator IC2 produces an output that switches state at the positive and the negative peaks of the input-voltage waveform.
current-ratio-to-frequency
converter
108 Accurate USB 2.0
designideas
connect it as a voltage follower. The
values of the RS and RF resistors are
those that Reference 2 recommends
for a gain of 1. You neednt worry about
instability due to the presence of antiparallel diodes in the feedback path of
the current-feedback amplifier. These
diodes increase the value of feedback
resistance to more than 499V. Whenever the input voltage is only approximately 0V, the frequency-gain response
of IC1 for an RF value greater than
499V remains flat.
An analysis of the response of the
voltage follower in Figure 1 to a harmonic input voltage uses v/vT and
v52pf, where f is the input-voltage
frequency and vT is the radial transition frequency of the amplifier. At the
radial-transition frequency, the ratio
of ZM (the
magnitude
of the amplifiers
Equation
for 070412
di 3976
transimpedance) to RF drops to one.
This simplification leads to an equation for the delay, tD, in Figure 2:
= 2
VF R F
,
Vm rm 0
2 =W;
T
WtD=.
VIN(t)
VM
0
T
4
VA(t)
0
VOUT(t)
tD
2VF
where V
is the forward voltage
of diF
EDN070412DI3976FIG2
MIKE
ode D1, Vm is the amplitude of input
voltage, Rm0 is the dc transresistance
of the current-feedback amplifier, and
R e fe r e nce s
Rail-to-Rail, Very Fast, 2.5V to
5.5V, Single-Supply TTL/CMOS
Comparators, ADCMP600/
ADCMP601/ADCMP602 Preliminary Data Sheet, Analog De
vices, March 2006, www.analog.
com/UploadedFiles/Data_Sheets/
378991928ADCMP600_1_2_prra.
pdf.
2 Ultralow Distortion High Speed
Amplifiers, AD8007/8008 Data
Sheet, Analog Devices, 2003, www.
analog.com/UploadedFiles/Data_
Sheets/AD8007_8008.pdf.
1
second phase starts: S1 opens, and input current I1 discharges CINT. The
DTB for the output voltage to assume
the threshold voltages value is then
CINT|VFIN2VTH|/ I1, and the comparator generates a new trigger command
to the monostable so that a new cycle
can start. Manipulating the previous
equations yields: I1/I25DTAf, where
f5(DTA1DTB)21. This equation states
that the generated output signal, a train
of pulses, has a frequency, f, proportional to the I1/I2 current ratio. The accuracy of the monostable directly affects
the accuracy of the system. Conversely,
the integrating capacitors and threshold voltages values do not influence
the accuracy if they maintain constant
values at least in the 1/f time scale.
You can increase the accuracy of the
circuit in Figure 1 by modifying the
section that generates the constant,
DTA-wide pulse. The circuit in Figure 2
generates a DTA-wide pulse using three
(continued on pg 108)
designideas
VCC
5V
CINT
14
60 pF
10 pF
4
I1
S2
2 S1
OUT 10
IVC102
S2
11
VTH
DGND
13
12
VCC
9
5V
4
10 nF
10k
10k
10k
2N2222
CTRL
10 nF
LM311
TRIG
100k
15V
8
VCC
RST
5V
OUT
THRES
NE555
7
DISCH
TA
(NEGATIVE
TA TO CLOSE S1)
1
WHEN
MEASUREMENT
ENDS
15V
15V
GND
1k
33k
5V
VO
S1
5V
5V
5V
10k
5V
I2
5V
30 pF
OUT
RST
OPTIONAL
Figure 1 This circuit allows you to obtain an output-signal frequency that directly relates to the ratio between
the two input currents.
5V
S1 CLOSED
100 F
14
VTH
1.2 F
4 5
10k
S1
VO
VTH
10
11
5V
16
CLOSE S1
LM311
74HC00
HCF40110
7-SEG
OUT
7
100 F
5V
0.1 F
10
11
LE 6
RST 5
VSS
N=1000 OPEN S1
HCF40110
7-SEG
OUT
7
5V
0.1 F
16
VDD
UP
CARRY
BORROW DW
T
10
11
S1
VSS
VDD
UP
CARRY
BORROW DW
HCF40110
7-SEG
OUT
7
5V
0.1 F
16
LE 6
RST 5
10
11
16
VDD
UP
CARRY
BORROW DW
HCF40110
LE 6
RST 5
VSS
7-SEG
OUT
7
5V
0.1 F
10
11
VDD
9
UP
CARRY
BORROW DW 7
4
HCF40110
LE 6
RST 5
7-SEG
OUT
7
VSS
0.1 F
16
11
VDD
9
UP
CARRY
BORROW DW 7
FCK
INH
LE 6
RST 5
VSS
10
HCF40110
7-SEG
OUT
7
LE 6
RST 5
LATCH
VSS
5V
330
HUNDREDS
TENS
UNITS
1/10
1/100
1/1000
Figure 2 To the circuit in Figure 1, this design adds a BCD counter to obtain direct readouts on seven-segment LED displays.
RESET
COUNT
INH
1N4148
74HC14
16
9
10
11
15V
0.1 F
VDD
UP
CARRY
BORROW DW
22 F
15V
15V
5V
1k
12
10k
1N4148
S2
DGND VCC
100k
12
13
9
100 F
14
LATCH
IVC102
6 13
1 SEC
RST
5V
S2
2 S1
11
5V
DIANE
0.1 F
10
edn070426di40621
10
10 pF
5V
0.1 F
10-SEC LATCH
30 pF
I2
S1 OPENED
60 pF
I1
VO
edn070426di40622
DIANE
designideas
(continued from pg 104)
L1
2.2 F
1
2
X1
MINI USB
3
4
5
1
2 USBD
C1
100 nF
3 USBD
4
1
VCCIO
19
VCC
C2
4.7 F
USBD 15
USBD
USBD 14
USBD
5
12
13
23
25
29
S1 S2 S3 S4
S1 S2 S3 S4
SCK
MISO
SS#
4
SCK
2
SDI
6
SDO
5
SS#
VCC
IC2
AD7814
3 SLEEP#
C3
100 nF
C
GND
18
26
27
28
16
33
NC
NC
NC
NC
NC
NC
IC1
FT232RQ
RESET#
TEST
OSCI
OSCO
3V3OUT
BULK
Figure 1 This circuit for a USB temperature sensor works in default UART and bit-bang modes.
TXD
DTR#
RTS#
RXD
RI#
DSR#
DCD#
CTS#
CBUS0
CBUS1
CBUS2
CBUS3
CBUS4
AGND
GND
GND
GND
30
31
32
2
3
6
7
8
MISO
SS#
SCK
22
21
10
11
9 SLEEP#
24
4
17
20
designideas
WRITE DATA
(35 BYTES)
048 000 000 000 000 000 000 000 000 000 000 000 000 000 000 000 000 048
008 008 008 008 008 008 008 008 008 008 008 008 008 008 008 008 008
SS#
SCK
MISO
D9
D8
D7
D6
D5
D4
D3
D2
D1
D0
READ DATA
Figure 2 The timing diagram for the AD7814 shows considerable data overhead to download 10 bits of temperature data.
To comply with the USB specification, you power down the temperature
sensor using the sleep signal while the
USB logic is in suspend mode. The sensor device receives its power through
the USB and draws only about 20 mA.
On the software side, you need only to
open the device and switch the chip
into the bit-bang mode. After that action, you can send the fixed pattern to
emulate an SPI master from the host
PC to the FT232 (Figure 2). The software returns a data array of the port
samples of both the PC and the FT232,
whose ports are inputs and outputs.
Because the FT232 chips come with
a unique serial number, you can identify the correct device within a multi
chip environment. So, you can put
more than one FT232-based sensor
onto a computer. The core of this Design Idea is not limited to measuring
temperature. You can use other sensors with digital interfaces, as well.
To get the current temperature, you
must write 35 fixed bytes into the port
register. The sensor expects 16 clock
pulses on the SCK line while the SS#
is low. The clock frequency is 1 MHz.
The device samples sensor-read data
during the write operation. After the
protocol on the back end finishes, you
can retrieve the data from the host PC
for further processing. To get just 10
bits out of the sensor involves considerable data overhead (Figure 2). The
dashed-line arrows mark the bytes,
which need no further evaluation.
This Design Idea realized two sample
circuits on a two-layer PCB (printed-
designideas
15V
14
60 pF
6
5
4
3
S1 11
DIV_10
10 pF
S2 12
RESET
13
DIGITAL GROUND
S1
IX
30 pF
S2
10
IVC102
VA
VB
9
DUT RX
5V
15V
5V
10k
15V
START
7
25k
25k
1NA105
25k
VREF
10V
VA
100 V
VB
10V
R1
100
3
2
LM311
R2
10k
15V
25k
5V
5V
10k
STOP
15V
3
4.7k
15V
edn070426di40571
VO
LM311
15V
DIANE
designideas
Edited By Charles h small
and Fran Granville
D Is Inside
84 Recycle precision potentio
3
IC2
ADR391 4
100 nF
S1
RAGND
1k
7
IC1
AD8661
CAPACITOR
UNDER TEST
(a)
GND
A
NOTES:
DEVICE
UNDER
TEST
(b)
CADD
CADD
tMEAS .
IEXPECTED
V
VA0.1 TO 0.5V.
GND
edn070524di40631
DIANE
designideas
the value of CADD should be at least 10
times the value of the parasitic capacitance of the DUT at 0V.
Further, the fixture in Figure 1b can
also determine the values of resistors of
for DI
24 The
tensEquations
of megohms
to 4063
about 52TV.
current in the equation IO5C3DVO/
tMEAS, in this case, is the current flow1
ingEquation
through resistor
RAGND at approximately the reference voltage. The resistance is roughly:
R AGND VREF
t MEAS
,
C ADD VO
C ADD VO
Equation 2
V
1 t
R AGND = REF MEAS .
VO 2 C ADD
Equation 2
V
1 t
R
=
.
Recycle
potentiometers
2 C
V precision
as useful voltage sources
AGND
REF
O
MEAS
ADD
designideas
One-half of the LT1881 is the voltage output of the volt box. The other
half is necessary to drive the two inputs to IC5, an LT1017 dual comparator that has an input-bias current of
15 nA per comparator. Q1 to Q6 form
a 100mA current sink and source referred to the negative supply and positive supply, respectively. You adjust
potentiometers R1 and R2 to set up a
window around the output voltage that
is compared with the output of IC2A,
7.5k
11.5V
TRIP POINT
2
0.1 F
IN
OUT
IC1
LT1236-10
TRIM
GND
4
0.1 F
IC4A
LT1017
6
3
75k
5 10V TRIM 2
0.1 F
2M
50k
50k
4.7 F
1 F
10k
Q7
RED
2k
POWER
V
S1
15V
10 AA CELLS
3V
TWO AA CELLS
2k
BLUE
2k
IC
4B
LT1017
EXTERNAL
( CENTER OFF)
BATTERY
GREEN
200k
100k
200k
V
V
OPTIONAL
EXTERNAL
SUPPLY
S2
VREF
PRECISION
POTENTIOMETER
OR KELVIN-VARLEY
DIVIDER
IC
2B
Q4
2N3904-6
1k
Q5
2N3904-6
0.1 F
VREF
S2
IC3
LT1010CN8
LT1881
0.1 F
V 0.1 F
S2
VOUT
V
IC
R1
100
5B
V
Q6
2N3906-4
Q1
2N3906-4
1k
1k
S3
IC
5A
LT1017
R2
100
Q2
2N3906-4
POSITIVE
POLARITY
NEGATIVE
LT1017
100k
1k
IC
2A
LT1881
1k
Q3
2N3906-4
1k
Figure 1 You can recycle old 10-turn potentiometers as precision volt boxes. The LEDs provide a visual indication that
edn070524di40651 DIANE
the output voltage is in regulation.
OUT
OUT
designideas
Circuit breaker provides overcurrent
and precise overvoltage protection
Anthony H Smith, Scitech, Bedfordshire, England
designideas
on, MOSFET Q4 switches off, and the rush current to subside. Selecting other
load becomes effectively isolated from values allows the circuit to accommothe dangerous transient.
date any duration of inrush current you
The circuit now remains in its apply to a load. Once you trip the cirtripped state until reset. Under these cuit breaker, you can reset it either by
conditions, Q3 clamps Q4s gate-source cycling the power or by pressing S1, the
voltage to roughly 0V, thereby protect- reset switch, which connects across C1.
ing the MOSFET itself from excessive If your application requires no inrush
gate-source voltages. Ignoring the neg- protection, simply omit C1, R1, R2, and
ligibly small voltage across R5, you can Q1 and connect S1 between the refersee that the reference voltage is VS3R4/ ence input and 0V.
(R31R4) in volts. Because D2s output
When choosing components, make
turns on when the reference voltage sure that all parts are properly rated
exceeds 2.5V, you can rearrange the for the voltage and current levels they
equation as R35[(VST/2.5)21]3R4 in will encounter. The bipolar transisohms, where VST is the required supply- tors have no special requirements, alvoltage trip level. For example, if R4 has though these transistors, especially Q2
a value of 10 kV, a trip voltage of 18V and Q3, should have high current gain,
would require R3 to have a value of 62 Q4 should have low on-resistance, and
kV. When choosing values for R3 and Q4s maximum drain-to-source and
R4 to set the desired trip voltage, ensure gate-to-source voltages must be comthat they are large enough that the po- mensurate with the maximum value of
tential divider will not excessively load supply voltage. You can use almost any
the supply. Similarly, avoid values that small-signal diode for D1. As a precaucould result in errors due to the refer- tion, it may be necessary to fit zener
ence-input current.
diodes D3 and D4 to protect D2 if exWhen you first apply power to the tremely large transient voltages are
circuit, youll find that capacitive, likely.
bulb-filament, motor, and similar
Although this circuit uses the 431
loads having large inrush current can device, which is widely available from
trip the circuit breaker, even though different manufacturers, for D2, not
their normal, steady-state operating all of these parts behave in exactly
current is below the trip level that R6 the same way. For example, tests on a
sets. One way to eliminate this prob- Texas Instruments (www.ti.com) TLlem is to add capacitor C 2, which 431CLP and a Zetex (www.zetex.com)
slows the rate of change of the volt- ZR431CL reveal that the cathode curage at the reference input. However, rent is 0A for both devices when the
although simple, this approach has reference voltage is 0V. However, grada serious disadvantage in that it
slows the circuits response time
CATHODE
to a genuine overcurrent-fault
condition.
Components C1, R1, R2, and Q1
REFERENCE
DIANE
designideas
Paralleling decreases autozeroamplifier noise by a factor of two
Marin tofka, Slovak University of Technology, Bratislava, Slovakia
cuit in Figure 1. A
1
3
7
5
tor network compris
ing the R3 resistors
OUT
R1
R3
averages the output
22
9
150
8
signals of these am10
14
The quad autozero
12
DIANE
=
, that
AVE
2
Equation
1 2
1 distribution,
obey theEquation
gaussian
then
the standard deviation of the average
of noise outputs of these sections is:
2
2
2
+ +
AVE
= X2 X Y , Y ,
Equation
2
AVE
=
2
2
2
AVE 4 = X .
2
Equation
Equation
3 3
AVE
=X . X . the output
voltage
follower
between
4
=
AVE 4
2 2stage.EDN
terminal and the next
R e fe r e nce
AD8630 Quad, Zero Drift,
Single-Supply, Rail-to-Rail Opera
tional Amplifier, Analog Devices
Inc, www.analog.com/en/prod/
0,2877,AD8630,00.html.
1
designideas
VDD
VDD
NEUTRAL
D1
C1
1N5231
470 F
R5
20k
R4
1M
C2
100 F
VOUT
VBE
D2
1N4004
R1
24k
0.6W
LINE
Q2
R2
120k
BC549B
Q1
BC549B
D3
1N41428
VAC
R3
820k
Figure 1 This simple two-transistor circuit accurately detects the zero crossing
of the input ac mains.
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
RLS
2M
ON/OFF
47k
RLS
2M
QR
150k
4 A
DC-INPUT
VOLTAGE
M OR L
D
CONTROL
DCINPUT
VOLTAGE
IB
Q1 2N3906
M OR L
CONTROL
OPTIONAL
C
OFF
ON
S1
DIANE
edn070524di40682
DIANE
designideas
with 30 mA of hysteresis for undervoltage and 225 mA for overvoltage. That
is, when the current into the M or L
pin is less than 20 mA, or 50230 mA,
the regulator output switches off because of undervoltage. When the current into the M or L pin exceeds 225
mA, the regulator output switches off
because of overvoltage. When the current into the M or L pin is 50 to 225
mA, the output is enabled.
The circuit of Figure 2 works as follows: When the switch in the collector lead of Q1 is open, Q1 functions as
a simple diode with a 0.6V drop from
emitter to base. All the current that
RLS supplies flows into the M or L pin
RC lowpass
filter expands
microcomputers
output port
the M or L pin. This low current flowing into the pin fools the regulator
into thinking that the input voltage
is undervoltage, and the regulator output switches off.
If another voltage or current source
is present, you could replace S1 with an
open-collector switch that sinks current only. If the remote on/off driver
can source and sink current, as the output of a logic gate can, then you should
insert a diode in the collector lead of
Q1, and the driver must drive the cathode of that diode above 2V dc to turn
off the regulator (optional in Figure
2). The M pin also allows current-limit-threshold adjustment.EDN
VCC
INPUT
R9
1k
C23
10 nF
1
VCC
2
3
4
5
6
7
VCC
QH
QA
QG
IC1
QB
HC164
QF
QC
QE
QD
CLR
GND
CLK
14
13
12
11
10
OUTPUT_7
OUTPUT_6
OUTPUT_5
OUTPUT_4
9
8
OUTPUT_3
OUTPUT_2
OUTPUT_1
OUTPUT_0
DIANE
designideas
performs the output function for eight
bits. Assume that the RC time constant is 3 msec, and the instruction
time should be 1 msec or less at a crystal frequency of 4 MHz or greater. The
routine uses bitwise manipulation of
output My_Bit of port My_Port.
Although the circuit in Figure 1 can
control slow-reacting devices, such as
relays or LCDs, using it with LEDs
can give an annoying flicker when
the HC164 is writing. To address that
problem, the circuit in Figure 3 uses
another serial-in/parallel-out register,
the 4094, which has a strobe input to
allow simultaneous updates of all outputs without temporary levels. A twin
monostable circuit supplies the data
and strobe signals. This circuit should
be able to control parallel devices, such
as display modules based on HD44780
devices.EDN
1
CLK
INPUT
VCC
R8
10k
2
C24
10 nF
R9
3.3k
12
11
VCC
RC
15
13
IC1A
MC14538B
IC1B
MC14538B
Q
10
B
CLR
1
STR
2
D
3
CLK
15 OE
Q1
Q2
Q3
Q4
IC2
Q5
MC14094B
Q6
Q7
Q8
CLK
CLR
14
C23
1 nF
REGISTER LOADED
AT ARROW
D
RC
4
5
6
7
14
13
12
11
OUTPUT_0
OUTPUT_1
OUTPUT_2
OUTPUT_3
OUTPUT_4
OUTPUT_5
OUTPUT_6
OUTPUT_7
9
QS
10
QS
Figure 3 This circuit uses another serial-in/parallel-out register, the 4094, which
has a strobe input to allow simultaneous updates of all outputs without temporary levels.
edn070412di40523
DIANE
most of them display 0.1A, but accuracy is questionable at that low range.
You can alternatively use the simple
dual constant-current-load design in
Figure 1, which you can build with inexpensive, common parts.
The load current passes through a
MOSFET and a 1%, 1V sense resistor,
R6. Pin 2 of IC1A compares the voltage
drop in the resistor to a reference voltage. IC1, an LM358 op amp, compares
the two inputs and adjusts its output
accordingly. The reference voltage at
Pin 3 of IC1A comes from a voltage-divider potentiometer, R2 or R3, which
DIANE
designideas
CH A
INPUT
C1
47 F
50V
R4
10
IC1A
OUT LM358
R14 4
100k V
Q1
IRFP450
C4
0.1 F
S1
R1
1.5k
SINGLE POLE
3
3
TRIPLE THROW 2
R2
2
1k
POTENTIOMETER
1 MAXIMUM
1
C2
R5
0.01 F 100k
R6
1
1%
C3
R3
0.1 F
1k
POTENTIOMETER
IC2
MINIMUM
TS431
R15
3.6k
2
S3
0 CLOSE
D2
LED
9V
DC
1
C8
47 F
50V
V1
VDC
CH A
RETURN
CH B
INPUT
C7
47 F
50V
S2
R10
10
OUT IC1B
LM358 6
R13 4
100k V
Q2
IRFP450
R12
1
1%
R7
1.5k
SINGLE POLE
3
3
TRIPLE THROW 2
R8
2
1k
POTENTIOMETER
1 MAXIMUM
1
C5
R11
0.01 F 100k
C6
R9
0.1 F
1k
POTENTIOMETER
IC3
MINIMUM
TS431
CH B
RETURN
Figure 1 This dual constant-current load can measure the performance of dual power supplies supplying 0 to 1.25A per
channel.
parallel to a load of 2.5A. For a twooutput power supply, you can set the
minimum and maximum current by
precisely reading the level on a multi-
meter and then quickly testing a matrix of no load, minimum load, and
maximum load. A 9V battery powers
the unit.EDN
designideas
VCC
VD
VD
L1
A_N
L2
CLOCK
CW_CCW
L3
CPLD
FULL_HALF_STEP
VD
RESET
NSTEP
L4
VD
B
VD
START
STOP
B_N
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
66 Circuit guards amplifier outputs
against overvoltage
ing circuit generates the small differential voltage that the AD7400 requires
(Figure 1). The circuit generates the
required 200-mV differential voltage.
For clarity, the figure omits overvoltage diodes and protection circuits.
A 0- to 20-mA current loop converts to a voltage through a properly
scaled resistor, R2, and enters a precision operational amplifier. The signal
level, which connects to the negative
input, gets a positive offset by main-
12V
A_IN_O_010V(H)
A_IN_O_010V(W)
R1
100
D1
BZX84C11
R7
10k
R4
10k
A_IN_O_020MA(+)
A_IN_O_COM
C3
0.1 F
R3
12.1k
R2
20
D2
IN4448W
5V
C1
0.1 F
IC1
OP1177
2.5V
REFERENCE
R5
8.68k
R8
10k
R9
10k
5V
AD8138
R10
22.1
R11
22.1
C4
47 F
TO AD7400
5V
5V
R6
1k
C2
0.1 F
Figure 1 This analog-conditioning circuit filters and level-shifts the input signals, developing the AD7400 ADCs differential input.
DIANE
designideas
nal and gets summed into the negative terminal of the Analog Devices
OP1177 amplifier, IC1.
Shifting the signal above 0V results in a signal that is similar to a
positive, single-ended analog signal.
A differential ADC-driver amplifier,
Analog Devices AD8138, drives the
AD7400. The gain scales such that
the resultant signal is within 6200
mV, which the ADC requires. Finally, before connecting to the AD7400,
the signal runs through a lowpass filter, which R10, R11, and C4 create between the positive and the negative
terminals. The AD7400 converts this
differential signal and processes it using a low-cost microcontroller. Sigma-delta-modulator ADCs, such as
the AD7400, commonly interface to
an FPGA or a DSP. However, this approach comes at a high price in both
cost and complexity. For cost-sensitive applications not requiring advanced filtering, you can use a simple
microcontroller.
The AD7400 device has two out-
Figure 2 These oscilloscope traces show MDAT, inverted MCLKOUT, and the
resulting data stream (courtesy LeCroy).
3.3V
5V
0.1 F
TC7SZ08F
0.1 F
16
AD7400
VDD+
GND2
2
15
NC
VIN+
3
14
VDD2
VIN
4
13
NC
MCLOCKOUT
5
12
NC
NC
6
MDAT 11
NC
7 VDD1
10
NC
8
9
GND1
GND2
LDO
37 LDI
NC7S14M5X
36
35
34
33
32
31
30
29
9
MSP430F2274
10
28
11
27
12
26
13
25
CLK
14
24
15
23
16
22
17
21
18
20
19
10 F
Figure 3 The AD7400 serial ADC digitizes the analog input and feeds the simple, low-cost microcontroller.
edn070607di40693
DIANE
0.1 F
designideas
only at the rising edge of MCLKOUT,
the circuit must AND together MDAT
and MCLKOUT to create a stream of
pulses that the microcontroller can
count. The microcontroller first inverts MCLKOUT to prevent unintentional glitches from being counted
at the transition edges of MDAT. The
figure shows MDAT, inverted MCLKOUT, and the resulting data stream.
The pulsed data signal and the inverted MCLKOUT each feed into
a separate timer/counter on the microcontroller (Figure 3). The TI
MSP430F2274 provides two 16-bit
counters and can support operation as
high-voltage condition on either output. The MOSFETs, Q1A and Q1B, are
FIGURE 1normally on; zener diode D4 and its
bias components drive the MOSFETs
John Guy, Maxim Integrated Products, Sunnyvale, CA
gates to approximately 11V. Dual diode
A universal requirement for au- rior. Though operating from a voltage D3 provides a diode-OR connection to
tomotive electronics is that any of 3.3 or 5V, which is lower than the the dc voltage on each output, thereby
device with direct connections to the battery voltage, the amplifier must be producing a voltage that controls the
wiring harness must be able to with- able to stand off the full battery volt- output of shunt regulator IC2. The cirstand shorts to the battery voltage. age. You can also use a protection net- cuitry protects IC1, a 1.4W Class AB
Though brutal, this requirement is nec- work appropriate for these amplifiers amplifier suitable for audible warnings
essary for reliability and for safety. One for other automotive circuits (Figure and indications for the automotive
example of the need for this protection 1). A dual N-channel MOSFET dis- electronics.
is an audio amplifier that produces in- connects the amplifiers outputs from
During normal operation, the amdicator noises in the automotive inte- the wiring harness in response to a plifier outputs dc components are at
J1
VBAT
J2
VCC
R3
200k
R4
5.1k
J3
C4
0.1 F
C5
1 F
1 SHDN
2 BIAS
3
4
IC1
6
VCC
MAX9716
5
IN
OUT
IN
J4
J5
R2
51k
OUT
D1
CMPZ5231
D2
CMPZ5231
Q1B
SI4982
1 PGND
FB 5
IC2
2 GND
MAX8515
4
3 OUT
IN
Figure 1 This output-protection circuit provides continuous protection against overvoltage faults.
J7
D3
BAV70LT1
R5
38.3k
C2
0.1 F
J6
Q1A
SI4982
OUT 8
GND 7
C1
1 F
C3 R
1
1 F 22k
IN
OUT
D4
CMPZ5241
R6
10k
designideas
one-half of the VCC supply2.5V in
this case, for which VCC is 5V. The 11V
gate drive fully enhances the MOSFETs, and the shunt-regulator output
is off because its feedback input, Pin
5, is below its internal 0.6V threshold.
If either output exceeds 5V, current
flows through D3 into the R5/R6 divider, pulling the feedback terminal above
its threshold. The shunt-regulator output then pulls the MOSFET-gate voltage from 11V almost to ground, which
blocks high voltage from the amplifier by turning off the MOSFETs. The
MOSFETs easily withstand the continuous output voltage, and the circuit
returns to normal operation when you
remove the short. Because the circuit
does not respond instantaneously, zener
diodes D1 and D2 provide protection at
the beginning of a fault condition.
The waveforms of Figure 2 represent an operating circuit. One of the
amplifiers outputs (Trace 1) is a 1kHz sine wave biased at a dc voltage of
2.5V. Trace 2 is the signal on the wire
harness. It also starts as a 1-kHz sine
wave biased at a 2.5V-dc voltage, but,
at 200 msec, it shorts to an 18V supply. Trace 3 is the shunt regulators output, initially biased at 11V but pulled
Figure 2 In Figure 1, one of IC1s two audio outputs (Trace 1) has protection
when its external terminal accidentally contacts an 18V supply voltage (Trace 2).
this level drives the output of the optocoupler high. When the voltage exceeds the enable voltage, the transistor
in the optocoupler becomes saturated,
pulling the output low. The output continues to stay low until the input voltage drops below the enable voltage.
The resulting output is a square wave
with a fixed time, tTOTAL, based on how
long the input voltage is above the enable voltage. If the voltage on the input
varies from 120 to 144V, the resulting
square-wave waveform becomes wider; if the voltage varies downward, the
pulse width decreases. To calculate the
formula for this circuit, consider the input waveform as a cosine function. Because the input voltage peaks at time
VE
.
cos( f t TOTAL )
designideas
You can implement this formula in
software or a look-up table that converts pulse width to input voltage. Take
note that the input voltage is the peak
ac voltage, so you must convert it to
the rms value if necessary. You can also
use this circuit as a clock line because
the output frequency is independent
of the duty cycle. The output is consistently 60 Hz, and you can use it for
timekeeping. You can also potentially
use it for zero-crossing-load driving if
you extrapolate the time back to the
zero crossing based on the input voltage, because the duty-cycle edge timeshifts from the real zero crossing.
Some other design principles in this
circuit require attention. D2 protects
the diode in the optocoupler when the
ac input goes negative. In most cases,
the optocoupler diode is unaffected
because the reverse leakage through
the network ensures that the LED
does not exceed its maximum reverse
voltage. However, bypassing the diode
is the best approach for clamping the
voltage across this optocoupler using
a diode. Adding this diode does more
than double the quiescent current in
R1
10k
D1
R2
10k 1N6016B
5V
VIN
120V
IC1
WPPCD11064CD
C1
0.001 F
VOUT
R3
1k
D2
1N4004
R4
10k
ance on the zener voltage. A 5% variation on this voltage can result in a significant error in your estimate of the
input-voltage amplitude. Specifying a
more precise diode or calibrating each
board by applying a known input voltage and storing that value in memory
as a fixed calibration improve the overall accuracy of this circuit.EDN
highthat is, no I2C devices are pulling them downQ1 is off, no current
flows into the LED of optocoupler IC2,
IC2s Pin 7 is high, Q2 is off, and the
LED of optocoupler IC1 is also off.
If a device drives the SDA line low,
Q1 and the LED of IC2 turn off, driving
IC2s Pin 7 low; diode D2 then starts to
conduct. The result is a low level on
the SDA1 linethe low output voltage of IC2 plus the threshold voltage of
Schottky barrier diode D2. In this situation, it is important to notice that the
LED of IC1 does not turn on because
the voltage applied across it is below
its threshold. This situation means that
the circuit does not latch, and it can
recover from this state once you release
the SDA line.
Q3 and the PNP BJT (bipolar-junction transistor), Q1, effectively buffer the two SDA/SCL lines so that
no extra current flows into the opencollector and -drain stages of the I2C
designideas
VCC
5V
R1
10k
VCC
5V
R2
330
VCC1
5V
VCC
5V
C1
100 nF
R5
330
8
IC1
HCPL0600
R7
330
D2
BAT254
3
5
VCC1
5V
Q2
MMBT3906LT1
SDA1
C2
100 nF
5
7
D1
BAT254
2
4
SDA
Q1
MMBT3906LT1
R6
330
R e fe r e nce s
Nguyen, Minh-Tam, and Martin
Baumbach, Two-wire interface has
galvanic isolation, EDN, Nov 11,
1999, pg 174, www.edn.com/article/
CA46286.
2 Blozis, Steve, Opto-electrical
isolation of the I2C-Bus, Embedded
Systems Design, Oct 14, 2004,
www.embedded.com/showArticle.
jhtml?articleID=49901764.
VCC1 VCC1
5V 5V
R4
330
VCC
5V
R3
10k
SCL1
3
IC2
HCPL0630
Q3
FDV302P
SCL
DIANE
designideas
Edited By charles h small
and Fran Granville
D Is Inside
78 High-power LED drivers
This fact explains why engineers generally prefer to rely on published data.
Checking the reverse-recovery time
yourself could be advantageous, however, if testing were simple and straightforward. Such a setup would enable
you to compare devices from different
manufacturers under identical conditions and test devices having no such
specification, such as substrate diodes
of driver ICs, zener diodes, and stan-
VDD
D5
1N4148
C10
15 F
6V
D1
1N4148
C1
100 nF
G
19
1
17 15 13 11 8 6 4 2
OEB OEA I3B I2B I1B I0B I3A I2A I1A I0A
R8
10k
R33
60k
VEE
R16
1.67M
R24
333k
V
IC4D
4 LM324N
R27
3.3k
E 1
B
2
Q2
BC558
C3
VDD
3
C14
820 nF
IC4A
4 LM324N
V
1
V
11
R36
6.25k
R32
56k
R21
27k
A
3 4
ADJUST
1
R1
10
R18
25.6k
R9
12.7k
R4
100k
R22
2.5k
VEE
R35
68
DEVICE
UNDER TEST
C3
C4
C5
C9
100 nF 100 nF 100 nF 100 F
6V
R34
66.7k
R13
1k
R12
1k
IC1
74AC244
R26
3.3k
C2
100 nF
RV1
1k
R19
27k
6
5
C15
820 nF
11
V
C8
100 F
10V
11
V
14
PRETRIGGER
OUTPUT
R10
143k
Q3
BF245A R
23
D
2.2M
TP F 800/80 kHz
R30
51k
R20
27k
D2
1N4148
D3
BAT85
R37
750
D6
GREEN
VF1.91V
AT 5 mA
13
V IC4B
4 LM324N
R31
56k
R5
100k
9
R11
15k
10
12
VDD
C13
1 F
6V
P2
R2
100
13
VDD
BATTERY
R17
22k
10
12
9
D SD Q
IC2B
74AC74 8
11
CP CD Q
R25
390
2
NORMAL SLOW
4
2
5
D SD Q
IC2A
74AC74
6
3
CP CD Q
R6
10k
Q3
C12
Q4
10
1.2 nF
Q5
OSC
Q6
R
14
L1
Q7
IC3
1.5k
6.8 mH
CD4060 Q8
Q9
9
OSC
C11
1
Q11
1.2 nF
2
Q12
12
RESET Q13 3
1
Q1
BC548
E 1
B
2
VDD
L2
68 H
CLK
7
5
4
6
14
13
15
VDD
11
C 3
VDD
RV2
1M
R29
4.7M
C7
100 nF
RANGE
SELECT
10 SEC
5 SEC
2.5 SEC
1 SEC
500 nSEC
2
250 nSEC
100 nSEC
50 nSEC
25 nSEC
10 nSEC
11
V
C6
100 nF
V IC4C
4 LM324N
R3
100k
R15
1M
R28
48k
OUT
2V
FULLSCALE
METER
VEE
40 A
VEE
Figure 1 This diode-recovery test setup allows you to compare devices from different manufacturers under identical
conditions.
STEVE EDN070621DI4080 FIGURE 1
july 19, 2007 | EDN 77
designideas
ly possible.) Note that shorter reverserecovery time is not necessarily better.
Slow diodes can be useful, too. They
can generate small dead times, improve
the efficiency of converters, and provide other benefits (Reference 1).
This Design Idea presents a tester
that, using only a handful of inexpensive, standard components, allows you
to check reverse-recovery time. The
test conditions are fixed for simplicity,
to normalize the tests and to provide a
common standard for comparison purposes. These conditions are compatible
with 99% of the devices susceptible
to test. The testers forward current is
just low enough to be safe with small
switching diodes but high enough to
overcome the capacitive effects in larger devices.
A diode-resistor AND gate lies at the
heart of the circuit; the gates diode is
the DUT (device under test, Figure 1).
IC1 buffers flip-flop IC2A, which derives
the antiphase square waves that drive
this gate. R35 sets the DUTs forward
current to approximately 75 mA. With
an ideal diode, the gates output would
always stay low, because one of the inputs is always low. But a real diode remains conductive after the transition,
generating a positive pulse across R35.
Instead of using the brute-force approach of directly measuring this pulse
width, the circuit uses a subtler scheme.
The R19 /C15 network averages the pulse
and amplifies and displays the resulting voltage. Because the measurement
frequency is fixed at 50 kHz, a correct
scaling factor is all that is necessary.
A real diode also has a forward voltage, which you would average with
the result. Q3 takes care of this problem by sampling this forward voltage
through IC4A and subtracting it from
the output voltage through R32. Vary-
in ambient lighting, long the sole province of incandescent bulbs and fluorescent tubes. A current source is the best
way to power LEDs. Because most energy sources, including batteries, generators, and industrial mains, look more
like voltage sources than current sources, LEDs require that you insert some
designideas
electronic circuitry between them and
the source of power. This circuitry can
be as simple as a series resistor, but a
better choice, considering energy efficiency and other factors, is a high-efficiency, voltage-fed current source.
For LEDs with currents greater than
0.35A, an inductive switching regulator is usually the best choice.
This Design Idea presents a series
of circuits based on single-power-IC
switching regulators, with efficiency
and miniaturization as the main objectives. The circuits designers approach
these objectives by minimizing the use
of large components, such as external
power transistors, switches, high-value
capacitors, and current-sense resistors,
and by maintaining regular operation by
delivering constant, high-intensity light
over as extended a range as possible.
The circuits in figures 1 through 3
are suitable for applications in which
the power source comprises three or
four alkaline, NiMH (nickel-metal-hydride), or NiCd (nickel-cadmium) cells.
Those in figures 4 and 5 are for vehicular applications in which the nominal
line voltage for the power-distribution
system is 12, 24, or 42V. The circuits of
figures 4 and 5 are also useful in industrial systems that include a 24V distribution line for control and emergency
subsystems and in telecom applications
for which the system power is distributed as a 248V line.
The designers of these circuits based
them on the same concept: a fully integrated, single-die-IC switching regulator and a micropower operational amplifier. The op amp drives the 1.25V
feedback terminal on the IC. Although
that node targets the topology of a
standard voltage regulator, the op amp
matches it to the much smaller currentsense voltage and the slightly different
topology of a current regulator. None
of the circuits requires the use of external power switches. The design eliminates the use of the large-valued filter
capacitors you usually find in a switching regulator, because there is no need
to smooth out high-frequency ripple
in the LED current. Common to all
circuits is the option of adding a dimming capability by introducing adjustable bias at an op-amp input through
0.1 F
CVH
1A
POWER LED
SHDN IN
CVL
10 H
BOOT
3A 22 F
LX
SYNC/PWM
PGND
100m
STBY
MAX1685
FB
REF
0.1 F
1 F
CC
0.1 F
100k
V
MAX4162
V
AGND
10 nF
10
nF
100k
ILIM/SS
AIN
1.2M
0.1 F
Figure 1 This miniature, 1A, high-power LED driver operates on 3.6 to 6.5V.
EDN070621DI4075FIG1
MIKE
0.1 F
CVH
1A
POWER LED
SHDN IN
CVL
LX
SYNC/PWM
22 F
3A
PGND
STBY
22 pF
MAX1685
REF
1 F
CC
V
MAX4162
V
AGND
10 nF
0.1 F
100k
10 nF
2.4M
ILIM/SS
AIN
10 H
50 m
100k
FB
0.1 F
VIN
3.6 TO 6.5V
LX
BOOT
0.1 F
Figure 2 Similar to the circuit in Figure 1, this miniature, 1A, LED driver
operates on 3.6 to 6.5V but requires no current-sense resistor.
EDN070621DI4075FIG2
MIKE
0.1 F
CVH
CVL
LX
BOOT
LX
SYNC/PWM
MAX1685
FB
ILIM/SS
AIN
0.1 F
1 F
0.1 F
CC
10 H
AGND
10 nF
VIN
2.7 TO 6.5V
22 F
1A
10 F
PGND
STBY
REF
0.5A
POWER LED
SHDN IN
200m
100 pF
75k
75k
909k
V
MAX4162
V
909k
0.1 F
100 pF
Figure 3 Another miniature, high-power LED driver delivers 0.5A and operates
on 2.7 to 6.5V.
EDN070621DI4075FIG3
VIN
3.6 TO 6.5V
LX
MIKE
designideas
a resistor and a potentiometer powered
from the internal regulatorthe VD or
CVL terminal, depending on the IC.
A high-frequency switching regulator powers the basic regulator circuit
for LEDs (Figure 1). It operates with
input voltages of 3.6 to 6.5V, drives
a single LED with currents as high as
1A, and uses a current-sense resistor
to control the current-regulation loop.
The circuit of Figure 2 is similar, but,
in place of a current-sense resistor, it
employs the parasitic resistance of the
inductor as a current-sensing element.
Like the circuit in Figure 1, it operates
with 3.6 to 6.5V inputs and drives one
LED with currents as high as 1A.
For the single-LED circuit of Figure
3, the starting voltage of the MAX1685
defines the input range, which goes as
low as 2.7V. Its maximum current capability is 0.5A versus 1A for the circuits
in figures 1 and 2. The upper operating limit remains 6.5V. Once this circuit is operating, it maintains power to
the LED even for input voltages as low
as 1.7V. Applications for the circuits of
figures 1, 2, and 3 include headlights,
flashlights, and any other portable lights
powered by three or four alkaline primary cells, three or four NiMH/NiCd
secondary cells, or a single lithium secondary cell.
The circuits of figures 4 and 5 operate over 8 to 50V. Assuming a 12V
system in which all the components
are properly specified, these circuits
can survive load dumps, thanks to the
76V absolute maximum rating for the
ICs input-power terminal, VIN. The
maximum available current is 1A, and
the circuits can drive as many as three
LEDs in series, provided that you increase the lower limit of the operating
range to 11.5V. These two circuits are
220k
VIN
BST
3A
68 F
100 H
GND
100m
ON/OFF
MAX5035
V
MAX4162
V
FB
5V
VD
SGND
100k
10 nF
100k
1.2M
0.1 F
220k
VIN
0.1 EDN070621DI4075FIG4
F
VIN
8 TO 48V
MIKE
BST
LX
3A
MAX5035
1A
POWER LED
68 F
100 H
200 m
GND
ON/OFF
180k
5V
SGND
4.7 nF
180k
V
MAX4162
V
FB
VD
0.1 F
1.2M
220 pF
similar, except for the use of the inductor resistance as a current sensor in Figure 5. The disadvantage of using the
inductor resistance in this way is the
resulting dependence of output current on temperature, due to the large
temperature coefficient of copper re-
VIN 8 TO 48V
FOR ONE OR TWO
1A LEDs,
VIN 11.5 TO 48V
FOR THREE
1A LEDs.
0.1 F
LX
ONE TO
THREE 1A
POWER
LEDs
DIANE
supply pins include parasitic supplyline traces, their interaction with currents that the amplifier draws, and the
noise that switching circuits sharing
the same supply create. Both sources
produce voltage-amplitude variations
reproduced as noise signals at the amplifiers input pins.
Characterizing PSRR over frequency
designideas
VCC
VCC
1 F
10 F
0.1 F
SR785
OUTPUT
PORT
2
3
10 F
TO SR785
REFERENCE
PORT
1k
4
0.1 F
VCC
1k
VEE
SR785
ANALYZER
INPUT
DEVICE
UNDER
TEST
0.1 F
10 F
49.9
10 F
1k
1k
8
4
VEE
FROM
8034
0.1 F
10 F
AD8034
VEE
DC BIAS
Figure 1 The AD8034 sums the analyzers reference output and a dc bias, supplying the negative-supply voltage for the
device under test to perform negative-PSRR analysis.
commonly involves the use of analyzers equipped with a dc-bias port, such
as Agilents (www.agilent.com) 8753.
To measure negative PSRR, for example, the amplifiers 2VS pin comes
through Port 1, with the negative dc
voltage through the bias port, of the
8753 with a superimposed sinusoid. To
complete the measurement, you measure the amplifiers output on Port 2.
Unfortunately, the 8753 doesnt measure frequencies below 30 kHz because
of the limitations of the analyzers
internal bias, T. Additionally, most
PSRR-versus-frequency plots begin at
frequencies far below 30 kHz.
An alternative technique would involve the use of an analyzer that has no
dc-bias port but that can characterize
frequency response as low as 10 or even
1 Hz. One such analyzer is the Stanford Research Systems (www.thinksrs.
com) SR785, which can make measurements better than 2120 dB. One
way of approaching this problem is to
connect the output port of the SR785
to a buffer/inverting-summer circuit
0.0225
0.0200
0.0175
0.0150
LOSS
(dB)
0.0125
0.0100
0.0075
0.0050
0.0025
0.0000
10
100
1k
10k
100k
FREQUENCY (Hz)
Figure 2 You can neglect any loss the AD8034 incurs. The response of the
AD8034 buffer/inverting summer from 10 Hz to 10 kHz is approximately flat.
designideas
floating. The positive terminal of the
130
external dc-power supply feeds Pin 6
through a 1-kV resistor. Connecting
110
the DUTs output to Channel 2A of
the SR785 completes the test-circuit
configuration.
90
Building the buffer/inverting sumPSRR
mer with an AD8034 dual amplifier is
70
(dB)
a good choice because it has a supply
range of 5 to 24V; a signal-frequencySTEVE EDN070621DI4076
50
FIGURE 4
response well beyond 1 MHz; and a
large capacitive-load-drive capabil30
ity, allowing you to neglect the capacitance of test cables. Further, the
10
AD8034 can deliver as much as 40 mA
10
100
1k
10k
100k
1M
of load current.
FREQUENCY (Hz)
To instill confidence that this buffer/inverting-summer configuration
works, Figure 2 proves that you can
Figure 3 The negative-PSRR test results show responses beyond 100 kHz.
neglect any loss that the AD8034 incurs. The figure demonstrates that
the response of the AD8034 buffer/in- 3 shows negative-PSRR test results. ures 4 and 5) by connecting Pin 3 to
verting summer of 10 Hz to 10 kHz is The Hewlett-Packard (www.hp.com) the SR785s output port. Pin 1, VOUT
approximately flat with a loss of only HP8753 provides the PSRR-versus- of the buffer amplifier, connects to the
0.0025 dB, and the loss from 10 to 100 frequency responses beyond 100 kHz. reference port of the SR785. Here, you
kHz is approximately 0.024 dB. Figure You can measure positive PSRR (fig- use the first amp to isolate the output
VCC
10 F
0.1 F
SR785
OUTPUT
PORT
2
3
TO SR785
REFERENCE
PORT
1k
4
0.1 F
1k
VCC
1k
10 F
1k
0.1 F
10 F
VEE
8
4
7
0.1 F
VCC
FROM
8034
DEVICE
UNDER
TEST
1 F
10 F
49.9
SR785
ANALYZER
INPUT
10 F
VEE
AD8034
DC BIAS
VEE
Figure 4 This test setup measures the positive PSRR. Note that bypass capacitors are absent from the device under tests
positive-supply input.
designideas
port of the SR785 from the dc bias and
provide the sinusoidal output. The second amplifier within the AD8034 sums
the dc bias and sinusoid, which you use
to feed the DUTs positive-supply pin.
You must remove all bypass capacitors at the DUTs positive-supply pin.
A 1-kV resistor from Pin 3 to ground
prevents the noninverting input from
floating. Feed the negative terminal of
the external dc-power supply to Pin 6
through a 1-kV resistor. Connecting
the DUTs output to Channel 2A of
the SR785 completes the test-circuit
configuration.
For the AD8034, assume that the
DUT has a maximum supply voltage
of 615V, that you need to test negative PSRR, and that the DUT supplies
610V. If you want to accommodate
the maximum SR785 output of 5V
peak, the first amplifier of the AD8034
needs enough head room to avoid clipping the 65V signal from the output
port of the SR785. In this case, a supply
setting for the AD8034 of 6 and 216V
is sufficient to prevent any problems.
120
100
PSRR
(dB)
80
60
40
10
100
1k
10k
100k
1M
FREQUENCY (Hz)
Figure 5 The positive-PSRR test results show responses beyond 100 dB.
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
68 Inverting sample-and-hold
70 Single IC forms
R6
100
0.25W
AC-LINE
INPUT
INPUT
FILTER
AND
RECTIFIER
POWERFACTORCORRECTION
CIRCUIT
S1
R1
40
5W
VPFC
OUTPUT
C1
330 F
450V
RCS
0.1
3W
G
Q1
DN2470
R3
D 100k
R2
39.2k
S
C2
0.1 F
INHIBIT
INPUT
AUXILIARY
POWER
SUPPLY
VAUX
OUTPUT
R4
20k
R5
20
Q2
CMPT2222A
Figure 1 This inrush-current-limiter circuit features an electromechanical relay, S1, and a current-limiting resistor, R1.
(Unless otherwise mentioned, all resistors in the schematic are rated for 0.125W.)
designideas
problems uses a circuit that measures
the inrush current itself and not the
voltage across the PFC capacitors. It
determines the end of the inrush process by monitoring extinction of the
inrush currents amplitude. Upon
reaching a preset threshold, the circuit commands the start-up of auxiliary power supplies and other circuits.
Monitoring the inrush current allows
effective control of the power supplys
starting point and renders the start-up
threshold independent of the inputline voltage.
Figure 1 shows a practical version
of a PFC circuit, which employs a
switched-resistor inrush-current limiter. The inrush-current-sensing subcircuit comprises a wirewound resistor, R1, and a parallel depletion-mode
MOSFET, Q1, which connects to resistor R2 as a current source that drives
resistors R3 and R4. Over a wide range
of the voltage drop across R1, from a
few hundred volts to a few volts, this
circuit generates a small constant current that suppresses operation of the
auxiliary power supply and prevents
interference with the inrush-currentlimiting process.
When the inrush current decreases
sufficiently, the voltage drop across R1
becomes insufficient to keep Q1 in operation as a current source. Q1s current
extinguishes, allowing the auxiliary
power supply to turn on and start the
power supply, by activating relay S1,
whose contacts short-circuit R1. R2s
value determines the current necessary to hold the auxiliary power supply
in a disabled mode, allowing PFC-rail
capacitor C1 to charge fully.
A 12V electromechanical relay, such
as Omrons (www.omron.com) G2RL1, provides low-resistance contacts to
bypass R1 (Reference 1). As an alternative, an optically isolated solid-state
relay, such as the Carlo Gavazzi (www.
gavazzionline.com) RP1A48D5, with
a MOSFET or an SCR (silicon-controlled-rectifier) output device can
replace S1, provided that the voltage
drop across the output device introduces no substantial power loss (Reference 2).
Figure 2 depicts the charging processs waveform as the voltage drop
Figure 2 The voltage across the 40V current-limiting resistor, R1, exhibits a
classic exponential decay as the power-factor-correction capacitor approaches
a full charge; the auxiliary power supply is deactivated for clarity.
Figure 3 The upper trace shows the current as measured with a current probe.
The lower trace depicts the voltage drop that the input current across resistor
R1 produces.
designideas
circuit, which allows the separation of
the inrush and the start-up processes.
The inrush-current value is 5A, a relatively low value for a 2.4-kW power
level. Trace 4 shows the input current
measured with a current probe. Figure
4 depicts a 2400W power-supply startup. Its inrush current is intentionally
approximately 5A, which is far less
than its operating current of approximately 14A.EDN
R e fe r e nce s
1 PCB Relay, G2RL, Omron Corp,
http://ecb.omron.com.sg/pdf/relay/
power/G2RL.pdf.
2 Solid State Relays PCB, 1-Phase
ZS/IO Types RP1A, RP1B, Carlo
Gavazzi, www.gavazzionline.com/pdf/
rp1a48d5.pdf.
OUT
C1
2.2 nF
2
10
2
10
B1
A1
IC1
IN
3
B3
A2
IC2
VS
AD8592
10
AD8592
B2
AD8592
VS
VS
VS
A3
IC3
3
C2
1 nF
VS
QD
QS
100
VS nF
VS
47 nF
QDE
VS
VS
1
IC4
2
SN74AHC1G02
IC4
3
VS
IC5
2
SN74AHC1G08
IC5
3
VS
Figure 1 This sample-and-hold amplifier inverts the input signal by extension of its switched-mode circuitry.
DIANE
designideas
of P52V2/R, which is proportional to
The AD8592s data sheet does not
the square of the output voltage. The the op amps high
directly specify the leakage current
values of these resistors should be as
at the output of the voltage follower;
output current
low as possible to preserve the bandhowever, you can estimate it as being
width of the op amp.
of 250 mA contrib- lower than 10 pA. Capacitors C1 and
Any parasitic capacitance (C2 in the
C2 thus can have unusually low values.
utes further to the
following equation) parallel to feedOn the other hand, the op amps high
2 current of 250 mA contributes
fastEDN070705DI4092
charging FIGUREoutput
back resistor R2 forms a pole in theSTEVE
transfer function of the inverter. This of capacitors C
further to the fast charging of capaci1
pole results in an additional breakdown
tors C1 and C2.
of the gain-frequency characteristic of and C2.
The B3 voltage follower serves as
the inverting amplifier, with the vala delay line, which, in conjunction
ue of breakdown frequency of f25(1/
with one AND gate and one NOR
2p)3(1/R2C2). To retain the widest grounded through the output of the A1 gate, generates two semicomplemenpossible bandwidth, f2.fT, where fT follower. This scenario causes a nega- tary logic-control signals (Figure 2).
is the transition frequency of the op tive voltage of 2VS to appear at the Both of these signals, QS and QD, are
ampin other words, the frequency input of the B2 voltage follower, which thus kept at an inactive low level for a
at which the open-loop gain of the op in turn charges the C2 capacitor to the sufficiently long time, before moving
amp drops to unity.
voltage of 2VS at the beginning of the to an active high level, providing a
The Analog Devices (www.analog. sampling command. Voltage follower break-before-make operation. The incom) AD8592 dual op amps, which A3 serves as an impedance converter.
put voltage gets tracked at the C1 cahave a high-quality shutdown
pacitor with QD high, and the last
function, allow you to use a difvalue of this voltage, at the highQ
ferent approach (Reference 1).
to-low transition of QD,, is a samThe inverting sample-and-hold
ple. The sample, at the instant of
circuit in Figure 1 uses no exterthe low-to-high transition of QS,
QDE
nal resistors. Thus, no power disappears with a negative sign at casipates at external passive devices
pacitor C2 and subsequently at the
QD
in the hold state of the circuit. All
output.EDN
op amps act as voltage followers.
In the hold state, followers B1 and
R e fe r e nce
QS
1 AD8592 Dual, CMOS Single
A2 are enabled; thus, the B lead
of the C1 capacitor, Pin 1 of IC2,
Supply Rail-to-Rail Input/Output
is grounded through the output
Operational Amplifier with 6250
Figure 2 The external control-logic signal,
of A2, and the input voltage, VIN,
mA Output Current and a PowerQ, splits into two quasicomplementary
gets followed at the A lead of the
Saving Shutdown Mode, Analog
signals to ensure the internal break-beforeC1, Pin 9 of IC1. Upon the samDevices Inc, 1999, www.analog.
make operation of the sample-and-hold
pling command, Q is high, and,
com/zh/prod/0,,759_786_
amplifier.
at this time, the A lead of C1 gets
AD8592,00.html.
Single IC forms
inexpensive inductance tester
Luca Bruno, ITIS Hensemberger Monza, Lissone, Italy
designideas
EDN070816DI4114_fig1
R1
2.2M
IC1A
74HC04
R2
2.2k
IC1B
74HC04
OUT
GND
LX
C1
100 nF
1%
DEVICE
UNDER
TEST
C2
100 nF
1%
NOTES:
CONNECT IC1S UNUSED INPUTS TO GROUND OR VCC.
CONNECT A 100-nF DECOUPLING CAPACITOR CLOSE TO THE POWER-SUPPLY PINS.
KEEP ALL COMPONENTS LEADS SHORT.
Figure 1 Replacing a Pierce oscillators crystal with an unknown inductance allows you to measure its value by observing the resulting oscillations frequency.
designideas
Edited By charles h small
and Fran Granville
D Is Inside
72 Voltage doubler uses
inherent features
of push-pull dc/dc converter
76 Voltage timer monitors
line-connected ac loads
LED-drive capability
current-sense circuit
4.096V
IC1
VIN LT1461
6
VOUT
3
SHDN
GND
4
1
C3
1 F
5V
C4
2 F
R1
LOAD CELL
350
R2
402
0.1%
5V
C1
100 nF
3
7
1
RG
IC2
LT1789-1
8
RG
5
2
4
V
4.096V
REF
8
1 VREF
VCC
IC3
2
7
IN LTC1286 CLK
ADC
6
3 IN
DOUT
R3
75
C6
6.8 nF
C7
6.8 nF
GND
CS/SHDN
C2
10 F
C5
100 nF
SERIAL CLOCK
DATA OUT
CS/SHDN
DATA IN
CS/LOAD
R4
75
5V
C8
100 nF
200 mV FIXED
8 VOUTB
CLK 1
IC4
LTC1446L
7
2
DIN
VCC
DUAL DACs
3
6 GND
CS/LD
4
5 VOUTA
DOUT
FROM
MICROCONTROLLER
NC
Figure 1 The serial dual DAC in this circuit gets an offset voltage from the microprocessor (not shown) during a power-oncalibration routine.
edn070705di40961
DIANE
designideas
ing a microcontroller that, on powerup, starts a software routine to reset the
system offset. The solution is a simple
circuit based on four ICs from Linear
Technology (www.linear.com) in Figure 1. A precision voltage reference,
IC1, has a high minimum output current of 50 mA. It provides an output
voltage of 4.096V to power the load
cell and to set the full-scale of the 12bit ADC, IC3. The highly accurate
LT1789-1 instrumentation amplifier,
IC2, features maximum input-offset
voltage of 150 mV over the temperature range of 0 to 708C and maximum input-drift-offset voltage of 0.5
mV/8C over the temperature range of
0 to 708C with rail-to-rail output that
swings within 110 mV of ground. You
set the gain through precision resistor R2 to a nominal value of 500V to
give an output span of 4.096V when
the load is 5 kg and its maximum input signal is VCC3S54.096V32 mV/
V58.192 mV, where S is the sensors
sensitivity.
The output of DAC_A of dual-DAC
IC4 provides a reference voltage of 200
mV at the reference pin of the instrumentation amp to avoid saturation near
ground of the amplifier itself, where its
transfer characteristic is not quite linear. The amplifiers total worst-case output offset is: VREF1VPAN6VOFFSET5200
mV1125 mV65003150 mV5325
mV675 mV5250 mV/400 mV, where
VPAN5125 mV and is the voltage that
the pans weight produces.
The system-output offset is thus 250
to 400 mV. On power-up, the microcontroller starts a routine that sets the
output of the DAC_A equal to 200
mV, while it increases the output of
the DAC_B of dual-DAC IC4 until
it is equal to the system offset on Pin
2 of ADC IC3, and the result of the
conversion is 000h. This result is possible because IC4 contains two 12-bit
DACs with the same full-scale voltage of 2.5V, making 1 LSB equal to
0.61 mV, which is smaller than IC3s
resolution of 1 mV. This figure corresponds to the resolution of the balance: 5000g/409651.22g. The maximum output voltage of the instrumentation amp with a maximum load of 5
kg is 4.096V1VOUT_TOTAL_OFFSET_INA5
4.346V/4.496V, which is less than the
minimum worst case over temperature
of 4.62V high saturation.
IC3 has a single unipolar differential
input, so you can subtract from the
C1
R1
Q1
VCC
VOUT
C4
Q2
C2
C3
R2
D2
T1
DIANE
designideas
TABLE 1 EXPERIMENTAL RESULTS
Input
voltage
(V)
Input
current
(mA)
Oscillating
frequency
(kHz)
245
1.79
10
250
15
274
6.06
20
280
8.2
25
242
30
205
C1
0.01 F
C2
0.01 F
COM
Load
current
(mA)
Power
input
(W)
7.59
105.95
17.68
104.13
27.7
37.9
10.53
48.1
88.23
6.05
4.24
70.15
13.33
58.7
66.25
6.15
3.89
63.23
Power
output
(W)
Efficiency
(%)
1.22
0.8
65.77
2.5
1.84
73.72
111.7
4.12
3.09
75.08
110.12
5.6
4.17
74.53
1
IN 1
16
OUT 1
2
IN 2
15
OUT 2
3
IN 3
14
OUT 3
4
IN 4
13
OUT 4
5
IN 5
12
OUT 5
6
IN 6
11
OUT 6
7
IN 7
8
GND
10
OUT 7
9
COM
R1
10k
R2
10k
Output
voltage
(V)
IC1
ULN2023A
T1
VCC
C3
10 F
VOUT
C4
1 F
DIANE
Figure 4 Experimental results show the circuit in Figure 3 operating as a lowpower, moderately efficient, wide-range voltage doubler.
2.7k
OUT
7.2k
3k
EACH DRIVER
Figure 3 Taking advantage of the multiple drivers in one package, three drivers
are parallel in each leg of the circuit.
edn070621di40793
IN
VCC 108
Hz,
4S AN
designideas
voltage, and maximizing the permitted power dissipation. Table 1 shows
the experimental results with the voltage-doubler circuit operating over
the input voltage of 5 to 30V. In that
AC LOAD
AC
5V
R1
130k
AC
R2
130k
D1
VDD
DS2423
A
OW
ONE WIRE
B
R3
100k
GND
DIANE
designideas
D1
CMS11
L1
10 H
TO BULB
ADAPTER
C1
10 F
C2
0.1 F
D3
CMPD2838E
L2
470 H
C4
2.2 F
C6
0.47 H
Q1
PHK12NQ03
R1
59k
R2
2k
C3
1 F
C7
0.47 H
DR
VIN
7
1
FA/SYNC ISEN
2
3
COMP
FB
4 AGND PGND 5
IC1
LM3478
R3
750
R4
121k
R5
0.05
Q2
BSP122 2
LED1
LED16
LED17
LED2
LED15
LED18
LED3
LED14
LED19
LED4
LED13
LED20
LED5
LED12
LED21
LED6
LED11
LED22
LED7
LED10
LED23
LED8
LED9
LED24
C5
2200 pF
R7
1k
R8
60.4
Figure 1 Comprising off-the-shelf components, this circuit cascades two stages of boost to drive a string of 20 to 30 LEDs.
powers circuitry of vastly different current draws, two perplexing steps are
designideas
age of approximately 0.6V of a bipolar
transistor to trigger the power-supplyprotection circuits. Although economical, the transistors threshold varies excessively over temperature; hence, the
protection level is unstable.
The circuit in Figure 1 essentially
eliminates the base-emitter-voltage
temperature-variation problem as the
RSENSE
VBA
POWER
SUPPLY
R2
VBB
Q1A
IE
RLOAD
Q1B
VOUT
R4
IB
R3
IC
DIANE
designideas
Edited By Charles H Small
and Fran Granville
MAX5527
SIGNAL IN
TRANSMIT/
BLOCK
H
W
U/D
SIGNAL
OUT
D Is Inside
82 Soft-limiter circuit forms basis
of simple AM modulator
DIANE
designideas
tiometer wiper has a resistance associated with the internal switches
and should be as small as possible
to avoid distorting the switching
signal. A typical wiper resistance is
100V to 1 kV. For the MAX5527
from Maxim (www.maxim-ic.com),
wiper resistance measures 90V.
Because the resistance of a digitalpotentiometer wiper decreases with
increasing supply voltage, you should
select a high supply voltage.
To minimize loading on the signal
source and not limit the potentiom-
R for DI4087
R
Equations
VL + = 6 VREF + R
1 2+ 6 V ,
RIN
V
VROUT
5 (t) =
5 (t).
R1
D1
VL + =
R1
VIN(t)
VREF
Equation 2
R3
VL+
R6
R 6 VA
R2 + 1 +
VREF
V ,
R5
R 5 R
R2R6
SLOPE=
R1
IC1
Equation 3
VOUT(t)
VIN
R
SLOPE= 2
R1
R6
D2
VB
AV =
R2 R6
R1
(a)
VL
R5
(b)
VREF
DI4087
Equation 2
OUT Equations IN
R1 for
1
Thus, theEquation
positive-limiting
value at the
output, VL1, is:
R2R4
SLOPE=
R1
Figure 1 Diodes in the feedback circuit form the basis of this soft limiter (a).
The transfer characteristic of the limiter circuit shows inflection points when
Equation 4
the diodes begin to conduct (b).
R
6
VREF R+ 1R+ 6 V ,
R
5
A V R=4 2 6.R 5 R 4
VL
VREF
V ,
R1+ 1 +
=
R 3
R 3
VL + =
.
R 3
V
R 3
VIN(t), diode R
D11 plays an identical role
to Equation
the one that
4 diode D2 plays for negative VIN(t). So, the negative-limiting
level, VL, is:
Equation 5
R4
R
VL
VREF + 1 + 4 V ,
=
R 3
R 3
R2 R4
AV =
.
and the slope
of theRtransfer
character1
R 3
DIANE
Equation 5
AV =
R2 R4
R1
R
VOUT (t) = 2 VIN (t).
R1
designideas
Equation 2
R8
10k
R
R 6 10k7
R
VREF + 1+ 6 V ,
R5
R25
IC
VL + =
VREF
TL081
R3
10k
Equation 3
AV =
D1
1N4148
R2 R6
R1
R2
68k
C1
1 nF
C3
1 nF
C2
1 nF
VA
R4
10k
R1
2k
R13
2k
IC1
TL081
R14
2k
VOUT(t)
R6
10k
VCC
Equation
4
R9
1k
R10
10k
R5
10k
VEE
R
R4
V = 4 VREF
V ,
+ 1 +
VM(t) L
IC3
R
R 3
R
11 3
TL081
10k
VB
D2
1N4148
R12
10k
VREF
FigureEquation
2 Inserting
5 the soft limiter into the feedback loop of a phase-shift oscilla2
tor enables a simple AM modulator.
1
12
4
VOLTAGE
(V) 0
VOLTAGE 0
(V)
1
(a)
R e fe r e nce
1 Sedra, Adel S, and Kenneth C
Smith, Microelectronic Circuits: Fourth
Edition, ISBN 0-19-511663-1, 1998,
10
15
20
25
30
35
40
45
Oxford
University
Press,
New
York.50
TIME (msec)
12
0
(b)
10
15
20
V(VM)
25
30
TIME (msec)
35
40
45
50
5
V(VOUT)
10
15
20
25
30
35
40
45
50
TIME (msec)
12
Figure 3 The
modulating input, VM(t) to the circuit in Figure 2 (a) obtains the modulated output voltage, VOUT(t) (b).
8
4
VOLTAGE
(V) 0
12
10
15
20
25
30
35
40
45
50
(b)
V(V
)
Daniel Gomez-Ibanez,
Woods Hole
Institution,
TIMEOceanographic
(msec)
Woods Hole, MA
OUT
encounter unique problems. Bus voltages greater than 100V preclude the
use of a standard IC for overcharge and
designideas
BUS TO OTHER CELLS
BUS
TRANSMIT RECEIVE GROUND
D1
LM4050
2.5V
R3
1k
R6
5k
R8
39k
CELL
SELECT
5V
R5
10k
IC2
3 7 OPA347
6
2
R7
4 51k
R1
300
R2
2.2k
R4
300
R12
100k
R13
14
100k
VDD
4 MCLR
18
AN1
17
1
AN0
AN2
2
AN3
7
R14
R15
RB1
3
AN4
6
39k
39k
IC1
INT
8
PIC16LF88
RX
9
RB3
11 TX
12 PGC
Q1
IRF7463
13
16
PGD
RA7
VSS
5
R11
10k
RECEIVE
BUS
GROUND
C1
0.1 F
LITHIUMION CELL
54 AHR
D2
1SMA5920
6.2V
F1
0.375A
4
IC3
MOCD207M
5
TRANSMIT
R10
20
Figure 1 A microcontroller connects directly to a lithium-ion cell and a battery string to monitor the cells voltage and temperature. This process shunts current through R10 under program control to equalize the cells self-discharge. Each cell in
the battery gets its own monitor. The monitors communicate with a controller through optoisolators.
tween the cell monitor and an asynchronous serial bus, running at 9600
baud. A cell-select line, driven by the
supervisor, selects one cell at a time.
The MOCD207M optocoupler has a
tightly toleranced current-transfer ratio, so it operates predictably over the
possible range of supply voltages. Although the quiescent current of this
isolator is near zero, the supervisor can
wake up the monitor from sleep at any
time by sending a pulse over the serial line.
The monitor measures cell voltage
by measuring the fixed voltage of the
LM4050 with respect to the unknown
supply. Op amp IC2 scales the signal
to achieve 3-mV resolution using the
microcontrollers built-in 10-bit ADC.
The reference, op amp, and gain error
designideas
to generate flux in an inductor, which
then charges a capacitor in the common boost configuration. US Patent
4,068,149 describes the flip-flops operation in an application for operating
an incandescent safety lamps flasher
(Reference 1).
In Figure 1, R1 provides a path for
starting current through the base-emitter junctions of Q1 and Q2. Q2 thus
turns on and, in so doing, turns on Q1,
rapidly forcing both transistors into saturation. However, C1 charges through
R2 to the battery voltage minus the
base-emitter drop of Q1 and the saturated collector-emitter voltage of Q2,
eventually causing Q1 to turn off and
thereby also turning off Q2. C1 then discharges through R1 and R2 and the forward-biased base-collector junction of
Q2. The R2C1 time constant determines
the turn-on time, and (R11R2)(C2) determines the turn-off time. C2 acts as
the capacitive input filter for the current flowing from L1 when Q2 is off and
provides a substantially constant voltage to power D2, a standard white LED.
2N2907 Q1
C1
100 pF
R1
10k
BATTERY
1.1V MINIMUM
R2
10k
L1
100 H
400 mA
R3
270
D1
1N914
Q2 2N2222
C2
1 F
D2
3.6V
20 mA
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
performance of FPGA-PWM
counter
EPM240-T100
ALTUFM
OSCILLATOR
LPM COMPARE
LPM COUNTER
SYNC_R
SOURCE
10 BITS
4.4 MHz
25%
A
COC
A=B
B
LPM REGISTER
D
Q
4 BITS
10 kHz
0.3%
LPM COUNTER
U/D
ADJUST
APPLICATION
LOGIC
10 BITS
25
HEADER
JTAG
EN
S=333
CONTROL BLOCK
EN
U/D
R
VCC1
CRYSTAL
OSCILLATOR
EN
COC
U/D
R
COR
LPM COUNTER
R
3333
33.33 MHz
100 PPM
EN
CO
COR
Figure 1 This internal CPLD counter first synchronizes with an external clock
to 60.3% accuracy and stays at that frequency until reset.
66 Relays eliminate
high-voltage noise
PCI-bus-arbiter core
designideas
counter, a 10-bit counter with a powerup asynchronous reset; a synchronous
reset; and a 10-bit output source. The
4.4-MHz clock also drives the 10-bit
up/down-adjust counter that presets to
333 at power-up. It has an enable input,
an up/down-control-input signal, and
a 10-bit output adjustment. The adjust
and source drive the inputs of the compare LPM that generates a one on the
COC (carry-out-from-comparator) signal when adjust equals the source. The
COC signal drives the synchronous
input of the source counter, making
it a free-running counter with a period equal to the adjustment signal. An
LPM register converts the COC signal
into a synchronous, 10-kHz pulse when
you calibrate the system. The controllogic block generates enable, up/down,
and synchronous-reset output signals
based on the COC and COR inputs.
Figure 2 shows the operation of the
control-block state machine. It also illustrates how the 10-kHz signal calibrates to the oscillator input. The system powers up in the start state, and
the source and reference counters both
start counting. Adjust starts at 333, the
minimum count that the slowest variation of the LPM oscillator would require to generate a 10-kHz clock. The
COR signal typically goes high before
the COC signal. This action moves
the state machine to the slow state,
enabling the adjust counter in the up
mode. It counts up from 333 until the
source equals the reference, removing
most of the difference between adjust
and the value necessary to achieve calibration. Once the source equals the
reference, the state machine moves to
the calibrate state. Calibrate disables
the adjust counter and resets the reference counter. The free-running source
counter resets at the same time.
The COR signal will likely occur
COCCOR
START
U/D=0
EN=0
R=0
COCCOR
SLOW
U/D=1
EN=1
R=0
COCCOR
COCCOR
CALIBRATE
U/D=0
EN=0
R=1
COCCOR
SUSTAIN
U/D=1
EN=0
R=0
COCCOR
FAST
U/D=0
EN=1
R=1
again before the COC signal and will the state machines staying in the susrepeat the last sequence. Eventually, tain state until the external clock starts
the COC signal will happen before again. In the sustain state, the 10-kHz
the COR signal, moving the state ma- output stays constant assuming no sigedn070802di40992 DIANE
chine to the sustain state. In this state, nificant change in system temperature
the adjust counter is disabled. Once or VCC.
the COR signal goes high, the COC
The following equations set the
signal comes around again, making the reference-count, adjust, and sourceCOC and COR signals ones. The state counter bit width; the adjust-counmachine then goes to the fast state. ter start value; and the output-freFast enables the adjust counter in the quency accuracy: Adjust and source
down mode, resets the reference coun- bit width5log2(5,555,555/output freter, and then goes to start. The free- quency) rounded up; adjust-start valrunning source counter resets at the ue53,333,333/output frequency; refersame time.
ence-counter period5external-oscillaWhen you calibrate the circuit, the tor frequency/output frequency; outCOR and COC signals occur at the put-frequency error561%/(3,333,333/
same time, and the state machine goes output frequency); maximum output
to the calibrate state. The adjust coun- jitter561/3,333,333 sec; and maxiter remains constant, the source coun- mum calibration time5output freter resets, and the state machine moves quency35.
to start. Meanwhile, the free-running
You can achieve accuracy better than
source counter resets. The system stays 60.3% with a slower output frequenin this calibrated loop with an occa- cy, but it cannot exceed the accuracy
sional cycle through slow or fast to of the external oscillator. Therefore,
make minor adjustments to the adjust you can build a real-time clock with a
counter. If the external oscillator stops, 0.01-second resolution and 60.003%
the COR signal stays low, resulting in accuracy.EDN
COCCOR
Figure 2 This state machine shows the transitions of the control block in Figure 1.
COCCOR
designideas
LISTING 1 FPGA OUTPUT
EDN070719DI4083LISTING 1
Clk, Reset;
Enable;
Out;
[CountBits-1:0] Value;
reg
[CountBits-1:0] Count;
tON
T
tON
T
Figure 1 A simple lowpass filter changes the PWM digital output from an FPGA
to an analog voltage level. The maximum ripple occurs at a 50% duty cycle.
endmodule
Clk, Reset;
Enable;
Out;
[CountBits-1:0] Value;
reg
[CountBits-1:0] Count;
and the frequency of the PWM output is the clock frequency divided by 2
count bits. You can use Enable to lower the output frequency by connecting
it to a prescaler. Because the output
frequency is fixed, the filter is easy to
EDN070719DI4083LISTING 3
Simulation results :
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
Testing
0
1
2
3
4
5
6
7
8
9
10
11
12
13
14
15
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
:
0000000000000000
0000000000000001
0000000100000001
0001000100000001
0001000100010001
0101000100010001
0101000101010001
0101010101010001
0101010101010101
1101010101010101
1101010111010101
1101110111010101
1101110111011101
1111110111011101
1111110111111101
1111111111111101
VOUT
FPGA
DIGITAL
OUTPUT
struments, power supplies, and TV stations. Engineers have used many simple
devices and techniques to handle this
noise. These techniques include the use
designideas
HIGH
VOLTAGE
5V
NO
100k 100k
10k
100k
D1
HIGH-VOLTAGE
AMPLIFIER
PA94
COM
NC
T1
PZ1
1k
RL1
1k
Q1
2N2222
HIGH
HIGH- VOLTAGE
VOLTAGE
OUTPUT
HIGH
VOLTAGE
T1
5V
NO
D2
SWITCH
A
B
C
COM
NC
T9
T9
1k
RL2
1k
PZ2
T6
Q2
2N2222
T5
5V
HIGH
VOLTAGE
RS232-9T
CONNECTOR
5V
NO
SLIP-STICK
CONTROL
SIGNAL
D3
RL3
1k
PZ3
COM
NC
1k
T5
Q3
2N2222
Figure 1 This simple circuit connects unenergized inputs to ground through a resistor.
tems; they do not address high-voltageinduced noise. This Design Idea offers
a practical approach to reducing highvoltage-induced noise. The floating input of a scanning electron microscope
has high impedance, and it acts as an
antenna, picking up noise signals. The
microscopes actuators need a highvoltage signal to drive their piezoelectric slip-stick stack motors. The motion mechanism requires a ramping
waveform spanning to 800V p-p. The
mechanism requires multiple channels
because there are three degrees of tip
motion. Some microscopes incorporate
optical-path-adjustment microsliders for atomic-force microscopy; those
scopes need even more channels.
Traditionally, each channel needs a
high-voltage amplifier. So, two degrees
of tip motion need two high-voltage
amplifiers, three degrees need three
amplifiers, and so on. High-voltage am-
plifiers are expensive and need considerable space on the PCB (printed-circuit board), however. Therefore, controlling multiple degrees of tip motion
using only one high-voltage amplifier
that switches among multiple channels saves cost and space. The pins of
high-voltage connectors have enough
space between them to avoid disturb-
designideas
VHDL program enables
PCI-bus-arbiter core
Antonio Di Rocco, Selex Communications, Chieti, Italy
PCI_RST
PCI_CLK
FRAME_N
IRDY_N
PCI
ARBITER
GNT_N(5:0)
REQ_N(5:0)
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
70 Integrator ramps up/down,
In process-control applications
requiring discontinuous controllers, the most elementary choice is
a two-position-mode or on/off controller. A typical example of such a conR1A
troller is a space heater. If the temperature drops below a setpoint, the heater
turns on, and, if the temperature rises
above the setpoint, it turns off. In the
analog domain, the basis for the ba-
R2A
VCC
VREF
P1A
R3A
A1
D1A
VIN(t)
2R4
D2A
R1B
VREF
R4
2R4
R2B
VCC
P1B
A3
VOUT(t)
A2
R3B
D1B
D2B
OUT DIANE
edn070802di41061
VZ2+VY1
VL
VH
VM
VM
VH
VL
VZ1+VY2
VIN
PWM-duty-cycle clamp
R1 + R 2
,
R2
Equation
2 27, 2007 | EDN 67
september
designideas
(
)
R
Equation
2
Equations
VH = VM +forVZDI+4106
VY 1 ,
R2
and
R1
13
VEquation
,
H = VM + ( VZ + VY )
R2
R +R R
1( V1Z + V2Y,) 1 .
VM
=V
VM
L =
REF
Equation
3
R2
R2
D1A
1N4099
D3A
1N4148
R3
2k
VIN(t)
D1B
D3B
.
four V
zener
diodes.
D2A
L =V
M1( VZ + VY )
Equation
2 a more R
1N5225
2
Figure
3 shows
efficient
way
Equations for DI4106
D2B
to implement a three-position control1N5225
R
1
ler. The
basis
VAcircuits
(t) = VOUT
(t)is a single
. operaR2
Equation
4 and itRneeds
tional
amplifier,
1 + R 2no refer1k
R1 output
VA(t)
ence voltages. The input and
V 1= VM + ( VZ + VY )
,
Equation
diodes H
determine the upperRhigh-volt2
R1
age and
lower low-voltage
1k
5 (t) R1 switchingVEquation
(
t
)
=
V
.
A
OUT
threshold
levels
and Rthe+ hysteresis
of
R
1
2
R1 + R
the comparator.
VIN(t) in the
3 2Putting
VM = VEquation
,
REF
middle bandReliminates
the input di- R Figure 3 This circuit achieves dual hysteresis using only one op amp.
2
VL =the
VZcircuit,
1( Vthe
VY2 ) is 1 .
(
odes from
1 + VY1 )and
Z 2 +circuit
Equation 5
R + R2
essentially a voltage follower with posi-1
R1
5
tive feedback.
The
folVL = VM1
+ VY ) voltage
.
( VZ output
R 2 feedback
lows
V
(t),
but
the
positive
EquationA 2
establishing
this voltage atR1 .
VL = ( VZV
+(t)
VYsets
1A
1 )1( VZ 2 + VY2 )
R1 + R 2
some fraction of the output voltage. So,
Equation 4define the output levtwo constraints
edn070802di41063 DIANE
el in this circuit state: VOUT(t)5VA(t),
R
1
VOUT(t) 0
Vand
,
H = VM + ( VZ + VY )
R2 R
1
VA (t) = VOUT (t)
.
R1 + R 2
VOUT(t)
(V)
The only
Equation
3 condition satisfying these
R1
VL = ( VZ1 + VY1 )1( VZ 2 + VY2 )
.
R1 + R 2
10
0.1
0.2
0.3
0.4
0.5
0.6
TIME (mSEC)
0.7
0.8
0.9
Figure 5 This oscilloscope trace shows the response of the circuit in Figure 3
to a triangular input waveform.
designideas
Integrator ramps up/down,
holds output level
Glen Chenier, TeeterTotterTreeStuff, Allen, TX
5V
R7
10k
12
IC1D
LMC6484
R8
220
13
R1
1k
10
R9
10k
R10
1M
IC1C
LMC6484
9
TRIANGLE
DRIVE
0.1 TO 4.9V
108-mV BIAS
IC1A
LMC6484
2
14
R3
10k
5
R5
100
R4
470
RAMP OUTPUT
0.02 TO 4.98V
IC1B
LMC6484
PWM OUTPUT
R2
1M
11
VI
dV/dt
R6
1k
C1
1 F
SPEED
Figure 1 This op-amp integrator ramps up or down at a preset rate, holding a final value equal to the input-voltage dc
level.
VO
designideas
you can raise VCC to 15V with adjustments to the bias resistors or raise C1s
value by using parallel nonpolarized capacitors. Alternatively, you could raise
R2s value, although selection is sparser
for potentiometers with values greater
than 1 MV.
If your application does not require
a long time constant or if you use the
aforementioned methods to increase
D1
R2
20
R1
10k
R3
100k
12V
R6
GATE
7.5k
5 FIGURE 2
STEVE
EDN070903DI4117
8
3
C1
130 pF
6
7
LM311
R4
36k
VCC
INV TPS2828
OUT 4
INPUT
R5
100k
GND
2
VTRIP
Figure 1 This simple circuit clamps the duty cycle of a switching regulator to
90%.
edn070903di41171
15
10
5
0
DIANE
VOUT
VT
15
10
5
0
VOLTAGE
VTRIP
(V)
5
2.5
0
15
10
5
0
GATE
10
12
14
16
TIME (SEC)
18
20
22
24
R e fe r e nce s
1
designideas
pacitor is roughly 130 pF. The design
for 120-pF
DI4117capacitor. The
usesEquation
a standard,
following equations describe the calculations: t5(12DMAX)(1/fS)2dead
time5700 nsec, and
C1 =
1t
130 pF.
VTRIP
ln 11
R
1
V
OUT
A SPICE simulation with the circuitry in Figure 1 ran to ensure that the
duty-cycle clamp works with the circuitry. Figure 2 shows the results of
this simulation. VOUT is the output of
the PWM controller, VT is the voltage
at the inverting pin of the comparator,
VTRIP is the voltage at the noninvert-
Microcontroller
output
DAC input
DAC output
(V)
0000
1000 0000 10
10.998
0001
1001 0001 10
10.863
0010
1010 0010 10
10.730
0111
1111 0111 10
10.066
1000
0000 1000 10
0.066
1101
0101 1101 10
0.730
1110
0110 1110 10
0.863
1111
0111 1111 10
0.998
B9
OUT
B8
B7
B6
B5
B4
DAC
B3
B7
VCC
B2
B1
B0
B6
B5
MICROCONTROLLER
B4
B3
B9
B2
B8
B1
B7
B0
B6
B5
B4
DAC
B3
VCC
B2
B1
B0
Figure 1 This circuit converts 234-bit outputs from the microcontroller into
2312-bit, 16-level ASK values.
edn070903di41211
OUT
DIANE
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
80 CPLD connects
R1
IC1
R2
GROUND
C1
R6
R3
D2
D3
V
INPUT
R1
DIANE
IC1
R2
GROUND
C1
R6
R3
R5
D1
D2
Q1
OUTPUT
Q2
D3
V
Figure 2 This circuit works as a bipolar-current source when the voltage drop across
source resistor R6 becomes larger than the gate-threshold voltage of depletion-mode
MOSFETs Q1 and Q2, thus limiting the current through clamping diodes.
edn070913di41022
OUTPUT
R5
D1
INPUT
two instruments
with half-duty-cycle generator
the output-voltage swing with lowresistance loads. The alternative approach is to use fuses or other currentlimiting devices, which precede these
clamps high energy-absorption capability. The circuit in Figure 2 works
as a bipolar current source when the
voltage drop across source resistor R6
becomes larger than the gate-threshold voltage of depletion-mode MOSFETs Q1 and Q2, thus limiting the
current through the clamping diodes
(Reference 2). The drawback of this
approach is high power dissipation on
series components during the overload condition.
A reasonable approach disconnects
the amplifier-output node from the
output terminals for the period when
the overload voltage exists on output terminals. Engineers for decades
have used such serial disconnection
by means of electromechanical relays
in audio power amplifiers but for a different reason: loudspeaker protection.
SSRs (solid-state relays), including optoelectronic, photovoltaic, OptoMOS,
and PhotoMOS devices, suit the task
DIANE
designideas
V
IC2
VREF
IC5
INPUT
R1
R6
IC1
R2
C1
GROUND
IC4
R3
Q1
Q2
OUTPUT
R5
VREF
D1
D2
D3
STEVE
IC3
V
EDN070913DI4102 FIGURE 4
V
R6
1.5k
6
5
PUMD12
IC2
1
INPUT
GROUND
R1
1k
5
IC
1
OPA551
2
7
8
4
R2
220k
C1
Q1
D1
BAV99
D3
BAV99
D5
B240A
Q2
4
D6
B240A
R10
47k
IC3
R5
10k
D4
BAV99
3
2
R3
100k
D2
BAV99
5
6
PUMD12
R11
220k
OUTPUT
R12
47k
IC4
R8
1.5k
1
V
designideas
CPLD connects two instruments
with half-duty-cycle generator
Yu-Chieh Chen and Tai-Shan Liao,
National Applied Research Laboratories, Hsinchu, Taiwan
DIANE
//67108864
designideas
circuit in Figure 1 forms a highAchieve simple IR-data transmission passThefilter
with the output impedance
of
the
serial
port FIGURE
and the capacitor.
STEVE EDN070903DI4124
1
from a PCs serial port
The positive pulses drive one IR LED;
VCC
TX
V
LED1
LED2
TO
MICROCONTROLLER
GND
Figure 1 By sending appropriate hex values to a PCs serial port, this circuit
generates precise bursts of 38.4-kHz data.
OUT
GND
GND
when the fault is brief. Common causes of such faults are the current spikes
that charge the regulator outputs decoupling capacitor.
Unless the current limit of the regulator clips the resulting spikes, the
spikes are equal to the regulators output-voltage rate of rise multiplied by
the sum of the parallel output capacitances: I MAX5dV/dt3C OUT, where
IMAX is the maximum current, dV/dt is
a differential in voltage with respect to
a differential in time, and COUT is the
output capacitance. The math suggests
that the best strategy for limiting the
regulators turn-on maximum current is
designideas
to limit dV/dt. The circuit in Figure 1
relies on this trick and works with industry-standard adjustable linear regulators, such as the popular low-dropout
LM2941.
The basis of the dV/dt-limiting
technique comprises the six added
components: R3, R4, CT, D1, D2, and
Q1. On power-up, the control current
through R3, CT, and D2 delays the rise
of the output voltage and thus prevents excessive maximum-current
transients.
Heres how it works. When VIN is
on and Q1 is off, current through R3,
CT, and D2 pulls the adjust pin of the
regulator to the reference. This action limits VOUTs dV/dt to the rate
of CT charging through the series resistance, (R31R1R2/(R11R2)), and
thereby limits IMAX to any desired
value using the design equations
R35(VIN2VREF21)/VOUT, R45,20 R3,
and CT5COUTVOUT/(IMAX(R31R1R2/
(R11R2))). For example, given the
circuit constants in the figure and
OFF
ON
CIN
470 nF
IN
ON/OFF
R4
47k
R3
3.65k
Q1
CT
470 nF
LM2941
VOUT
5 TO 20V
AT 1A
OUT
R2
3.65k
ADJ
VREF
GND
D2
1N4148
D1
1N4148
2N3904
COUT
R1
1.3k
R3R2 (VINVREF1) .
VOUT
dVOUT
VOUT
.
dt
(R3R1R2)CT
IMAX
COUT VOUT .
(R3R1R2)CT
Figure 1 On power-up, the control current through R3, CT, and D2 delays the
rise of VOUT and thus prevents excessive I MAX transients.
edn070927di41361
DIANE
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
J1
1 LINE
L2
1 mH
70 Autozeroed amplifier
microcontroller-bus clock
630V MAXIMUM
R1
30
C1
220 nF
440V AC
1N4007
D1
1N4007
VIN RMS=90440V.
360V DC
R3
620k
C2
47 pF
1N4007
R2
620k
1N4007
2
LINE (NEUTRAL)
IC1
R4 TL431CZ
4.3k
D2
15V
C3
4.7 F
400V
Q1
STP4NK50Z
Figure 1 This simple circuit clamps input-ac voltages higher than 260V rms to levels safe for the operation of the power
MOSFET in a switched-mode power supply.
edn070913di41411
DIANE
designideas
charging pulses replenish the energy
loss, increasing the voltage to the limited level. When the input voltage gets
higher than 260V rms, Q1 again switches off, and the whole process repeats.
Q1 has small power dissipation. During every switching period, the MOSFET is on for only 450 msec, resulting
in high efficiency for this high-voltage-limiting circuit. You can use it as
steve EDN070927DI4132
1
Autozeroed
amplifier withFIGURE
halved
noise
needs few components
Marin tofka, Slovak University of Technology, Bratislava, Slovakia
2
1
R1
10
9
8
2
1
R1
10
9
R1
10
9
IN
VINN
2
1
R1
10
9
47 nF
VS
5
6
AD8553
VS
VS
NC
5
6
AD8553
VINP
VS
NC
8
2
1
AD8553
VS
VS
7
R2
NC
AD8553
6 220 pF
OUT
7
REF
100 nF 3
ENABLE
VS
VS
designideas
value of R2/N, where R2 is the value for
a desired voltage gain of AV in a single IC. Because the primary source of
noise in an amplifying IC is its input
stage, you can assume that the standard deviation of the random component of output current of the paralleled-N voltage-to-current converters
is sNI5sI3=N, where sI is the standard deviation of the random component of output current of a voltage-tocurrent converter. These results differ
from those in Reference 2, in which
designideas
the current through the LED, provided that the ambient temperature remains constant. However, white and
other-color LEDs exhibit significant
variation in luminosity as a function
of temperature (references 2 and 3).
Typical figures for variation in luminosity range from 40 to 150% for a
1008C change in temperature. Thus,
if you expect the ambient temperature
to vary, regulating only the current
through the LED is an inefficient way
to control the LED. An alternative is
to use optical feedback to control the
LED (Reference 3).
However, rather than use an expensive light sensor and amplifier circuit,
you can use a suitable LED as a light
sensor (Reference 4). Figure 3 illustrates a controller for a white LED
using an inexpensive buck-regulator IC, an adjustable LM2575. A 3mm red LED in a transparent package
senses the light from a 10-mm white
LED. The white-LED spectrum is
wide enough to excite the red LED as
a sensor. For a test current of 60 mA
through the white LED, the red-LEDsensor voltage is approximately 40 mV.
Because the circuit uses the red-sensor LEDs voltage as a feedback to the
buck regulator, you must use an amplifier with a gain of approximately 30
because the internal reference voltage of the LM2575 buck regulator is
1.23V. Resistors R1, R2, and R3 control
the gain of the amplifier, which comprises an inexpensive LM358 dual op
amp. The input-dc voltage powers the
op amp. Resistors R1, R2, and R3 have
values of 270, 560, and 10 kV, respectively. Because R2 is a variable resistor,
changing its setting changes the gain
and, thus, the current through the
white LED. Thus, R2 acts as bright-
VCC (625V)
100 F
35V
VIN
OUTPUT
330 H
LM2575
1N5819
FB
GND ON/OFF
3
5
4
OPTICAL
COUPLING
VCC
5
8
LM358
6
R1
270k
R4
1M
RED
LED
R2
560k
Figure 3 Use an inexpensive buck regulator and a red LED as a sensor for
optical feedback to control the intensity of a white LED.
ness control. The amplifier gain ranges the white-LED light increases, and
edn071025di41533 DIANE
from 28 to 84, depending on the set- the regulator reduces the output voltting of R2.
age, which stabilizes the white-LED
The red LED as a sensor mounts on light.EDN
the side of the white LED itself, thereby using only a fraction of the emitted R e f e r e n c e s
light from the white LED. File the 3- 1 Saab, Alfredo H, and Steve Logan,
mm red LEDs top to get a flat surface, High-power LED drivers require
and then use a drop of superglue to se- no external switches, EDN, July 19,
cure the 3-mm red LED onto the side 2007, pg 78, www.edn.com/article/
of the white LED.
CA6459061.
The LM2575 buck regulator works 2 Specifications for Nichia Warm
by changing its duty cycle to regulate White LED, Nichia Corp, www.
the output voltage. If the white-LED nichia.com/specification/led_lamp/
output light falls because of increased NSPL510S-E.pdf.
temperature, the red-LED sensors 3 Santos, Bjoy, Optical feedback
voltage falls proportionately. The out- extends white LEDs operating life,
put of the red-LED sensor connects to EDN, Jan 18, 2007, pg 84, www.edn.
the feedback input (Pin 4) of the regu- com/article/CA6406731.
lator IC, and, in response, the regula- 4 Gadre, Dhananjay, and Sheetal
tor IC increases the duty cycle of the Vashist, LED senses and displays
output voltage you apply to the white ambient-light intensity, EDN, Nov 9,
LED, thus stabilizing the light. In case 2006, pg 125, www.edn.com/article/
of a decrease in ambient temperature, CA6387024.
WHITE
LED
R3
10k
220 F
16V
designideas
Selecting the source, the divisors, and
the FLL settings allows versatility but
also can get complicated.
Once you write the bus-clock-initialization routine, you may want to verify
that the bus is running at the speed you
intend before moving on to the rest of
the project. This Design Idea presents
routines that output a square wave at
exactly one-tenth the bus speed on any
I/O port (listings 1 and 2). Just connect a frequency counter to this pin,
and it will display your bus frequency.
All you have to do is move the decimal point one place to the right. Once
you verify the bus speed, you can confidently write the timer, serial-I/O, and
other clock-dependent routines.
You need to write code only to first
disable interrupts and disable the COP
(common on-chip processor). In your
bus-clock-initialization routine, be sure
to initialize the I/O port you want to
use as an output. Then, just jump to
the toggle clock, which outputs the bus
frequency divided by 10 until powerdown. This Design Idea uses PB0 in
;put16-bit
16-bitaddress
addressofofPB
PBininH:X
H:X
;put
;makewhatever
whateverbits
bitsininPB
PBthat
thatwill
willtoggle=1
toggle=1
;make
;2;2
;1;1
;1;1
;1;1
;2;2
;3;3
;put 16-bit
16-bit address
address of
of por
port t PD
PD inin H:X
H:X
;put
;make whatever
whatever bits
bits inin PD
PD that
that will
will toggle=1
toggle=1
;make
;2
;2
;1
;1
;4
;4
;3
;3
designideas
Edited By Charles H Small
and Fran Granville
Gain-of-two sample-and-hold
amplifier uses no external resistors
D Is Inside
When you need to simultaneously sample a signal and amplify the signal level, you can cascade a
common gain-of-one sample-and-hold
amplifier and an amplifier with a voltage gain of one. With some exceptions,
such an amplifier has two external resistors (Reference 1). These resistors
dissipate power even at the steady
state of the sample-and-hold amplifier.
In monolithic ICs, power dissipation
and the consequent generation of heat
constant-power-boost converter
OUT
C1
2.2 nF
2
10
2
10
VS
IN
3
IC3
5
C3
1 nF
VS
VS
C2
1 nF
100
nF
VS
47 nF
QD
VS
QS
6
4
A3
VS
B3
IC2
VS
AD8592
A2
10
AD8592
IC1
B2
A1
B1
AD8592
VS
QDE
AD8591
1
IC6
IC4
2
5
VS
2
VS
SN74AUC1G02
3
VS
IC5
2
SN74AUC1G08
3
VS
Figure 1 This sample-and-hold amplifier achieves a voltage gain of two by simultaneously tracking the input voltage on
capacitors C1 and C2, stacking these capacitors within the sample interval, and storing the value of the stacks voltage
in capacitor C3.
edn070802di41051
DIANE
designideas
the chip must be larger, furtion of the VC2 voltage is sA
ther increasing the final cost.
only for the A1 follower. The
Its no wonder that designers
standard deviation of VC1
Q
of monolithic ICs as much as
voltage is, however, =2sA,
possible avoid using precision
because C1 charges through
resistors.
two series-configured followQDE
If the required voltage-gain
ers, B1 and A2. The standard
of a sample-and-hold amplideviation of VC11VC2 voltage
fier is an integer, which it is
thus has the value of =3sA.
INTERNAL
QD
in most cases, you can use an
The voltage of VC11VC2 apTRACKING
alternative way of increasing
plies to C3 through two folOF INPUT
the magnitude of the output
lowers in a cascade, B3 and
STORED
signal. For a voltage gain, G,
B2, within the sample interQS
VOLTAGE
the circuit can simultaneousval. Further, the VC3 voltage
2VS2
ly track input voltage, V IN,
applies to the output through
on temporarily ground-refthe A3 follower. Because all
erenced tracking capacitors.
of the noise sources are muFigure 2 The external-control-logic signal, Q, splits into
Subsequently, an interruption
tually independent and betwo quasicomplementary signals, QS and QD, to avoid
occurs in tracking and cancause they all effectively act
any internal cross-conduction in the amplifier.
cels the ground referencing
in series, the standard deviaEquation
for output
DI4105voltage is
of G21 of these capacitors.
tion of the
Meanwhile, the tracking capacitors 2 of IC2). Because C1s voltage has the sOUT5=6sA. Increasing the integer
stack on top of each other. The volt- same value as the input voltage and gain to the value of G yields=3GsA.
age on the stack is the sum of voltages the output voltage, the B2 follower is You now pose an RSNR (relative sigof all of these capacitors, and it thus 2VIN. Capacitor C3 thus charges to the nal-to-noise
ratio)
Equation
1 as gain, G, over a
has the value of GVIN. Upon the sam- voltage of 2VIN. After the high-to-low relative increment of noise at the outple command, the constant voltage of transition of QS, another dead slot fol- put, yielding:
GVIN gets stored in the G11 ground- lows to prevent any cross-conduction
G
G
RSNR =
=
.
referenced storing capacitor.
in the circuit. At the next high-to-low
OUT
3
Figure 1 shows an example of a sam- transition of QS, the process repeats.
A
ple-and-hold amplifier with a voltage
The A3 follower serves as an impedgain of two. Voltage followers control ance converter, outputting the voltFor the sample-and-hold amplifier
the potentials on capacitors C1, C2, and age in C3. The single NOR and AND in Figure 1, the RSNR equals 0.8165,
C3 using their shutdown function. The gates, together with op amp IC6 func- meaning that the noise characteristics
design uses Analog Devices (www. tioning as a delay line, modify the of the circuit are slightly worse than
analog.com) AD8592 dual op amps be- single external-logic-control signal to those of a single follower. For a gain of
cause their output-leakage current in create the properly timed internal logic three, the RSNR has the value of one,
shutdown mode can be lower than 10 signals, QD and QS.
and, starting from a gain of four, at
pA (Reference 2). You can follow the
For a noise analysis, assume that the which the RSNR is 1.155, it gradually
operation of the sample-and-hold am- noise characteristics of each of the rises with increasing gain. The concluplifier with the timing diagram (Figure followers are the samenamely, the sion is that, for voltage gains of four or
2). The external logic-control signal, standard deviation, sA, of the random higher, the noise characteristics of the
QS, is at a low level, and the C1 and component of the output voltage of a sample-and-hold amplifier are better
C2 capacitors simultaneously track the single follower. At the end of the track- than those of a single follower.EDN
voltage at its input. The shutdown in- ing interval, both C1 and C2 charge to
puts of followers A1, B1, and A2 are tied the input voltage. The standard devia- R e f e r e n c e s
1 tofka Marin, Gain-of-two instrutogether. At QD5high, they are enabled, so the input voltage appears at
mentation amplifier uses no external
Its no wonder
the outputs of A1 and B1, and no voltresistors, EDN, Feb 15, 2007, pg 81,
age appears at the output of A2. After that designers
www.edn.com/article/CA6413786.
2 AD8592 Dual, CMOS Single
the high-to-low transition of QD, a dead of monolithic ICs
slot follows with each of the controlled
Supply Rail-to-Rail Input/Output
followers turning off. At Q5high, B3 as much as possible Operational Amplifier with 6250 mA
and B2 turn on. Thus, the voltage in avoid using
Output Current and a Power-Saving
C2 appears at the output of B3. The
Shutdown Mode, Analog Devices
potential of the input voltage occurs, precision resistors. Inc, 1999, www.analog.com/zh/
therefore, at the lower node of C1 (Pin
prod/0,,759_786_AD8592,00.html.
designideas
Circuit for measuring motor speed
uses low-cost components
R Garca-Gil, J Castell, and JM Esp,
Escola Tcnica Superior dEnginyeria, University of Valencia, Spain
This
Text
Design Idea uses a microcontroller, a 1632-key LCD,
and a rotary encoder to measure and
visualize the speed of a motor (Figure
1). You measure the rotor speed of the
motor using an incremental encoder
coupled to the motor shaft, which provides quadrature pulses with a frequency proportional to the rotor speed. The
1024-pulse rotary encoder is the RS32-0/1024ER.11KB from Hengstler
(www.hengstler.com). You can calculate the rotational speed of the motor,
vR, by counting the number of revolutions that the encoder axis, nV, makes
60 n V
60 n P
=
= nP ,
tP
t P 1024
2 RA0/AN0
RC0/T1OSO/T1CK1
3
RA1/AN1
RC1/T1OSI/CCP2
4
RC2/CCP1
RA2/AN2/VREF
5
RC3/SCK/SCL
RA3/AN3/VREF
6
RC4/SDI/SDA
RA4/T0CK1
7
RC5/SDO
RA5/AN4/SS
RC6/TX/CK
20
RC7/RX/DT
VDD
IC1
PIC16F873
5V
C1
100 nF
R1
47k
1
C2
100 nF
9
C3
33 pF
14-MHz
CRYSTAL
C5
33 pF
1
2
3
RB1
RB2
OSC1/CLKIN
RB3/PGM
10
RB4
OSC2/CLKOUT
8
VSS1
19
VSS2
9V
J3
RB0/INT
MCLR/VPP
IC4
7805
1 2 3
C7
100 nF
C9
100 nF
RB5
RB6/PGC
RB7/PGD
11
12
13
15
IC2
162 LCD
R/W
6 E
14
VCC
RS
1
2
P1
10k
16
17
18
21
22
23
24
25
26
27
28
C4
100 nF
9V
9V
C8
100 nF
VO 3
1
D0 D1 D2 D3 D4 D5 D6 D7 GND
7 8 9 10 11 12 13 14
5V
J4
R2
10k
R4
10k
C6
100 nF
3
ENCODER
R3
10k
R5
10k
IC3
2
4
3
J2
1
1
2
TLO 82
9V
9V
Figure 1 This circuit for measuring a motors speed includes a PIC microcontroller and an LCD. It also provides an analogto-digital conversion without an ADC.
edn070903di41201
DIANE
designideas
When the counter reaches this time,
the count, nP, determines the rotational speed, according to the equation. Finally, this value appears on the screen
of the LCD.
In addition, a digital-to-analog conversion is necessary if the control system must measure the rotational speed.
You can do this conversion without
adding an expensive DAC by applying a PWM (pulse-width-modulation) output of the microcontroller
VARYING-SUPPLY VOLTAGE
LED1
STATUS LED
R5
3.3k
R6
1k
The monitor circuit in Figure 2 integrates an ADC within the microcontroller. The converter uses the
battery voltage as a reference voltage. The principle is the opposite of
normal: You want to measure a fixed
voltage using a variable-voltage reference (the battery). For an 8-bit converter, the result for this example is
(1.18V/VBAT)3256. Note that a high
value indicates that the battery voltage is low. Also, you can use the microcomputer pin that connects to the
reference for another purpose. This
example normally uses Pin 6 as an
output to the pulse-indicator LED,
LED1. However, by briefly changing
the port direction to analog-input
mode, you can complete the batterymeasurement operation, including
REF
ADC
IN
FIXED
VOLTAGE
DIANE
C1
1 F
1
IC1
VCC
2 GP5
PIC12F683
3
4 GP4/AN3
GP3/MCLR
8
GND
7
GP0/AN0 6
GP1/AN1
GP2/AN2 5
R2
1k
R3
10k
Q1
BC817
R4
6.8k
R1
3.3k
VREF1
V25
D1
LM4041
C2
100 nF
BT1
3V
BATTERY
Figure 2 This monitor circuit integrates an ADC within the microcontroller. In this circuit, the converter uses the battery
voltage as a reference voltage.
DIANE
designideas
ing D1. Resistors R3 and R4 ensure that
the transistor is extinguished during
the battery measurement. R2, R3, and
R4 introduce some attenuation, which
you must take into account.
Figure 3 shows the monitor with
the addition of a constant-power voltage-booster circuit. The PWM (pulsewidth-modulation) output of the microcontroller drives the converter. For
LED1
STATUS LED
R6
1k
R5
3.3k
also have internal resistance and exhibit a recovery phase after supplying
a heavy load. The resistance increases with low temperature and low battery charge. To determine the batterys
state, you can take measurements before and immediately after a high-current load is active. This approach allows estimation of both internal resistance and battery charge.EDN
C1
1 F
1
IC1
VCC
2
PIC12F683
GP5
3
4 GP4/AN3
GP3/MCLR
8
GND
7
GP0/AN0 6
GP1/AN1
GP2/AN2 5
R3
10k
Q1
BC817
R11
47
R1
3.3k
R2
1k
D1
LM4041
R10
100
VREF1
V25
R4
6.8k
VBACKLIGHT
Q2
D2
Q3 PRLL5817
BC817
C2
100 nF
BT1
3V
BATTERY
L1
47 H
0.8A
FMMT618
R12
1k
C3
100 nF
C4
10 F
12V
Figure 3 This monitor adds a constant-power voltage-booster circuit. The PWM output of the microcontroller drives the
converter.
edn071011di41473
DIANE
designideas
Edited By Charles H Small
and Fran Granville
D Is Inside
low-power devices
72 Solid-state analog-data
OSCIN
VDDA
74HC4060
R9 NET9
10M
OSCIN
OSCO1
OSCO2
Q4
Q14
Q5
Q13
Q6
Q12
Q7
Q10
Q8
RESET
Q9
R3
100k
AMPLIFIER
INPUT
NET6
IN_B
R4
10k
R5
10k
R2
10k
R6
NET8 10k
X2
R7
10k
VSSD
INB
OUTA
INA
POS_IN
C2
0.1 F
R1
10k
NET5
3750 Hz
CLK
VSS
VSSA
MAINS AC
V1
220V MOV
D3
D4
D1
L1
6H
L2
50 mH
C3
1 F
R8
100k
NET1
GAIN
GAIN OF
0 AT 10 TO 20 dB
MOV
AMPLIFIER
OUTPUT
OUTB
OUTA MIXEDVDD
SIGNALTHD
INA
OUT5
ANALYZER
(MSTHDA)
IPA
OUT4
AGND
AMPLIFIER
OUTPUT
OUT3
OUT5
OUT4
OUT3
300 Hz
C1
0.1 F
240 Hz
180 Hz
OUT2
120 Hz
FUNDAMENTAL
60 Hz
OUT1
FUNDAMENTAL
PD
POWER-DOWN
X1
OUT2
VSSA
FILTER INPUT
D2
NET11
R10
91k
R11
10k
VSSA
Figure 1 This mixed-signal-THD analyzer monitors the fundamental frequency amplitude and the second-, third-, fourth-, and
fifth-harmonic content of the mains-input voltage.
designideas
analyzer also has digital-gain control
for measurements in which the input
amplitude is 10 or 20 dB lower than
nominal: 2V p-p. The outputs of the
analyzer are analog. Depending on the
display that an application requires,
you could tie the outputs to a barcode interface, such as the LM3915
for 3-dB steps, or interface them with
a multiplexer on a microcontroller for
a digital readout.
Figure 1 shows the connections of
4069
1
11
10
13
12
2.2M
BS250
13.56 MHz
33 pF
33 pF
14
VCC
GND
BS170
5065 MM
FIVE TURNS
7
60 pF
9V
100 nF
Figure 1 A simple 13.56-MHz oscillator energizes an antenna coil, broadcasting power to the receiving circuit in Figure 2.
edn070927di41381
1N4001
5065 MM
FIVE TURNS
3.3V DC
DIANE
1N4001
60 pF
1N4001
1N4001
BZX85-C3V3
1 nF
Figure 2 This receiver rectifies the signal from the oscillator in Figure 1, powering low-current consumer gadgets.
designideas
50%. Reaching 3.3V of output voltage
requires a 9V p-p ac voltage across the
coils pins. A shunt regulator incorporating a 3.3V zener diode provides voltage clamping beyond 3.3V to prevent
power-level variations with distance.
Finally, a 1-nF capacitor after the full-
3.3V
10k MC14049
9
10
3.3V
RESET
11
10
16
8
MC14040
11
10
16
8
3.3V
9 7 6 5 3 2 4 13 12 14 15 1
Q12
Q1
MC14040
Q21
Q1
1k
14 13 12 11 10 9 8 7 29 28 25 27 6 30 5 33 4 32 3 35 2
3.3V
1k
36
18
24
5
100 F
0.01 F
NE555
22
10
VS
LM35DZ
D0
6 7 8 9 10 11 13 14
HI5812
3.3V
1k
3.3V
NE555
1k
6
1
5
0.01 F
1k
12 11 10 9 8 7 6 5
FINE
100
16
0.01 F
13
1 2
0.1 F
5V
5V
4
DAC08
15
LM35DZ
VS VOUT GND
1 F
D7
14
13
2 LM358
4
5V
1 MC14066
14
LM358
6
5V
3.3V
5V
5V
1V
2 LM358
1k
0.1 F
3.3V
3
3.3V
19
22k
4
17
24
15
18
VOUT
D7
RECORD/
PLAYBACK
1k
COARSE
1k
20
16
12
23
21
0.01 F
D0
10k
7
5
1k
5
4 MC14066 3
8
9
MC14066
6
22k
D7
3.3V
5V
MC14049
8
22k
26
15 16 17 19 20 21 22 23
D0
47 F
Q21
31
DS1270W
3 4
3.3V
3.3V
NE555
1
21
3.3V
Q13
3.3V
8
6 3.3V
220
9 7 6 5 3 2 4 13 12 14 15 1
3.3V
5
3.3V
LM358
6
4
1V
7
10k
10k
1 nF
Figure 1 This solid-state data recorder uses an ADC to digitize data, which gets stored in a nonvolatile SRAM. To read back the
edn070913di41221
DIANEof the record-and-playback switch.
analog data, the memorys contents shift to a DAC, depending
on the state
VOUT
designideas
Wideband peak detector operates
over wide input-frequency range
Jim McLucas, Longmont, CO
C3
2.2 F
5V
R3
10
R13
10
C14
0.01 F
C16
BOOST
0.01 F VOLTAGE
C5
100 pF
R1
180
C1
27 pF
IC1
7
LM6171B/NS
2
6
3
C
4
6
0.01 F
C2
0.1 F
C7
2.2 F
C12
1000 pF
C13
1000 pF
R14
R
10 15
100
D5
1N914
IC2B
TL084
1
R5
10
C9
6.5V
2000 pF
R2
100k
IC2A
TL084
R7
9
15k
8
10
D6
1N914
R22
22
R6
1.5k
5V
R10
1M
R8
1k
12V
R23
33
R26
47k
C19
0.1 F
R24
47k
6
5
C10
0.1 F
R9
1M
C11
0.22 F
R21
7.5k
C18
0.01 F
AC
INPUT
C8
0.1 F
R11
100
C17
0.01 F
IC2C
4
TL084 13
14
12
D3
D4
SDIO1C SDIO1C
11
R17
820
IC3
AD8561
1
3
7
8
G
2
L 6
5
C15
4
0.01 F
R19
22
R20
10k
R12
36k
R4
27
12V
R18
100
D2
1N914
R16
820
D1
SDIO1C
C4
0.01 F
the ac signal to the ultrafast comparator, IC3. The output of IC1 centers on
0V dc by the action of op amp IC2A and
its associated components, which sample the dc level at Pin 6 of IC1 and then
provide a dc-correction voltage to Pin 3
of IC1. This action virtually eliminates
1 the effects of IC1s input-offset voltage
and input-bias currents. R1, R4, and C1
provide a small amount of gain boost as
the frequency increases to 25 MHz, and
C5 then begins to roll off the gain.
The input signal capacitively couples
to the input buffer, so, for proper operation, the input-ac signal must have a
symmetrical waveform, such as a sine
wave. An unsymmetrical waveform has
a shift in its peak value after passing
through C2, and, as a result, the output
of the peak detector will be inaccurate.
C20
0.1 F
R25
2.2M
IC2D
TL084
7
DC
OUTPUT
Figure 1 This precision peak detector uses an ultrafast comparator to achieve high accuracy over a wide input-frequency
range.
designideas
The output of comparator IC3 swings
high when the input at Pin 2 is higher
than the dc level at Pin 3. This action,
in turn, charges holding capacitor C19
through R17, D4, D5, D6, and R23. When
the voltage on C19 is higher than the
peak signal level at Pin 2 of IC3, the
comparator stops providing charging
pulses at its output. At equilibrium,
the comparator provides output pulses
with the correct amplitude and width
to maintain the voltage on C19 at approximately the peak level of the input
signal. The high-input-impedance dc
buffer, IC2B, minimizes the discharging
of C19 between charging pulses.
The network comprising R24, R25,
and C20 filters and attenuates the dc
output by 2.1%. This attenuation is
necessary because the output tends
to be slightly higher than the actual
peak level of the input signal at Pin 3
of IC1. The circuitry comprising IC2C
and its associated components provides
a novel feature: a voltage boost at Pin
14 of IC2C as the voltage on holding
capacitor C19 increases. The circuitry
tiometer for R4 to provide an outputlevel adjustment, and a dc-offset adjustment would improve accuracy at
low signal levels.
This circuit used a 300-MHz-bandwidth oscilloscope to make the performance measurements. As a result,
the data in Table 1, which is available in the online version of this Design Idea at www.edn.com/071122di1,
may include some measurement errors. Therefore, take the results in the
table as representing the circuits performance rather than as precise data.
The data is simply the result of the
best equipment on hand when the
measurements were made.EDN
R e fe r e nce s
McLucas, Jim, Precision peak
detector uses no precision components, EDN, June 10, 2004, pg 102,
www.edn.com/article/CA421510.
2 AD8561 ultrafast 7 ns single
supply comparator, Analog Devices,
www.analog.com/UploadedFiles/
Data_Sheets/AD8561.pdf.
1
designideas
Edited By Charles H Small
and Fran Granville
Filter simplifies
software-defined radio
D Is Inside
SDRs (software-defined radios) provide enormous flexibility, permitting you to change modes
or waveforms at will. This Design Idea
focuses on the exciter portion of a
moderate-bandwidth SDR (Figure
1). The RF carrier or transmitter IF
enters the quadrature modulator, and
the modulated output exits for further
frequency translation or amplification,
depending on the details of the design.
The DSP section generally works with
analytic signalsin this case, signals
with real and imaginary partsat baseband. These signals may have started
out as a voice speaking into a microphone that attaches to an ADC, or
they may have started out as data from
a computer. Regardless of the signals
origin, the DSP performs calculations
on the stream of numbers, perform-
98 Thermoelectric-cooler
QUADRATURE
MODULATOR
I I Q Q
INFORMATION
16
DAC
LOWPASS
FILTER/
PHASE
SPLITTER
DAC
LOWPASS
FILTER/
PHASE
SPLITTER
TO
TRANSMITTER
CHAIN
DSP
16
Figure 1 A pair of DACs converts the baseband signal from a DSP into I and
Q signals for the quadrature modulator of a software-defined radio.
edn070927di40561
DIANE
designideas
A close examination of Figure 2
shows some interesting features. On the
carrier trace, the sampling-frequency
spurs appear at 619.2 kHz away from
the center. The modulated spectrum is
also interesting. The filter in the sigma-delta DAC causes the nearly vertical roll-off at approximately 610 kHz.
The mounds that appear around 612
kHz and gradually roll off are spectral
regrowth, which nonlinearities in the
high-power amplifier cause.
Many moderate-bandwidth SDRs
need a translator between the sigmadelta DACs single-ended output and
a typical balanced-input quadrature
modulator. It is frequently desirable
to follow up the DAC output with
a hardware filter that removes the
DACs high-frequency noise and ensures compliance with spectral-mask
requirements. Further complicating
things, the optimal common- and differential-mode output voltages of the
DAC are likely to differ from those
that the modulator requires. An easy
scaling factor does not relate commonand differential-mode voltages.
Handling all of these considerations
with a conventional approach can require as many as four operational amplifiers with multiple filter sections per
I or Q channel. The filters require close
component matching to guarantee
that carrier and single-sideband suppressionkey measures of quadraturemodulator idealitydo not degrade as
a function of baseband frequency. The
0
10
MASK
UNMODULATED
CARRIER
MODULATED
SIGNAL
20
30
OUTPUT 40
POWER
50
(dBc)
60
70
80
90
100
50 40
30
20 10
0
10
20
OFFSET FREQUENCY (kHz)
30
40
50
10
10k
VIN
4.99k
4.99k
10k
GAIN
(dB)
560 pF
2700 pF
5V
1500 pF
1
10k
10k
DIANE
VO
25
30
35
10
20
30
40
50
FREQUENCY (kHz)
VO
0.1 F
MIDPOINT
DAC
VOLTAGE
20
0.1 F
3
VS 4
VOCM LTC1992
VS
5
8
6
7
COMMON-MODE
OUTPUT
VOLTAGE
15
designideas
metrical swing. This application bypasses Pin 7. The filter
is a passive single-pole circuit cascaded with an inverting
Sallen-Key filter, but other topologies are feasible.
Figure 4 shows the measured frequency response of the
positive channel with respect to ground. The apparent 6dB loss is a result of looking at only half the differentialoutput voltage; when you examine the full balanced output, the net gain is 0 dB. Figure 5 shows the measured
deviation from an ideal equal-amplitude, 1808 phase shift
between the positive and the negative outputs. The agreement in the critical 300-Hz to 3-kHz range is less than 0.1
dB and 0.18. Even at 50 kHz, the error is less than 0.5 dB
and 18.EDN
1
0.8
0.6
PHASE ()
0.4
0.2
ERRORS
0
AMPLITUDE
(dB)
0.2
0.4
0.6
0.8
R e fe r e nce
LTC1992: Fully Differential Input/Output Amplifier/
Driver, Linear Technology, July 2003, www.linear.com/
pc/downloadDocument.do?navId=H0,C1,C1154,C100
9,C1126,P2348,D3455.
Ack n owle d g m e nt
The authors gratefully acknowledge the assembly assistance of
Deb Girard.
10
20
30
40
50
FREQUENCY (kHz)
Figure 5 The measured deviation from an ideal equal-amplitude, 1808 phase shift between the positive and the negative outputs shows agreement of less than 0.1 dB and 0.18
between 300 Hz and 3 kHz.
EDN070927DI4056FIGURE 5
1.5
0.5
T
(MULTIPLES
OF TMAX)
0
CONVENTIONAL
BIPOLAR-DRIVE
REGION
UNIPOLAR-DRIVE
REGION
0.5
1
0.5
0.5
1.5
Figure 1 This plot of temperature versus maximum current shows that operating a
TEC at high currents achieves heating and cooling from a unipolar drive.
EDN071203DI4127FIG1
MIKE
2.5
designideas
TOP VIEW
5V
HEAT
SINK
V 2
5V
100k
1/10W
402k
1/10W
1M
1/10W 0.47 F
50V
22 F
1M
1/10W
THERMISTOR
100k
1M
RMAX(5VVMAX)/IMAX.
RFP30N06LE-ND
3
3W
5V
2200 pF
50V
TEMPERATURE-SET
ADJUST4RT
AT SETPOINT.
LT1782
1k
IIMAX TO 2.5IMAX.
Figure 2 This circuit puts unipolar drive in a PID-feedback loop to stabilize the
temperature of the target device.
Stefano Salvatori and Gennaro Conte, University Roma Tre, Rome, Italy
Light sensors find use in a host
of important applications, spanning from consumer electronics, such
as ambient-light measurements and exposure control for cameras, to scientific
instruments, such as optical-absorption
spectroscopy, IR (infrared) detection
for thermography, and two-color pyrometry. For example, in optical spectroscopy, a correct intensity measurement of the probe beam is fundamental
during material and device characterization. You must eliminate any influence that dc or very-low-frequency
background light induces. Also, to increase the SNR (signal-to-noise ratio),
you can apply narrowband, phase-sensitive, or lock-in detection techniques
to mechanically chopped or otherwise
4 IN
PID-CONTROL LOOP
MARLOW
NL1011T
IMAX1A.
VMAX2V.
QMAX1W.
DTMAX61C.
IN 3
S5 PACKAGE
RT
TEC
Transimpedance synchronous
amplification nulls out
background illumination
5 V
OUT 1
5V
The specifications of every TEC include IMAX, the drive current that results
in maximum net cooling. Plotting heat
transfer versus drive current relative to
IMAX results in a typical parabolic curve
(Figure 1). The left-hand, gray half of
the plot in the figure shows the usual
bipolar TECs operating region, which
confines drive current to the range of
20.53IMAX,I,IMAX. The right-hand
half shows the region of interest, in
which the same bipolar-temperature
excursion results from unipolar-current
drive: IMAX,I,2.53IMAX. Operation of
the TEC in this second operating region thus allows bidirectional temperature control without the complexity of
bidirectional-current drive.
Figure 2 shows an implementation
of the concept in a high-performance
PID (proportional-integral-derivative)feedback loop. The component count
is less than one-fourth that of a comparable bipolar-drive design. Feedback
stability is robust, and settling time is
short. The downside is a current draw
designideas
cell couples to a red LED and resides
in a black box to ensure the absence of
background light on the optocoupler.
To calibrate the circuit, first disconnect or obscure the input photodiode so
that A1A converts no ac signal. Then,
switch S1 to the measure position
and adjust RT2 to null any voltage offset
R e fe r e nce
VCC
REFERENCE
TTL/CMOS
220 nF
VCCVEE15V
2 7
A
3 3
33k
4
10k
VB
33k
2
A
3 2A
RT1
5k
BACKGROUND
3.9V
82k
VCC
1 VPP, fCHOPPER
A
5 2B
4
RPR(DC)
RPR
VEE
TL072
15k
VCC
560
220
3.9V
VEE
V
VREFVCC
VOUT DC
D1
1 F
1M
C1
100 pF
INPUT
PHOTODIODE
BACKGROUND
LIGHT
22k
R1
100k
2
A
3 1A
CHOPPED
VI1
CALIBRATE 6
S1 5 A1B
1 F
MEASURE
RA
1.5k
RPR
1M
VO1
RB
1.5k
9
A
10 1C
RC
2.2k
VCC
1.5k
OPA4277
1M
1M
RT2
50k
13 4
14
A
12 1D
1M
1M
1.5k
VEE
1M
VOUT
1 F
VCC
VEE
Figure 1 The reference signal from a light chopper acts as a square wave of frequency and modulates the gain of an
omp-amp-based inverting amplifier.
Microcontroller drives
LCD with just one wire
Noureddine Benabadji,
University of Sciences and Technology, Oran, Algeria
designideas
LCD232
as 1.6 msec for some inMODULE
structions, such as clear
5V
display.
HD44780
CONNECTOR
Listing 1 is the fully
14
7
C1
commented assemVDD
VSS
100 nF
3
MSB
14
D7
2
5
1
QA
bler source code for the
A
4
13
D6
INPUT
VSS
VDD
QB
(SERIAL LINK) 6
5
12
D5
LCD232 module; the
4
2 B
QC
GP 3
GP 2
6
11
D4
IC2
IC1
QD
main routine consists
74AC164
10
10
D3
PIC10F202
QE
1
3
8
of the display of a 2sec11
9
D2
EXTERNAL
GP 0
GP 1
CLK
QF
PIN-LIMITED
12
8
D1
delay splash screen,
9
QG
EMBEDDED
13
LSB
7
D0
CLR
QH
SYSTEM
and then it enters an
6
endless loop to wait for
EN
5
RW
1 byte as a command for
4
the LCD, a maximum of
RS
3
VRE
R1
16 bytes as data for the
10k
2
5V
LCD, and an ASCII
VDD
1
VSS
zero. For test purposes
with an external PIC
microcontroller embed- Figure 1 This circuit interfaces a pin-limited embedded system with an HD44780-compatible
ded system, Listing 2, display through a one-wire serial link using an asynchronous, simplified RS232 protocol.
also available at www.
edn.com/071203di1, is
outputs from a pin-limited microcon10, 2007, pg 80, www.edn.com/
a simple assembler source code, which troller, EDN, Aug 4, 2005, pg 96,
article/CA6437954.
3 Niven, Rex, RC lowpass filter
sends another splash screen.EDN
www.edn.com/article/CA629311.
R e fe r e nce s
1
designideas
Edited By Charles H Small
and Fran Granville
STEVE EDN071011DI4144
FIGURE 1
D Is Inside
60 Improved optocoupler circuits
An earlier Design Idea illustrated one approach to that traditional headache for the analog designer: the dreaded ground loop (Reference 1). That Design Idea described
a simple and efficient multichannel
5V
1
2
1
1M
20k
SIGNALCARRYING 2
CONDUCTORS
2
2
5V
LT1797
15 pF
20k
5V
20
2
1
Figure 2 You can extend the passive approach in Figure 1 to multiple channels at the expense
of a large magnetic component.
100
1
GROUNDREFERENCE
CONNECTIONS
27 TURNS
10 mH
100 F
0.1
2
Figure 3 Using the active drive of the CMV core, you can achieve CMV reduction of 40-dB or more cancellation, extending from tens to millions of hertz.
designideas
The principle of the CMV transformer relies on magnetic coupling
between the primary and the secondary, such that any voltage that appears
across the primary induces an equal
and opposite voltage in the secondary,
thus canceling it. You can easily extend
the principle to multiple signal channels simply by adding more secondary windingsone secondary for each
channel (Figure 2).
However, the Achilles heel of the
CMV transformer is the fact that the
decibels of cancellation fall off at the
low-frequency end of the noise spectrum. This situation occurs because
noise cancellation depends on the fact
3V
R1
16k
TLP624-2
2k
3V
R1
TLP126
2k
220V AC
R2
R2
16k
DIANE
designideas
deterministic, and sharper switching.
Whats more, you can expect slower
LED aging. Resistors R1 and R2 in Figure 1 dissipate no less than 1.5W of
power as waste heat, so changing them
to 0.1W devices allows placement of
additional components on the same
board area (Figure 2).
The circuits main components comprise amplitude detector D1, capacitor
C1, and Schmitt trigger Q1/Q2 to control a current through the optocouplers LED. D2 and D3 stabilize the base
voltage of Q2 and, hence, its collector
current, which activates the optocoupler. Capacitor C1 charges up through
R1, R2, and D1.
During nearly all of the ac-cycle
time, except in the vicinity of the zero-crossing point, Q1 is on, and Q2 is
off. Then, approaching the zero-crossing point, the state of Schmitt trigger
Q1 and Q2 changes, and Q2 discharges
capacitor C1 with the constant current,
because the circuit comprising Q2, D2,
D3, R5, and R6 stabilizes current as
I5(23VD2VBE2)/R6, where VD is the
voltage drop on D2 or D3 and VBE2 is
the base-emitter voltage of Q2.
Some applications require none
of the hysteresis that is inherent to a
Schmitt trigger; Figure 3 shows such
a design. It also shows how to manage
without a requirement for minimal reverse current in D1. This circuit, however, better suits pure synchronization
and not thyristor control. Because of
the stability of LED current, these designs provide an expanded input-acvoltage range, which may be useful for
a multistandard ac-powered gadget;
an opportunity to set the LED current
without the risk of overloading the
LED; and a reduced influence of the
D1
1N4007
3V
TLP624
R1
240k
1N4004
1N4004
R5
620k
R3
1.6M
C1
10 nF
220V AC
R2
240k
Q2
1N4004
R4
360k
BC849B
D3
1N4148
R6
160
3V
edn071011di415482
1N4004
1N4004
1N4004
1N4004
C1
10 nF
R1
240k
220V AC
R2
240k
Q2
Q1
1N4004
1N4004
TLP624
DIANE
R5
620k
R8
2k
BF820
R3
1.6M
CF
150 F
BC849B
D2
1N4148
D3
1N4148
R6
160
D2
1N4148
Q1
BF820
CF
150 F
1N4004
R8
2k
The CD4017 Johnson decade counter finds use in simple circuits ranging
from sound effects to LED displays.
The counters outputs are normally
low and go high only at their respective decoded time slot. Each decoded
output remains high for one full clock
cycle. The dc-supply voltage can range
from approximately 3 to 18V dc. The
dc-current drain per each output pin
(Q0 to Q9) is 10 mA. The circuit has
passed tests at 12V dc at 0 to 1508F
without anomalies.
designideas
LED 10
LED 1
LED 2
LED 3
LED 4
LED 5
LED 6
LED 7
LED 8
LED 9
R15
500
R11
500
R6
500
R5
500
R7
500
R8
500
R9
500
R10
500
R13
500
R17
500
R14
500
R18
500
R19
500
R16
500
R20
500
R12
500
R21
500
R23
500
R22
500
1
C1
100 F
2
VCC
IC1
555
TG
3 OUT
4 RST
CLOCK
1.5 Hz
R3
500
C2 12V
100 F
7
6
5
NC
3 2 4 7 10 1 5 6 9 11 12
Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 Q8 Q9 COUT
VDD
R1
200
R2
4.7k
CLK
14
IC2
CD4017
CLK
13
RST
15
VSS
NC
12V
8
16
C5
100 F
12V
NC
3 2 4 7 10 1 5 6 9 11 12
Q0 Q1 Q2 Q3 Q4 Q5 Q6 Q7 Q8 Q9 COUT
VDD
CLK
14
IC3
CD4017
CLK
13
C4
100 F
C3
0.01 F
RST
15
VSS
C6
100 F
14
2
IC4
CD4069 7
R4
100k
C7
1 F
RESET-DELAY ENABLE
LED
Figure 1 By interleaving the outputs of two cascaded CD4017 Johnson counters, you can obtain a 19-step sequential
output.
designideas
Dual-input sample-and-hold amplifier
uses no external resistors
AtText
least two classic ways exist to
address applications requiring
sampling of a sum of analog voltages.
The most common way is to cascade a
classic analog adder and a sample-andhold amplifier. A classic analog adder
is an op amp plus at least three precision resistors. The values of these resistors should be as low as possible so as
not to deteriorate the bandwidth of the
adder. On the other hand, such lowvalue resistors dissipate power. Further,
the configuration of an adder-sampleN070816DI4112_fig1
and-hold amplifier suffers also from an-
OUT
C1
10 nF
1
VINB
10
10
VS
A1
A2
10
VS
B2
IC1
AD8592
VS
B1
VINA
B3
A3
IC2
AD8592
5
VS
C3
1 nF
VS
C2
1 nF
6
100 nF
47 nF
VS
_
QD
IC3
AD8592
QS
VS
VS
2
3
10
QDE
8
7
8
4
5
VS
QSB
5
1
IC4
SN74AUC1G02
IC6
AD8592
VS
2
5
QDEB
IC5
SN74AUC2G08
4
VS
VS VS
Figure 1 The basis of the operation of this circuit is the simultaneous tracking of the VINA and VINB input voltages on the C2
and C1 capacitors, stacking these capacitors within the sample interval, and storing the value of stacks voltage on the C3
capacitor.
designideas
tS
0V
Q
VS
QDE
QDEB
GET READY
QSB
INTERNAL
TRACKING
INTERNAL
TRACKING
QD
STORE
VINBVINA
VINB
0V
QS
VINB2VOUTB1
NOTE:
LOGIC LEVELS OF ALL Q CONTROL SIGNALS
ARE THE SAME AS THOSE OF THE TOP WAVEFORM.