Professional Documents
Culture Documents
06/18/2011
9:3:15
Page 1144
CHAPTER 41
MICROELECTRONIC MANUFACTURING AND
ELECTRONIC ASSEMBLY
41.1 INTRODUCTION
41.2 HOW ELECTRONIC PRODUCTS
ARE MADE
41.3 SEMICONDUCTORS
41.4 HOW INTEGRATED CIRCUITS
ARE MADE
41.5 HOW THE SILICON WAFER IS MADE
1144
C41
06/18/2011
9:3:15
Page 1145
SECTION 41.3
1145
Semiconductors
Silicon wafer
Microelectronic
manufacturing
Level 1
Die
Level 2
Cover
chip
Packaging
C
S
O
O
or
Integrated
circuit (IC)
on chip
IC
Package for
connection
Level 1
PCB fab
Computer
PCB
assembly
Motherboard
Level 3
Level 5
Level 4
FIGURE 41-1 The hierarchy for producing electronic products has many levels. (M. L. Minges, Electronic Materials Handbook,
Volume 1, Packaging, Materials Park, OH: ASM International, 1989)
IC is about the same regardless of how many components are packaged onto the chip.
At the same time, the lower the level of integration is, the less flexibility in configuring
the electronic system for different commercial applications. This balance between cost
and flexibility is primarily what drives designers to implement circuits at various hierarchical levels.
C41
06/18/2011
1146
9:3:16
Page 1146
CHAPTER 41
+4
Valence
electrons
Si
+4
+4
Free electron
Si
Si
Si
+4
+4
+4
+4
+4
Si
Si
+4
+5
+4
+4
+4
Si
Si
Si
(a)
+4
Si
+4
Si
+4
Si
Si
+5
Si
Si
+4
(b)
+4
+4
Si
Si
Si
+4
+4
+4
Si
Hole
Si
+4
+3
+4
Si
+4
Si
B
Si
(c)
+4
+4
Si
Silicon is the most widely used semiconductor. It is plentiful and can be readily
produced in single crystal form. Also, the native oxide, silicon dioxide, can be used both
as a dieletric layer and a diffusion mask during processing.
C41
06/18/2011
9:3:16
Page 1147
SECTION 41.4
Anode (+)
P (+)
P (+)
N ()
Cathode ()
Depletion
region
N ()
Fixed charges
Mobile charges
(a)
1147
V=0
Anode (+)
e
Anode (+)
Cathode ()
Widened depletion
P (+)
N ()
region
Cathode ()
(c)
Holes
+
(b)
Anode (+)
Holes
Cathode ()
FIGURE 41-3 The diode is produced with a p-n junction or interface at (a) which allows electrons to flow at (b) or have high
electrical resistance at (c) (Texas Engineering Extension Service, Semiconductor Processing Overview, College Station, TX: The Texas A&M
University System, 1996)
mask over the oxide layer, which will allow only select areas of the oxide layer to be
etched. After etching, the polymeric mask is removed from the silicon dioxide layer,
and the n-type silicon is doped (by diffusion) with boron to produce a p-type region.
After doping, the silicon dioxide mask is removed, and a second silicon dioxide layer is
grown and patterned to establish openings in the silicon dioxide layer above the n-type
and p-type regions. Next, a thin metal film is deposited on top of the silicon dioxide to
provide an electrical pathway allowing the p-type and n-type regions of the diode to be
connected to an external power supply. Photolithography and etching are used once
again to pattern the thin film into leads and contact pads large enough for biasing the
device. To protect the final integrated device from mechanical damage and moisture, a
final passivation coating is added.
This example shows the production of a single IC component. Typically, multiple components and, further, multiple circuits are produced in parallel during IC fabrication. As shown in Table 41-1, IC fabrication has evolved from the original smallscale integration (SSI) architecture of the 1960s, with 2 to 50 electronic components
per circuit, to the ultra-large-scale integration (ULSI) architectures of today, with
tens of millions of components per circuit. The classification of ICs by scale of integration represents the successive advancement of semiconductor processing technologies
to provide lower cost, higher-performance ICs. Each increase in the number of components represented a breakthrough in miniaturization technology (e.g., photolithography and clean rooms) that permitted the fabrication of smaller IC
components with improved performance. To achieve lower cost, manufacturing
C41
06/18/2011
9:3:16
1148
Page 1148
CHAPTER 41
6. Deposited polysilicon
7. Photolithography
8. Etch
9. Photolithography
12. Photolithography
13. Etch
14. Metallization
15. Photolithography
16. Etch
FIGURE 41-4 The manufacture of a simple metal-oxide-semiconductor (MOS) field effect transistor device requires many steps
as shown here. Source: Semiconductor Processor Overview, # 2008, Texas Engineering Extension Service. www.teex.org
TABLE 41-1
Class
Number of Electrical
Components per IC
Applications
SSI
MSI
250
505,000
Basic logic
Encoders, multiplexers, etc.
LSI
5,000100,000
First generation microprocessors, memory ICs, early calculators, and electronic watches
VLSI
100,0001,000,000
Integration of microprocessor, memory and I/O on single chip, digital signal processors, computer
workstations and microcomputers
ULSI
C41
06/18/2011
9:3:17
Page 1149
SECTION 41.5
Seed
Melt
Noncontaminating
liner
1149
Growing
crystal
Graphite
crucible
(a) Seed being
lowered down
to melt
processing technology breakthroughs were needed to make miniaturization technologies possible and economical. Today, this trend of seeking higher performance at
lower cost continues.
C41
06/18/2011
1150
9:3:17
Page 1150
CHAPTER 41
45 CW
(111) n-type
Secondary
flat
180 CW
Polished
surface
Secondary flat
(111) p-type
90 CW
Primary flat
(100) n-type
125-mm diameter or less
Primary
flat
Primary
flat
Secondary flat
(100) p-type
135 CW
Primary
flat
Secondary flat
(100) n-type
150-mm diameter or greater
Next, the boule is sliced into wafers using a wire or diamond saw. Geometric concerns resulting from wafer slicing include flatness and bowing of the wafer. The wafers
are typically ground on the edge because edge-rounded wafers handle better and have
less mechanical damage during IC processing, and the pile-up of photoresists on the
edge of the wafer during photolithography is minimized. Finally, a series of processing
steps are needed to remove any sawing damage, including lapping, chemical etching,
and polishing.
Single-crystal silicon, with few lattice imperfections, is necessary to produce the
high yields required in IC processing. Several sources of crystalline defects exist during
processing of the wafer, including contamination, improper pull rates, temperature gradients during pulling, and residual stress during wafer machining. Some methods exist
for controlling crystalline defects during processing, such as by rotating the solidified
boule and the melt in opposite directions during growth to minimize unbalanced growth
caused by temperature gradients. However, not all defects can be avoided. To keep
unwanted impurities and defects from diffusing into active regions of the wafer
(i.e., where IC components are made), a strategy known as gettering is used. Gettering
involves the use of hard-to-move crystalline defects in inactive regions of the wafer
(i.e., away from where components will be made) to trap other impurities and defects
that may otherwise diffuse into active regions thereby impairing device performance.
C41
06/18/2011
9:3:17
Page 1151
SECTION 41.6
1151
One method for doping semiconductor materials involves diffusion. Diffusion can
be defined as the random migration of particles from regions of high concentration to
regions of lower concentration. Any solid solution that contains a concentration gradient will experience a redistribution of solute (dopant) concentration over time. The
source of this migration is the random motion characteristic of atoms above 0 K.
Single-atom movements can cause atoms to swap lattice locations with adjacent atoms,
move to adjacent vacancies, or move interstitially.
Diffusion doping of silicon substrates is usually carried out in two steps. First, a predeposition step is used to deposit a fixed quantity (dose) of dopant atoms through an oxide
mask and into the substrate. This may be done by placing the wafer in a furnace having a
gaseous atmosphere containing the required source concentration of dopant. Predeposition can also happen by solid-source and liquid-source doping. In solid-source doping, a
solid disk of the dopant material is placed in a furnace boat adjacent to the wafer where it is
heated and evaporated onto the wafer. In liquid-source doping, an inert gas is bubbled
through an isotropic solution (bath) containing compounds with the desired dopant. The
partial pressure of dopant in the furnace is controlled by the temperature of the bath, the
pressure of the gas above the liquid, and the flow of other inert gases into the furnace. Liquid-source doping has gained significant acceptance because of improved purity levels. Disadvantages include high corrosivity and sensitivity to temperature changes in the bath.
Once the dose is deposited in the predeposition step, a drive-in step is used to
redistribute the dose to achieve the proper depth and concentration. The drive-in step
is performed in a vacuum oven without the presence of the dopant source. The advantage of thermal diffusion is that it is fast relative to other doping processes. The disadvantage is less control over the depth and concentration of dopant profiles.
As the overall size of IC devices has decreased, the required thickness of doped
regions has also decreased, requiring greater control and precision of doped dimensions. Therefore, doping by thermal diffusion has been replaced by ion implantation
within the current generation of IC devices. Ion implantation involves electrostatically
accelerating a beam of ionized atoms or molecules toward the wafer surface, allowing
the resultant kinetic energy to drive the particles into the substrate. Ion implantation
has been found to control the amount of impurity and the depth of impurity penetration
much better than thermal diffusion.
One disadvantage of ion implantation is that the kinetic energy of the ion particles
damages the silicon substrate. The resulting lattice damage can significantly affect the
electrical and chemical properties of the single crystal substrate. This damage can be
minimized by annealing the substrates at temperatures up to 1000 C after ion implantation. However, annealing at these temperatures can create problems of its own, causing
redistribution of dopant profiles within other previously processed regions of the
device. To compensate, rapid thermal processing technologies have been developed to
reduce the time the wafer is exposed to high temperature. In rapid thermal annealing
(RTA), the wafer rests on quartz pins and is heated using a bank of high-intensity filament lamps. Problems with RTA include temperature measurement and thermal uniformity across the wafer. Excessive temperature gradients across the wafer can lead to
plastic deformation in the wafer such as warpage and/or slip. RT technologies have
been extended to include rapid thermal oxidation, chemical vapor deposition, and epitaxial growth, among others.
Under exposure to oxygen, a silicon surface oxidizes to form silicon dioxide, the
same underlying chemical makeup of window glass. Silicon dioxide is an excellent
dielectric material and so can be used as the gate dielectric in a MOSFET device or
as an isolation layer between layers of metal wires that interconnect IC components.
Thick oxides formed by thermal oxidation are generally used as masks during doping.
The major objective in thermal oxidation is to create an oxide layer of uniform thickness. While silicon readily oxidizes at room temperature, deep penetration of the oxide
into the single crystal is accelerated at high temperatures by thermal diffusion. From
this standpoint, thermal oxidation is similar to diffusion doping. Other methods for producing thin-oxide layers (e.g., for device isolation) do exist and are briefly discussed in
the section on deposition processes.
C41
06/18/2011
1152
9:3:18
Page 1152
CHAPTER 41
41-1
Thin gate oxides can be prepared with a very high uniformity over the wafer and from
wafer to wafer using dry oxidation.
Because growth rates in wet oxidation are higher than in dry oxidation, wet oxidation is often used to grow thicker oxides. For thicker oxides, the arriving oxidant molecules must diffuse through the growing layer to get to the silicon surface in order to
react. The primary reason for the faster growth rate in wet oxidation is because water
vapor molecules are smaller than molecular oxygen and, therefore, diffuse more easily
within silicon. One disadvantage of wet oxidation is that the oxide layer is not as dense.
Therefore, wet oxidation is used in applications that are not subjected to electrical
stress, such as for diffusion masks.
Techniques like diffusion and oxidation are used to modify the electrical properties of the silicon wafer. Additional techniques are needed to transfer the shape of the
integrated circuit from the designers workstation to the semiconductor wafer. In particular, lithography and etching are two intermediate steps necessary to pattern the silicon dioxide films formed as diffusion masks and as electrically insulating layers in
components. In addition, these two steps are also needed to pattern the various conductive and insulating thin films necessary to fabricate and interconnect IC components.
Lithography is the process of transferring the geometric patterns of the IC design
to a thin layer of polymer, called a resist, producing a resist mask on the surface of the
silicon wafer. The purpose of the resist mask is to serve as a temporary barrier to etching
or implantation, allowing for the selective patterning of thin films (e.g., thin films of
deposited polysilicon, oxide, or metal for component fabrication, insulation, or interconnection) or the selective doping of semiconductor substrates underneath the resist
in various steps of IC processing. Lithography is the most complicated, expensive, and
critical process in mainstream microelectronic fabrication. A typical silicon IC device
technology may involve 15 to 20 different lithography patterns, each with feature sizes,
or linewidths, as small as 0.18 mm. Needless to say, the technologies needed to meet
these requirements are expensive. In the early 1990s, using dynamic random access
memory (DRAM) ICs as an example, lithography accounted for roughly one-third of
the total fabrication cost.
Several different lithography methods exist including: (1) photolithography, (2)
X-ray lithography, (3) electron-beam (e-beam) lithography, and (4) ion-beam lithography. The difference in the techniques is the source of ionizing radiation used to expose
the resist. The first two methods involve the use of electromagnetic radiation, whereas
the latter two involve particle radiation (i.e., an electron or ion beam). Lithography
techniques based on electromagnetic radiation are through-mask techniques, requiring
the use of a lithography mask to selectively pattern the resist, whereas techniques based
on particle radiation are direct-write techniques, indicating that the particle beams scan
the pattern onto the resist directly without the use of a mask. Photolithography is the
most common method and will be discussed here.
In photolithography, UV sources of radiation are used to expose UV-sensitive
materials called photoresists, or simply resists. The photomasks, sometimes called
C41
06/18/2011
9:3:18
Page 1153
SECTION 41.6
1153
UV light
Oxide layer
Silicon
Resist removed
Developer
(5) Immerse exposed wafer
in developer
Resist coating
applied
Soft bake
Resist
Silicon
oxide
Mask
SiO removed
Silicon
Etchant
(7) Etch the film
Mask
Silicon
oxide
Silicon
FIGURE 41-7 The process of making an IC using photolithography has many steps. (S. A. Campbell, The Science and
Engineering of Microelectronic, Oxford: Oxford University Press, 2001)
reticles, are used to mask or screen parts of the surface from etching or doping processes. The photomask is a thin, high-optical-purity quartz plate onto which a thin film
of opaque material (such as chromium) has been deposited and patterned (selectively
etched). The photomask is patterned with the aid of computer-aided manufacturing
(CAM) techniques using the original IC design data from computer-aided design
(CAD) systems.
The photolithography process involves the sequence of steps shown in Figure 41-7.
First, a liquid photoresist is applied to the surface of the silicon oxide layer over the silicon
wafer. Typically, this is done with a process known as spin coating. In spin coating, centrifugal forces are used to produce a photoresist layer of uniform thickness. Next, the coated
wafer is soft baked on a hot plate or in an oven. In this step, solvents used to reduce the
viscosity of the photoresist during spin coating are evaporated, and adhesion between the
wafer and the photoresist is improved. After soft bake, the photoresist is exposed using a
photomask to transmit a pattern of electromagnetic radiation onto the surface of the photoresist. This step is performed using a machine called a stepper, because the lithographic
pattern of the device is indexed or stepped across the wafer, subjecting it to repeated
exposuresone for each chip you are making. Once the resist has been exposed, the
wafer is developed in a chemical solvent. Development removes the unwanted resist
materials, exposing the underlying material to be etched. Next, the resist is hard baked to
remove any remaining solvents after development and to further toughen the remaining
resist against downstream etching or implantation processes. Hard bakes generally take
longer and are at slightly higher temperatures than soft bakes. Once the downstream
etching or implantation has made use of the resist, a photoresist stripping step is necessary
for removal of the resist.
During exposure, the UV radiation that is transmitted through the photomask
selectively modifies the molecular weight of the polymer in desired regions. In positive
photoresists, the UV radiation is responsible for decreasing the molecular weight in
these regions by breaking molecular bonds. The lower molecular weight of these
regions makes them more soluble in the chemical developer. In negative photoresists,
the UV radiation increases the molecular weight of the exposed resist through crosslinking, making the exposed region more insoluble in the developer. These two types of
photoresists are contrasted in Figure 41-8.
Obviously, the most important requirementof the photoresist is that it resists the
downstream etching or implantation process. Other requirements important to the
function of resists are their resolution and sensitivity. Resolution refers to the smallest
linewidth that can be reproduced repeatably by the resist. The resolution of the resist is
strongly a function of the source of ionizing radiation or the exposure machine tool
used. Sensitivity refers to the amount of ionizing energy required to sufficiently modify
C41
06/18/2011
1154
9:3:18
Page 1154
CHAPTER 41
Resist
SiO2
Si Wafer
(a)
Exposed region
Mask
Resist
SiO2
Si
(b)
Positive resist
Negative resist
SiO2
SiO2
Si
Si
(c)
SiO2
SiO2
Si
Si
(d)
the solubility of the resist. The more sensitive a resist is, the shorter the exposure cycle
time and the greater the throughput. A final requirement of the resist is that it adheres
to the substrate.
In the past, the most commonly used light source in photolithography was the
mercury arc lamp. Its most useful wavelengths for photolithography occur at 436 and
365 nm (blue and UV light, respectively)the so-called mercury g-line and i-line. As a
result, g-line and i-line photoresists have long been used as standards within the IC
industry. Negative photoresists were popular in the early history of IC processing
because of their low cost and good adhesion, but positive photoresists are now most
widely used because they offer better process control for small geometric features.
Schematic of the exposure step in photolithography are shown in Figure 41-9.
Exposure begins with photomask alignment. The photomask is aligned with the wafer
so that the pattern can be transferred onto the wafer surface. Each pattern after the first
one requires photomask alignment to the previous pattern. For linewidths on the order
of (current IC resolutions), misregistration errors as small as 6 nm can have detrimental
effects on device performance. This registration requirement contributes to the high
cost of lithography equipment.
C41
06/18/2011
9:3:18
Page 1155
SECTION 41.6
1155
Mask/reticle
Resist
Oxide layer
Wafer
Lens 1
Ultraviolet
light
source
Mask
Lens
Lens 2
Mask
SiO2
Space
Wafer
Contact printing
Photoresist
Photoresist
Wafer
Proximity printing
Wafer
Projection printing
SiO2
FIGURE 41-9 The exposure step in photolithography is shown in upper left with three primary exposure
methods. (R. C. Jaeger, Introduction to Microelectronic Fabrication (Modular Series on Solid State Device
Volume 5), Addison-Wesley Publishing Company, New York, 1990; and P. V. Zant, Microchip Fabrication: A
Practical Guide to Semiconductor Processing, New York: McGraw-Hill, 2000)
Once the photomask has been accurately aligned with the pattern on the wafers
surface, the photoresist is exposed through the photomask with a high intensity ultraviolet light. Three primary exposure methods exist: contact printing, proximity printing,
and projection printing, as shown in Figure 41-9.
In contact printing, the resist-coated silicon wafer is brought into physical contact
with the photomask. The wafer is held on a vacuum chuck, and the whole assembly rises
until the wafer and photomask contact each other. The photoresist is exposed with UV
light, while the wafer is in contact position with the photomask. Because of the contact
between the resist and photomask, very high resolution is possible in contact printing
(e.g., 1-mm features in 0.5 mm of positive resist). The problem with contact printing is
that debris trapped between the resist and the photomask can damage the photomask
and cause defects in the resist mask.
Proximity printing is similar to contact printing except that a small gap, 1 to 25 mm
wide, is maintained between the wafer and the photomask during exposure. This gap
minimizes, but may not eliminate, resist mask damage entirely due to particles between
the photomask and the wafer. Proximity printing offers higher throughput than the
other methods but is limited in resolution. Approximately 2- to 4-mm resolution is possible with proximity printing.
Projection printing avoids photomask and resist-mask damage entirely. An image of
the photomask is projected onto the resist-coated wafer, which can be many centimeters
away. To achieve high resolution, only a small portion of the resist layer can be imaged
thus, the need to scan or step the small image over the surface of the wafer. Projection printers that step the photomask image over the wafer surface are called step-and-repeat systems, or steppers. Step-and-repeat projection printers are capable of submicron resolution.
After photolithography, the next step is the permanent removal of an underlying
film or substrate by etchingby chemical or physical means or both. Typical materials
etched during semiconductor processing include silicon dioxide to make diffusion
masks, dielectric layers, and thin-metal films for device fabrication and interconnection.
Typical etch rates in semiconductor processing are on the order of several hundred to
several thousand Angstrom per minute.
C41
06/18/2011
1156
9:3:18
Page 1156
CHAPTER 41
Photoresist
Oxide
Wafer
Etch bias
C41
06/18/2011
9:3:19
Page 1157
SECTION 41.7
TABLE 41-3
1157
Thin-Film Deposition
Sputter Etching
Low
Medium
High
Low
Medium
High
Relative selectivity
Undercut, directionality
High
Isotropic
Low
Highly anisotropic
Low
Pressure (vacuum)
Medium
Directional from quasi-isotropic (slope) to
anisotropic (vertical profile)
Approximately 100 mtorr
Etch rate
High
Medium
TABLE 41-4
Some Common Applications of Deposited Thin Films and the Processes Used to Make Them
Process
Function
VPE
MBE
Single-crystal
Si
Single-crystal
GaAs
APCVD
LPCVD
PECVD
Sputtering
SiO2,
PSG
SiO2,
PSG
W, TiN
TiN
Al, Cu
SiO2,
PSG
SiO2,
Si3N4, PSG
Evaporation
Component Fabrication
Growth of higher purity
semiconductor for increased
device performance
Si3N4
Doped
polysilicon
BPSG
SiO2,
SiO3N4
Doped
polysilicon
Component Interconnection
SiO2,
PSG
Al, Cu
IC Packaging
Passivation of the IC after
processing
C41
06/18/2011
9:3:19
1158
Page 1158
CHAPTER 41
Gas
distribution
system
Metal
target
Sputtered
metal
atoms
Deposition
chamber
13.56 MHz
Wafer
Vacuum system
FIGURE 41-11 Sputtering is a PVD method for depositing thin films on microelectronic devices. (Texas Engineering Extension
Service, Semiconductor Processing Overview, College Station, TX: The Texas A&M University System, 1996)
C41
06/18/2011
9:3:19
Page 1159
SECTION 41.7
1159
Thin-Film Deposition
Exhaust
Gas in
Unload
stack
N2
N2
Gas showerhead
Load
stack
Wafers
Susceptor
Heater
Heater
Chain
drive belt
Vent
Cleaning
solution
Vent
APCVD
Pressure
sensor
Insulated RF input
Wafers
Glass
cylinder
Three-zone heater
Plasma
Wafers
Aluminum
electrodes
Pump
Load
door
Quartz
tube
Gas
inlet
Hot-wall LPCVD
Heated
sample
holder Pump
Gas
inlet
Gas
inlet
Parallel-plate PECVD
FIGURE 41-12 Chemical vapor deposition (CVD) processes include atmospheric pressure CVD (upper left);
APCVD with conveyor (upper right); hot-wall, low-pressure CVD (lower left); cold-wall plasma enhanced CVD.
(S. A. Campbell, The Science and Engineering of Microelectronic, Oxford, U.K.: Oxford University Press, 2001; R. C.
Jaeger, Introduction to Microelectronic Fabrication (Modular Series on Solid State Device Volume 5), New York:
Addison-Wesley, 1990; and M. Madou, Fundamentals of Microfabrication, New York: CRC Press, 1997)
wafer. A common thin film deposited in APCVD reactors is silicon dioxide used for
passivating circuits. The chemical reaction for the deposition of silicon dioxide is:
SiH4 g O2 g h ! SiO2 s 2H2 g
where the parenthetical entities represent gas, heat, and solid, respectively. In this
reaction, silane and molecular oxygen enter the reactor at the inlet, silicon dioxide is
deposited onto the wafer, and molecular hydrogen leaves the reactor at the outlet
(along with any unused silane). Under proper conditions, this reaction takes place on
the surface of the wafer at around 425 C.
APCVD can be performed at temperatures much lower than thermal oxidation,
which has advantages in midstage processing of dielectrics. APCVD processes are also
attractive because of high deposition rates and simple equipment design. To increase
production, wafers can be conveyed through the APCVD reactor on a heated chain
conveyor, fed one wafer at a time by multiwafer cassettes. In addition, APCVD can be
used to deposit phosphorous-doped or phosphosilicate glass (PSG) otherwise known as
p-glass, by adding phosphine to the reaction. P-glass can be used to smooth the wafer
topology and getter wafer impurities, also during midstage processing. Problems with
APCVD include impurities and poor control over film thickness.
Because CVD processes involve chemical reactions, one distinction from other
processes involves the location of those reactions. Gas-phase (homogeneous) reactions
resulting in solid particulates are generally undesirable because the ensuing particulate
C41
06/18/2011
1160
9:3:19
Page 1160
CHAPTER 41
deposition produces thin films with poor morphology, increased contamination, and
inconsistent properties. Chemical reactions on the wafer surface (heterogeneous
reactions) result in the deposition of a solid, thin film with more uniform properties.
In APCVD, homogeneous reactions are reduced by the introduction of diluent gases.
However, greater control over gas-phase reactions can be obtained with the use of low
gas pressures on the order of several hundred millitorr. This process is commonly called
low-pressure CVD (LPCVD).
Most polycrystalline silicon, or polysilicon, is deposited through LPCVD. The
chemical reaction for the deposition of polysilicon is carried out at 600 C on the wafer
surface. Polysilicon is often used for making gate electrodes during component fabrication. While polysilicon can be deposited within an APCVD reactor, the uniformity of
the film thickness is hard to control, which is problematic for gate electrodes. Therefore,
polysilicon is normally deposited in an LPCVD reactor where uniformity is easier to
control. Another benefit of LPCVD is that it consumes much less carrier gas, which
reduces gas expense and handling.
To understand the reason for the improved process control in LPCVD reactors, it
is important to differentiate CVD processes that are reaction-rate limited from those
that are mass-transport limited. In reaction-rate limited processes, the deposition rate
is controlled by the chemical reaction rate at the surface of the wafer. In contrast, masstransport limited processes are controlled by the concentration of gases at the surface of
the wafer. These two limits on process kinetics account for the major differences in
CVD reactor designs.
Most LPCVD processes are reaction-rate limited. Because chemical reaction rates
are heavily temperature dependent, thermal uniformity tends to be a design requirement
for LPCVD reactors. However, at the low gas pressures in LPCVD reactors, there is
more distance between molecules than in APCVD reactors, and consequently, there are
fewer interactions between molecules. Therefore, it is more difficult to transfer energy
between molecules and attain thermal equilibrium within LPCVD reactors. Because
thermal equilibrium is hard to achieve within LPCVD reactors, most LPCVD reactors
keep all surfaces within the reactor at the same temperature to minimize thermal gradients within the reactor. Because the walls of these reactors are heated, they are called hotwall reactors. The ability to maintain thermal stability within hot-wall reactors is the reason for the improved process control of LPCVD reactors.
One disadvantage of hot-wall reactors is that the thin film is deposited along the
walls of the reactor as well as on the wafer surface. Over time, these deposited films can
flake off and contaminate the wafer surface. As a result, hot-wall LPCVD reactors must
be dedicated to the growth of only one material, which reduces their flexibility. In some
cases, cold-wall reactors can be used to reduce deposition on the walls. Cold-wall
reactors have been used successfully to deposit tungsten for component interconnection, which has the advantage of reducing the size of metal contacts. However,
cold-wall reactors do not permit the same level of temperature control and, therefore,
do not permit the same level of deposition uniformity as hot-wall reactors.
In general, LPCVD reactors require higher capital expense due to their vacuum
requirements and permit lower deposition rates than APCVD reactors. However,
because LPCVD reactors typically are not mass-transport limited, wafers may be processed in higher densities within the reactor. Batch sizes in hot-wall LPCVD reactors
may be as high as several hundred wafers, which more than makes up for the loss of
deposition rate. With such large batch sizes, depletion of reacting species can cause variation in deposition rates from the front to the back of the reactor. This can be accommodated by setting up a temperature gradient from the front to the back of the reactor,
resulting in higher chemical reaction rates in the back of the reactor.
In other CVD processes where the deposition rate is mass-transport limited, the
major design requirement of reactors is to permit uniform transport of reactant gasses
to all parts of the wafers. As a result, these reactors have geometries optimized for gas
flow and have excellent gas flow controls. One example of this was shown in the conveyorized APCVD reactor in Figure 41-12. In such reactors, the natural convection of the
reactant gas between the wafer surface and the cold walls of the reactor can cause
C41
06/18/2011
9:3:19
Page 1161
SECTION 41.7
Thin-Film Deposition
1161
circulation of the gas and make it difficult to control the concentration of the gas at the
wafer surface. Consequently, this can make the deposition uniformity between wafers
hard to control. These problems can be addressed with proper flow design of the reactor
and appropriate parametric design.
Many integrated electronic features require the deposition accuracy of LPCVD.
However, many LPCVD processes require high temperatures that are not compatible
with late stage processing, because high temperatures will cause diffusion of previously
deposited material layers. Plasma-enhanced CVD (PECVD) has been used effectively
to lower the processing temperatures needed to sustain the necessary chemical
reactions. One manifestation of a cold-wall PECVD reactor is shown in Figure 41-12.
In this case, the wafer rests between electrodes through which an AC potential is
applied at radio frequency.
One application of PECVD is in passivating the IC after processing, achieved by
depositing silicon nitride as a durable, inert coating to protect the circuit from moisture
and scratches. A chemical reaction for sustaining silicon nitride passivation is:
3SiH4 g 4NH3 g h ! Si3 N4 s 12H2 g
An LPCVD reactor would drive this process at 900 C, but by substituting dichlorosilane, the temperature can be driven lower (700 to 900 C). PECVD can drive this
reaction at 300 to 400 C. Both hot-wall and cold-wall PECVD reactors have been used
for silicon nitride passivation.
Issues with PECVD include low deposition rates, poor throughput, poorer step
coverage, and more impurities than LPCVD. Deposition uniformity can be a concern
in hot-wall reactors due to gas depletion. Some effort has been made to improve the
throughput of cold-wall reactors by permitting multiple process steps to be performed
in a single vacuum chamber.
EPITAXIAL GROWTH
In some cases, it is desirable to deposit a thin film of single-crystal semiconductor material onto the silicon wafer prior to semiconductor processing. Epitaxy is the growth of a
single crystal, thin film of identical crystallographic orientation as the surface on which
it is grown. Epitaxy is used for the purpose of improving semiconductor properties or
fabricating abrupt transitions between doped layers that would otherwise be hard to
form by diffusion or ion implantation. Epitaxial layers, or epi-layers, are grown under
tighter specifications than bulk single crystals, resulting in fewer crystal defects, higher
purity, more uniform dopant distributions, and sharper transitions between doped layers. In early semiconductor processing, n-type epilayers were grown on top of p-type
substrates for standard buried-collector bipolar processing. Due to the high temperatures involved (leading to the solid-state diffusion of dopants), current mainstream silicon manufacturing uses epitaxial deposition mainly for thick layers (1 to 10 mm) from
which devices may be fabricated.
The mainstream method for silicon epitaxial deposition is vapor-phase epitaxy
(VPE). VPE is an extension of LPCVD. One difference is that the VPE of single crystal
silicon is performed at higher temperatures (1000 C) than the LPCVD of polysilicon.
Because surface reaction rates are much faster at higher temperatures, VPE reactions
tend to be mass-transport limited, and as a result, the reactor is designed to optimize gas
flow to the wafer. Many other CVD techniques are able to produce semiconductor epitaxial growth, including ultra-high vacuum and laser, optical, and X-ray-assisted CVD.
However, VPE is the mainstream epitaxial method used in silicon fabrications. NonCVD methods (liquid phase, molecular beam, ion beam, and clustered ion beam epitaxy) have been found more important for depositing compound semiconductors such
as galium arsonite (GaAs). CVD techniques such as metallorganic CVD are beginning
to show promise for GaAs as well.
C41
06/18/2011
1162
9:3:19
Page 1162
CHAPTER 41
Second-level
metal
Via
Interlevel dielectric
SiO2
SiO2
n+
Contact
First-level
metal
Si Wafer
metal wires between thin-film dielectric layers. The deposition of thin film metals and
dielectrics for component interconnection is called metallization.
As shown in Figure 41-13, the geometry of metallization involves metal wires, or
lines, in between layers of dielectric. In metallization, metal deposition has conventionally been accomplished by PVD processes, whereas dielectric deposition has been
accomplished by either PECVD or sputtering. In order to interconnect the IC components, access must be made between the first level of lines and the semiconductor. These
access points are called contacts. To permit lines to cross over one another, access must
be made between each layer of lines. These access points are called vias (discussed in
more detail later). Holes for contacts and vias are produced by pattern transfer with
photolithography and etching steps.
Modern ICs typically have between one and six metallization layers. During metallization, as each layer of metal and dielectric is deposited and etched on top of
another, the topology of the wafer can become quite severe. If the topology of the wafer
becomes too pronounced, the result can be shorts in metal wires due to poor step coverage during metal deposition. Further, as the feature resolution of photolithography
exposure systems continues to improve, the depth of focus of these systems decreases,
making photolithography on uneven topology difficult. To avoid these problems, interlayer dielectric layers must be planarized (made flat). As mentioned earlier, one
method of reducing topology is to use p-glass as a dielectric interlayer, because it flows
at a relatively low temperature and smooths out peaks and valleys. Another method is
to perform an etch on the dielectric, which will referentially attack the high points on
the surface. For devices with less than (transistor) gate thicknesses involving a large
number of metallization layers, chemical mechanical polishing (CMP) has become the
standard in planarization. CMP is similar to conventional mechanical polishing with a
wet abrasive slurry, except that the slurry contains a chemical etchant as well. This process is discussed in more detail in Chapter 26.
Metals used for interconnection are those that exhibit low electrical resistance
and good adhesion to dielectric insulating layers. Aluminum is a popular metal for
interconnecting IC components. Small amounts of copper may be added to reduce the
potential for electromigration effects in which the applied current to the device can
induce the undesirable mass transport of metal atoms over time. As the device and wire
sizes continue to decrease, electromigration, which can result in short or open circuits, is
becoming a bigger problem. In addition to aluminum alloys, other alloys and pure metals such as tungsten, titanium, and copper are being considered for metallization for
their ability to resist electromigration. Other key characteristics of deposited metal
films include low film reflectivity (to reduce interference with optical alignment during
photolithography) and low residual stress.
To finish component interconnection, a series of connectivity and functional tests
called wafer testing are performed on each separate IC on the wafer, and the wafer is cut
into individual ICs called dies or chips. The purpose of wafer testing is to eliminate any
unnecessary packaging of defective ICs. At this stage, the wafer has an array of ICs that
has been produced on it. Wafer testing is performed by computer-controlled probing
equipment that introduces electrical signals into each IC by contacting each set of bonding pads on the wafer with needle-like probes. The multiprobe procedure involves
indexing each IC under a probe head, which has a probe for each bonding pad. ICs that
fail the test are marked with an ink dot and discarded. After testing, the wafer is diced
into individual dies or chips. Wafer dicing is typically performed by diamond sawing to
give clean edges with minimal damage.
C41
06/18/2011
9:3:20
Page 1163
SECTION 41.8
1163
C41
06/18/2011
1164
9:3:20
Page 1164
CHAPTER 41
Lead frame
Leads imbedded
in plastic body
Pins
Lead
pitch
PACKAGE TYPES
ICs come in a variety of packages made from a variety of materials. Figure 41-14 shows a
cutaway view of the most well-known IC chip package; the dual in-line package (DIP)
refers to the two sets of in-line pins that go into holes in the PCB. The DIP, like all other
IC packages, is made up of a lead frame and a package body. Typically composed of a
copper alloy (sometimes with an aluminum coating), the lead frame provides electrical
interface between the IC and the PCB. The DIP body is made from a low-cost epoxy,
which facilitates mass production. In high-reliability applications (e.g., military), where
hermetic (air-tight) sealing of the package is important, ceramic package bodies are used.
Generally, IC packages are grouped mainly based on the arrangement, shape, and
quantity of leads. Lead pitch refers to the center-to-center distance between leads on an
IC package. In conformance to standard-setting bodies, such as the Electronics Industries
Association (EIA) in the United States and EIA Japan, lead pitches above 20 mils
(0.02 in.) are measured in inches. Below 20 mils, lead pitches are measured in millimeters.
There are two methods by which components are connected to the circuit on the
PCB. The DIP is the leading example of through-hole (TH) technology, also known as
pin-in-hole (PIH) technology, where IC packages and discrete components are inserted
into metal-plated holes in the PCB and soldered from the underside of the PCB. In surface mount (SM) technology, electronic components are placed onto solder paste pads
that have been dispensed onto the surface of the PCB. Figure 41-15 shows the cross
section of solder joints for typical SM- and TH-packaged components on a PCB.
SM packages are more cost effective in electronic assembly, and this SM technology has replaced a lot of the TH technology, but not entirely, because not all electronic
components can be purchased in an SM package. SM packages are designed for automated production and allow for higher circuit board density than TH components. The
manufacturing challenges associated with SM technology include weaker joint strength
and solderabilty issues relating to lower in-process lead temperatures. Also, TH components have only one lead geometry, whereas SM components have many different
designs. The key packaging families for TH technology are dual in-line packages
(DIPs) and pin grid arrays (PGAs).
In SM technology, IC packages cannot be discussed separately from lead geometry. Lead geometries affect the electrical performance, size constraints on the PCB, and
ease of assembly of the IC package. The most basic form of SM lead is the butt lead, or
I-lead (see Figure 41-16). Butt leads are normally formed by clipping the leads on the
TH component. This technique is sometimes used to convert an existing TH component
to an SM component. Consequently, butt-leaded components do not typically save any
space on the PCB. However, they can reduce costs by eliminating the need to perform
TH soldering of the PCB after SM soldering. Butt-lead components tend to result in the
lowest solder joint strengths, and therefore, reliability is an issue.
Gull-wing leads bend down and out, whereas J-leads bend down and in. Gull-wing
leads allow for thinner package sizes and smaller leads, which is important for compact
applications such as laptop computers. In addition, packages with gull-wing leads are
compatible with most reflow soldering processes and have the ability to self-align
C41
06/18/2011
9:3:20
Page 1165
SECTION 41.8
1165
SM
TH
PCB
TH
SM
PCB
Single side
Dual side
Full surface
Dual side
Surface mount
SOJ (small-outline J-lead package)
LCC SOJ
(leaded chip
carrier,
small outline J-lead
package)
FIGURE 41-15 Here is a summary of the various types of packaging used for ICs. (L. T. Manzione, Plastic
Packaging of Microelectronic Devices, New York: Van Nostrand Reinhold, 1990)
during reflow if they are slightly misoriented. Gull-wing leads are compatible with fine
pitch packages, but inspection of solder joints is difficult in its final soldered configuration. Gull-wing leads are also susceptibile to lead damage and deviation from lead
coplanarity. J-leads are sturdier than gull wings and stand up better in handling. The
solder joint of J-leads face out, making inspection easier. J-leads have a higher profile
than gull wings, which can be a disadvantage for compact applications. At the same
time, this higher standoff makes postsolder cleaning easier. J-leads can be used for
packages with between 20 and 84 leads.
C41
06/18/2011
1166
9:3:20
Page 1166
CHAPTER 41
PCB
Butt leads
Gull wing
PCB
J-leads
J-leads
Solder ball
PCB
Quadpack with
gull wing leads
Silicon die
Au bond wires
Epoxy
overmold
BT/Glass PCB
62/36/2 Sn/Pb/Ag
Solder balls
PACKAGING PROCESSES
The first step in IC packaging is to attach the die to the package. Die attachment techniques include wire bonding, tape-automated bonding (TAB), and flip-chip technology.
In wire bonding, also known as chip-and-wire attachment, the chip is attached to the
package with an adhesive, and a wire is attached to bonding pads on the chip and on
the package. Gold wire as thin as 25 mm and aluminum wire as thin as 50 mm can be
attached in wire bonding. As shown in Figure 41-18 for gold wire, the ball bond at the
die pad is formed by melting the wire tip and compressing it against the die pad. After
die pad bonding, the wire is then looped out and ultrasonically or thermosonically
welded to the lead frame of the package. In ultrasonic welding, frictional energy, caused
by placing the vibrating wire in contact with the lead frame, causes heating, melting, and
coalescence of the two materials. Thermosonic welding is ultrasonic welding with the
addition of heat.
In TAB attachment, a thin polymer tape carrying the lead circuitry (see Figure 41-19)
is aligned with the die, and the leads are bonded under temperature and pressure to the IC
C41
06/18/2011
9:3:20
Page 1167
SECTION 41.8
FIGURE 41-18 Thermosonic
ball-wedge bonding of a gold
wire. (a) Gold wire in a capillary;
(b) ball formation accomplished
by passing a hydrogen torch over
the end of the gold wire or by
capacitance discharge;
(c) bonding accomplished by
simultaneously applying a
vertical load on the ball while
ultrasonically exciting the wire
(the chip and substrate are
heated to about 150 C); (d) a
wire loop and a wedge bond
ready to be formed; (e) the wire
is broken at the wedge bond;
(f) the geometry of the ballwedge bond that allows highspeed bonding. Because the
wedge can be on an arc from the
ball, the bond head or package
table does not have to rotate to
form the wedge bond.
(Semiconductor International
magazine, May, Des Plaines, IL:
Cahners Publishing Co., 1982)
1167
Capillary
H2 torch
Gold wire
D
(a)
2.5-3D
(b)
Chip
Substrate
(c)
Substrate
(d)
Wire
tail end
Substrate
(e)
(f)
chip. In flip-chip attachment, the chip is turned over so that the bonding pads on the chip
and on the package face each other. Flip-chip technology is more common for direct chip
attachment to the PCB but is becoming more important for chip-scale packages, as
explained later. As shown in Figure 41-20, flip-chips are normally attached to the package
with a solder bump.
After die attachment, the package is sealed. Plastic packages are either premolded
or postmolded. Premolded packages are sealed adhesively with a lid (Figure 41-21).
Postmolded packages are sealed via a transfer molding or injection molding process
(Figure 41-21). Prior to molding, the die is adhered and wire bonded to the lead frame,
which is automatically inserted into the mold. The postmolding process is relatively harsh
on the die and wire bonds and can cause major yield and reliability problems. To keep out
environmental contaminates, ceramic packages are hermetically sealed by glass using
either eutectic AuSi or silver-loaded glass adhesive technologies.
Once the package is sealed, leads are typically formed and may require a solder
dip. BGA packages differ from the other packages in that the BGA is interconnected
through the use of laminated substrates (plastic or ceramic) similar to PCB processing
instead of through leadframes. In BGAs, the outermost layer of interconnection is covered with a solder mask, and openings in the solder mask allow for solder ball attachment during solder dipping.
A more advanced option for interconnecting ICs with PCBs is called direct chip
attachment (DCA), also known as chip-on-board or direct die mounting. As suggested,
DCA directly attaches the chip to the board using any of three die-attachment technologies mentioned previously. On paper, flip-chip technology has the greatest potential
for DCA. However, one challenge associated with flip-chip technology is the coefficient
of thermal expansion (CTE) mismatch between the chip and the PCB substrate, particularly as chip sizes increase and solder joint sizes decrease. As a result, the development
of underfill encapsulants has become increasingly important for the reinforcement of
the mechanical and thermal properties of flip-chip solder joints.
Disadvantages of DCA technologies include shipping and handling of the bare
chip and the need for electronic assembly manufacturers to purchase die-attachment
equipment. As a compromise, chip scale packaging (CSP) has been developed to help
downstream processes take advantage of DCA technology. CSP is defined as any
packaging that adds no more than 20% of additional board area to the chip. The micro
BGA (MBGA) package shown in Figure 41-22 is one example of CSP.
C41
06/18/2011
1168
9:3:21
Page 1168
CHAPTER 41
Inner leads
bonded to IC
Outer leads
IC
Area
of polymer
support ring
after excising
by custom die
Gas
Chip
Die matrix alignment device
(a)
Tool alignment
Bonded chip
Chip motion
Chip in matrix
(c)
C41
06/18/2011
9:3:21
Page 1169
SECTION 41.8
Solder
mask
or dam
1169
IC
Three-layer under
bump metallization
Ni/Cu/Au
Solder bump
Filled
epoxy
underfill
FIGURE 41-21 Premolded packages (on left) are sealed adhesively with a lid while postmolded packages
are sealed via atransfer molding or injecting molding process. (L. T. Manzione, Plastic Packaging of
Microelectronic Devices, New York: Van Nostrand Reinhold, 1990)
Another alternative to single-chip carriers is multichip carriers or multichip modules (MCMs). MCMs are chip carriers that package more than one chip through direct
chip attachment to fine-line, thin-film conductors within a ceramic carrier. MCMs are
an extension of hybrid circuits that use refractory substrates and thick- and thin-film
metallization processes for interconnection. The MCM is essentially a mini-PCB
Handling
ring
(optional)
Aluminum pad
Chip
Elastomer
Next-level
board
Gold wire
Silicon
encapsulant
Flex circuit
Gold-plated
nickel bumps
C41
06/18/2011
1170
9:3:22
Page 1170
CHAPTER 41
with DCA interconnection between the chips and the board. Usually, the die is
mounted in the MCM with flip-chip technology. The major advantage of MCMs is
the reduction in electronic, single-path distance between ICs. The MCM replaces
the typical die-wirebond-pin-board-pin-wirebond-die path with a much shorter diebump-wire-bump-die path.
Selection of the final chip package for an IC device depends on several factors,
including size, weight, cost, number of leads, power handling, signal delay, electrical
noise, and cooling requirements, among others.
Tracks
Pads
Insertion hole
Via hole
C41
06/18/2011
9:3:22
Page 1171
SECTION 41.9
TABLE 41-5
1171
Design
Parameters
Transition
Temperature
Coefficient
of Thermal
Expansion
Thermal
Conductivity
Tensile
Modulus
Temperature
and Power
Cycling
Flexural
Modulus
Dirlectric
Constant
Volume
Resistivity
Surface
Resistivity
Moisture
Absorption
Circuit
Density
Circuit Speed
Vibration
Mechanical
Shock
Temperature
and Humidity
Power
Density
Chip Carrier
Size
X
X
X
Impregnation
(treater tower)
Heat
stage 2
Heat
stage 3
Heat
stage 4
Heat
stage 1
Fiberglass cloth
Prepreg
Resin
TABLE 41-6
Cut
Common
Designation
Resin
System
Base
Material
Description
XXXP
Phenolic
Paper
XXXPC
Phenolic
Paper
Punchable at or above room temperature. XXXP and XXXPC are widely used in
high volume single-sided consumer products.
G-10
Epoxy
Glass fibers
G-11
Epoxy
Glass fibers
FR-2
Phenolic
Paper
Same as XXXPC, but has a flame retardant (FR) system that renders it selfextinguishing.
FR-3
Epoxy
Paper
FR-4
Epoxy
Glass fibers
FR-5
Epoxy
Glass fibers
Same as FR-4, but has better strength and electrical properties at higher
temperatures.
FR-6
Polyester
Glass fibers
Designed for low capacitance or high impact resistance; has flame retardant.
Polyimide
Polyimide
Glass fibers
C41
06/18/2011
1172
9:3:22
Page 1172
CHAPTER 41
Component lead
Base material
Land
Solder
(a)
Hole through
board
Component
Component leads
Base
material
Land
Track
Metallized layers
Solder
Plated throughholes (vias)
(b)
Component on underside
Component
Buried via
Through via
Metallized layers
Track
Solder
Blind via
Land
Metallized layer
(c)
FIGURE 41-25 PCBs can be single-sided, double-sided, or multilayer. (M. Judd and K. Brindley,
Soldering in Electronics Assembly, Boston: Reed International Books, 1992)
C41
06/18/2011
9:3:22
Page 1173
SECTION 41.9
1173
Subtractive etch
Etched
pattern
Photoresist
Additive
Photoresist
Subtractive (panel)
Copper-clad
prepreg
Drill, seed,
plate
Surface prep,
resist apply,
expose, develop.
Etch,
strip resist
Additive (pattern)
Copper-clad
prepreg
Drill, etch
Copper,
surface prep,
resist apply
Expose,
develop,
seed
Copper
plate strip
resist
out on only one side of a multilayer PCB are called blind or partially buried vias. Multilayer PCBs can have as many as 20 layers, although four to eight are more common.
Production of a multilayer PCB from a C-stage laminate begins with a process
known as inner-layer circuitization. Inner-layer circuitization may be either subtractive
or additive. Subtractive circuitization (Figure 41-26) for glass-epoxy PCBs begins with a
double-sided, copper-clad, C-stage laminate known as a panel, which has been sheared
to size. A film of dry photoresist is applied by hot roller to the copper surface. Next, the
circuit pattern (traces and pads) is transferred to the photoresist by exposure through a
reticle and chemical development of the photoresist in a photolithographic process similar to that used in semiconductor processing. The copper is then selectively etched
through the resulting etch mask, and the resist is subsequently stripped from the laminate. Afterward, registration holes are drilled relative to locator marks, called fiducials,
produced in the copper layer during the lithography and etching processes.
In additive circuitization (Figure 41-26), copper is selectively deposited instead of
etched away. The process begins with a bare glass-epoxy laminate cut to size with registration holes. If necessary, via holes may be drilled. An etch mask is exposed and developed, exposing the underlying dielectric including all via holes. The exposed dielectric
surfaces are buttercoated, or seeded, to permit electroless deposition by adsorbing a
catalyst (usually palladium) from solution onto the surface of the dielectric. A thin layer
of electroless copper is deposited on the seeded dielectric, followed by electroplating of
thicker copper layers. Afterward, the resist is stripped. The additive process has the
advantage of providing higher resolution for circuitry with finer lines and higher density
but tends to be less economical.
The final multilayer board is produced by lamination of inner layers, drilling and
preparation of via holes, and circuitization of outer layers. In lamination, as shown in
Figure 41-27, a stack of inner layers is bonded together between B-stage prepreg of the
appropriate shape and size under time, temperature, and pressure. Usually the inner
layers are stacked up between copper layers on the top and bottom of the stack. Prior to
lamination, the copper surfaces of the inner layers are oxidized to improve adhesion
between layers. Alignment between layers is critical during lamination and is controlled
with pins and registration holes. After lamination, excess resin that oozed out during
bonding is sheared off. Next, via holes are drilled, deburred, and plated. After drilling,
C41
06/18/2011
1174
9:3:22
Page 1174
CHAPTER 41
}
Inner layer
B-stage material
Knockout pins
some epoxy smear may exist on the copper surface within the via, which will prevent
electrical connection or weaken the mechanical integrity of the via. Therefore, an acidic
solution is used to remove excess epoxy and actually to slightly etch back epoxy within
the hole so that the copper layers protrude slightly, which improves the connection
between copper layers within the via. Subsequently, the epoxy surface within the via is
seeded, and a thin layer of electroless copper is deposited. Outer-layer circuitization
involves the same method of dry resist patterning to produce a lithographic mask. One
difference between inner- and outer-layer circuitization is that copper is electrolytically
deposited onto exposed copper after masking to ensure good electrical contact between
the inner and outer copper layers. To finish the PCB, the copper layer is etched, a photosensitive solder mask/encapsulant is applied, and the remaining exposed pads are
pre-tinned with solder.
The manufacturing process for producing flex circuits is similar to the process just
described. Flex circuits are typically not as complicated as glassepoxy PCBs and so
have fewer layers. The typical process makes use of a two-sided, copper-clad, polyimide
film usually bonded with an epoxy resin. Encapsulation is performed with the use of
flexible cover layers, which are either photosensitive or precut and bonded. After
encapsulation, the final shape of the flex circuit is cut to size either by shearing (high
volume) or by lasers and water jets (prototyping).
With the advent of CSP and MCM packaging technologies, the requirements for
track and pad densities have increased. The practical limit of mechanical drilling is a
diameter of about 200 mm. Microvias are via holes made by photo-imaging, laser ablation, and plasma etching that extend well below 200 mm. Microvias as small as 25 mm
can be made that increase the density of pads and tracks eightfold over conventional
mechanical drilling technologies. Advantages of such small vias include the elimination
of bonding pads for direct trace-to-trace connections. Microvias can be used to produce
built-up multilayers (Figure 41-28). Built-up multilayers are made by deposition and
processing of one dielectric layer at a time, similar to IC interconnection. Connections
between dielectric layers are made by drilling and electroplating into microvias. Circuit
routing can be made extremely efficient, which results in optimally short signal lengths
for high-performance applications.
Thin
buildup
layers
Small
photo-via
(127 mm)
Plated
Cu
conductor
C41
06/18/2011
9:3:22
Page 1175
SECTION 41.10
Electronic Assembly
1175
Start
Blank
card
Form all
component leads
Mount passive
components
Trim
leads (guillotine)
Mount semiconductor devices
Mount
special devices
Vapour
clean (optional)
Start
Screen print
solder paste
Apply adhesive
side 2
Place SM
components
Place SM
components
Clean
board
Cure solder
paste
Cure adhesive
Invert board
Wave
solder
Inspect
and test
Reflow solder
Invert board
Clean
Wave solder
Clean
Test/repair
Doublesided
assembly
No
Wave solder
Clean
Test/repair
Yes
FIGURE 41-29 The assembly process steps for making a TH PCB (above). The steps for SM assembly
are given below along with the steps for mixed technologiesboth TH and SM. (M. R. Haskard, Electronic
Circuit Cards and Surface Mount Technology: A Guide to Their Design, Assembly, and Application, New
York: Prentice Hall, 1992)
C41
06/18/2011
1176
9:3:22
Page 1176
CHAPTER 41
insertion, leads are generally clinched and trimmed if necessary to avoid bridging
between joints during soldering, which can cause electrical shorting of the circuit. Generally, soldering of TH components is performed automatically through a process known as
wave soldering. Wave soldering involves the conveyance of a preheated and prefluxed
PCB over a standing wave of solder created by pumping action. The combination of capillary action and pumping action permits flow of the solder from the underside of the board
into the joint. Cleanliness of the PCB is critical for wetting of the lead and PTH. A highpressure air jet is used to blow off excess solder from the underside of the board to prevent solder ridging. Postsolder cleaning of the board includes degreasing and defluxing.
One key consideration for TH solder joints is joint strength. The trade-off is the
clearance between the insertion lead and insertion hole. As the clearance decreases,
joint strength increases. However, with smaller clearances it is more difficult to insert
pins in holes. Clearances on the order of 0.25 mm are typical. Another factor affecting
joint strength involves the clinching of leads. Clinched lead joints are much stronger
than unclenched joints. Because the mechanical strength of TH joints is generally superior to SM joints, large, heavy components are generally attached with TH technology.
SM assembly involves application of solder paste to the lands on the surface of the
PCB, placement of SM components on top of this paste, and reflow of the solder paste
within an oven. Solder paste consists of small spherical particles of solder less than a
tenth of a millimeter in diameter together with flux and solvents used to dissolve the
flux (imparting tackiness) and thicken the paste. At the time of application, the paste
has the consistency of peanut butter and is applied by screening, stenciling, or dispensing. In screening and stenciling, a solder paste printer is used to apply solder paste
through a mask (screen or stencil) by running a squeegee over the surface of the mask.
The mask is typically held off of the surface by a distance on the order of 0.5 mm, known
as the snap-off distance (see Figure 41-30). As the squeegee passes over the mask surface, the mask is pressed against the PCB, allowing contact between the paste and the
lands on the board. After the squeegee has passed, the mask snaps back from the surface, leaving an island of solder paste on the PCB lands. Stencils are typically metal
sheets or wire mesh that has been chemically etched using a lithographic process.
Screens are typically formed by application, exposure, and development of a photosensitive emulsion on top of a wire mesh. Advantages of metal sheet stencils include
longevity and multilevel (pads of varying thicknesses) printing, and the screens are
cheaper to make. To decrease tooling costs during product development, pastes can
also be dispensed without a mask through a syringe needle. Dispensing generally
requires pastes with lower viscosity, which can lead to other problems including solder
paste slump (spreading out of the solder pastes after application).
Squeegee
travel
Squeegee
Solder paste
Mesh
+
emulsion
Gap
Open mesh
.020" Typical
Substrate
holder
Substrate
Paste deposit
Snap-off
distance
06/18/2011
9:3:23
Page 1177
SECTION 41.10
Electronic Assembly
1177
90 sec. max
30 sec. min
220 10C
Temperature (C)
C41
183C
150C
Max slope
of 2C/sec
90-150 sec.
Preheat
Soak
Time (s)
Reflow
Cooling
C41
06/18/2011
1178
9:3:23
Page 1178
CHAPTER 41
quickly raises the temperature of the solder paste above the reflow temperature allowing for fluxing and wetting of solder joints. The fourth zone cools the assembly permitting solidification. Reflow soldering is generally done in infrared (IR) reflow ovens, and
heating involves both IR radiation as well as gas-forced convection. The minimum
number of heating zones for a reflow oven must be three (the fourth is a cooling zone)
but can contain as many as 20 to provide better control over the thermal profile.
An alternative to IR reflow soldering is vapor-phase soldering, or condensation
soldering, involving the condensation of a hot perfluorocarbon vapor onto the assembly
surface, releasing the latent heat of vaporization into the solder joints and substrates.
By and large, this process has been replaced by IR reflow soldering due to improved
process reliability and control. Other alternatives to IR reflow soldering include laser,
hot bar, and hot belt reflow soldering.
For a variety of reasons, SM technology and through-hole insertion technology
are mixed on the same PCB. Some components are not available in SM packages. Some
components are large and require the added strength provided by TH solder joints.
Some components require more heat dissipations than SM can accommodate. Thus,
both methods will continue to be used in the future.
die yield
diffusion
direct chip attachment
(DCA)
direct die mounting
direct-write technique
donor
dopants
doping
drive-in
dry etching
dry oxidation
dual in-line packging (DIP)
electromigration
electronic assembly
electron holes
epitaxy
etch bias
etchant
etching
evaporation
feeders
fiducials
flats
flex circuits
flip-chip
gettering
gull-wing leads
inner-layer circuitization
integrated circuit (IC)
ion milling
isotropic
J-leads
lattice
lines
linewidths
lithography
mass-transport limited
metallization
microvias
motherboard
multichip module (MCM)
n-type semiconductors
outer-layer circuitization
panel
partially buried vias
p-glass
p-n junction
p-type semiconductors
photolithography
photomask
photoresists
physical vapor deposition
(PVD)
pick-and-place
pin grid array (PGA)
pin-in-hole (PIH)
plasma etching
plated through-hole (PTH)
polishing
polysilicon
predisposition
prepreg
printed circuit board
(PCB)
printed wirign assembly
(PWA)
processing yield
projection printing
proximity printing
quad flat package (QFP)
rapid thermal annealing
(RTA)
rapid thermal processing
reaction-rate limited
reactive ion etching (RIE)
reflow oven
resist
resist masks
resolution
reticles
seed crystal
selectivity
semiconductor
sensitivity
slump
snap-off distance
solder balls
solder paste
spin coating
sputter etching
sputtering
step coverage
stepper
stripping
subtractive circuitization
surface mount (SM)
tape-automated bonding
(TAB)
thermal profile
thick film
thin film
through-hole (TH)
through-mask technique
through vias
timetemperature curve
vapor-phase epitaxy (VPE)
vapor-phase soldering
via holes (vias)
wafer testing
wafer yield
wafers
wave soldering
wet etching
wet oxidation
wire bonding
C41
06/18/2011
9:3:24
Page 1179
Review Questions
1179
C41
06/18/2011
1180
9:3:24
Page 1180
CHAPTER 41
69. List the four major process steps for producing a multilayer
PCB.
70. List the two methods for inner layer circuitization, and discuss the physical process and advantages of each.
71. What are built-up mulitlayers and microvias? How are they
different from laminates and vias?
72. List the four major steps for assembling a TH printed wiring
assembly (only TH technology).
73. Why are leads trimmed and clinched after through-hole
insertion?
74. List the four major steps for assembling an SM printed wiring
assembly (only SM technology).
75. Name four methods for feeding components to robotic
manipulators in pick-and-place robots. Discuss the application of each.
76. What step in the SM assembly process most strongly affects
startup and operations costs for an SM assembly line? Why?
77. What four zones are necessary within an SM solder reflow
thermal profile?