You are on page 1of 243

.. , ..

2008



,


, ..

.., ..

: . . .: , 2008.
243 .



,
,
Multisim.
, ,
, , ,
, .

,
( )
, -
, ,
.


,

,
- , ,
.
.., .., 2008

I. 7
1.1. 7
1.2. 21
1.3. 32
1.4. 36
45
47

II.
CIRCUITDESIGNSUITE 48
2.1. CircuitDesignSuite 48
2.2. Multisim 53
2.3. 56
2.4. 58
2.5. Multisim 61
2.6. NI ELVIS 63
69
69

III.
71
3.1. 71
3.2. 73
3.3. ELVIS 76
3.4. ELVIS 79
82
83

IV.
84
4.1. 84
4.2. 88
4.3. 92
4.4. 95
4.5. Multisim 101
105
106
3
V.
107
5.1. 107
5.2. 112
5.3. 116
5.4. 119
5.5. Multisim 124
127
128

VI.
129
6.1. 129
6.2. 134
6.3. 139
6.4. 141
6.5. - - 143
6.6. Multisim 150
154
155

VII.
156
7.1. 156
7.2. MCS-51 163
7.3. PIC- Microchip 166
7.4. AVR Atmel 169
172
173

VIII. AVR 174


8.1. AVR 174
8.2. AVR 179
8.3. AVR Studio 181
8.4. AT STK500 187
8.5. AVR 194
200
200
202

205
206
4

-
. -
, -
, -
. -
,


.
- -
.

CircuitDesignSuite (Multisim), National Instru-
ments. Multisim -
.
, -

. Multisim
,
-
.
-
LabVIEW,
-
,
,
.

5
-
, , -

, -
.
-

.
.

-
,
,
-
Multisim.
-
8- AVR.
, -
,
, , -
, , , -
, .

,
, .
, -
, , -
.

6
I.

1.1.
1.1.1.
-
, -
. -
-

.
, -
.

.

:
;
, -
, , -
() .


;
.
, -

.
-
, , , , -

7
-
, ,

.
,
, -
, -
.
-
, -
. -
,
, ,
.
-
,
.
, -
,
;
, , , -
.



.
-
, -
.
8
, -
:
;
-
;
;
;
;
.

-
, -
- .
28884-90.
-
: 6, 12, 24, 48, 96 192.
.

. -
. -
, -
-
.
-
6, 12, 24 -
-
() . 1.1.

9
1.1
6, 12, 24

6 1,0 1,5 2,2 3,3 4,7 6,8 20%
1,0 1,5 2,2 3,3 4,7 6,8
12 10%
1,2 1,8 2,7 3,9 5,6 8,2
1,0 1,5 2,2 3,3 4,7 6,8
1,1 1,6 2,4 3,6 5,1 7,5
24 5%
1,2 1,8 2,7 3,9 5,6 8,2
1,3 2,0 3,0 4,3 6,2 9,1

-
,
, ,
-
.
, : 0,062;
0,125; 0,25; 0,5; 1; 2; 3; 4; 5; 8; 10; 16; 25; 40; 63; 80; 100; 160; 250; 500.
-
-55 +125. -

, -

:
R
TKC = 100% , (1.1)
R0 t
R0 ; R -
; t
.
, .
, -
.

10
, ,
. -
.
-
, -
. ,
-
. , -
,
.
, -
. -
.
-
-
. -
:
Pt = 4 KT f , (1.2)
K =1,3810-23 / ; T -
; f , .

:
U t = 4 KTRf , (1.3)
R .

. -
, -
, ,

11
() , -
Ui. , -
,
U , ,
U i = k U (1.4)
k , -
. k -
0,2 20 /. ,
. -
, k 0,1 /.
k 15 /, -
k 50 /.
.
, -
-
, , -
.
:
,
;
,
;
, -
() .

-
, : , -
, -

12
, -
.
( -
) -
-
, .

1.1.2. -
, () -
,

(). , -
. -
62-74. -

:
-;
;
,
;
;
;
;
;
;
.
-
11076-69 28883-90.

13
- -
, .
-
.
,
. :
;
;
;
;
.
, -
:
1 ;
2 .
, -
.
.
, -
, .
-
. -
,
( ).
, ,
.
, -
,
, . 1.2.
14
1.2

1 103 106 109 1012

R G

( -
),
. . 1.3
.
1.3

, % 20 10 5 2 1 0,5 0,25 0,1

M K J G F D C B

, -
, .

. -
. 1.4 . 1.5.
1.4


1986 U 1996 H 2006 U
1987 V 1997 J 2007 V
1988 W 1998 K 2008 W
1989 X 1999 L 2009 X
1990 A 2000 M 2010 A
1991 B 2001 N 2011 B
1992 C 2002 P 2012 C
1993 D 2003 R 2013 D
1994 E 2004 S 2014 E
1995 F 2005 T 2015 F

15
1.5


1 7
2 8
3 9
4 0
5 N
6 D

- -

, .. -
.
, .
, -
. ,
13453-68 , -
-
:
;
;
;
.

, -
, :
1 ;
2 ;
3 -;
4 -;
5 .
16

- .
, 1968 ,
, - -
. -
( , , -
..). ( ,
, ..).
( , ,
..). -
, . -
-
. ,
2 47 10%
-
, 2 , 47
10%.
-
. 1.1.

. 1.1. -

17
1.1.3.
28883-90 -
62-74 -
.
, . -

. , , -
, -
( ) -
() .

. (, ) -
, . 1.6.
1.6



, % 10-6/C
10-2 10
10-1 5
0 100 250
1 101 1 100
2 102 2 50
3 103 15
4 104 25
5 105 0,5 20
6 106 0,25 10
7 107 0,1 5
8 108
9 109 1
20

-
(
) 10n, n
-2 +9. , -
. 3 4,
18
, , -
, . -
4, . 5,
, ,
. , ,
.

-
, .
-
:

;
;
.
-
. -
.
-
. 1.2.

. 1.2.

19
1.1.4. SMD
-
. -
, SMD-
(Surface Mount Devices). SMD- -
,
.
SMD . -
-
:
0402 -
.
5 10% -
, , -
10 -
. -
R .
( 2% )
0805 ,
, 10
. R -
.
SMD . 1.4.

. 1.4 - SMD

20
,
, 000.
, -
SMD , ,
.

1.2.
1.2.1.
(-
), ,
. -
, ,
.
-
:
, -
;
, ,
, , ,
.
-
:
() ;
, -
;
(, -
);
(, );
21
. -
,
1 . -
-,
. -
,
, .
,
,
+
. , +, -
,
. -
.

;
.
,

,
.
-
.
,
. -

, .
, -
, .
22
-
. -
.

.
:
, -
-
. -
E3, E6,
E12 E24. -
E6.
( ) , -
.
, -

.
() , -

. , -
.
, -
.
- .

1.2.2. -
-

28883-90.
23
-
.
,
.
:
;
;
;
, -
. . 1.7 -
-
.
1.7



1600 10
1600 15
22
26
31
42
50
, 51
- 53
61
71
72
74
77
78
1

2

3

4
1

2

3

24
, -
.
.
, -
. .

, %
.
. 1.8.
1.8

10 20 30 50 70 90
B Z D X E F

-

1. -
, ,
. . 1.9.
1.9

100 33 0 33 75 150 330 750 1500
, 10-6/oC +100 +33 0 -33 -75 -150 -330 -750 -1500
A N C H L P S U V

,
, : (10-6 ),
(10-9 ), (10-12 ). -
, . -
, , -
.
, -

25
,
, . 1.10.
1.10

1 10-3 10-6 10-9 10-12
- -
F M n p

-
-
. -
. -
. 1.3
. 1.11.
1.11

, % -10...+30 -10...+50 -10...+100 -20...+50 -20...+80

Q T Y S Z

,
. -
-
.
. 1.12.
1.12

, 1,0 2,5 4,0 6,3 10 16 20 25 40
R M C B D E F G S

, -
. . 1.4 . 1.5.
-

,
26
.
, -
.
- -
,
- . -
, -
. 0,1 1 -
0, R.
1 10 -
9.
, ,
1968 , ,
- . ,
, -
, -
, -. -

- .
. 1.5.

. 1.5 -

27
1.2.3.
-
,
63 , 26192-84. -
30668-2000.
-
, ,
, -
.
.1.6.

.1.6
, -
. 1.13.
1.13



, % ,
10 1 20 4
12 10 1 6,3
15 102 2 10
18 103 0,25 16
22 104 0,5 40
27 105 5 25
33 106 1 32
39 107 -20...+50 50
47 10-2 -20...+80 -
56 10-1 10 63
68 - - 2,5
82 - - 1,5

28

, ,
, .
-
.
-
.
-
384-8, -
. -
,
, , -
.
-
, .
. 1.7 , -
-.

. 1.7.


. -
. 1.14.

29
1.14



, % 10-6/C
0 1 - 250
1 10 1 100
2 102 2 50
3 103 - 15
4 104 - 25
5 105 0,5 20
6 106 0,25 10
7 107 0,1 5
8 108 - 1
9 109 10 -
- 10-2 10 -
- 10-1 5 -
- - 20 -

( 5%)
.
, - , , -
. ,
, .
5 10%
.
, , - ,
.
20%
. -
. , - . -
.
-
.
-
, -.

30
1.2.4. SMD
SMD -
.
, -
0,1 .
- SMD
.
,
. 1.15.

,
, .
, -
, .
1.15

, 4,0 6,3 10 16 20 25 35
G J A C D E V

-
, -
.
, -
- .
SMD . 1.8.

. 1.8 - SMD

31
1.3.
1.3.1.

. -
, ,
-
1 . -
.

-
. -
-
, -
,
. -
, , -
, .
-
,
. -
:
,
-
. -
, , -
E6.
( ) , -
.

32
,
.
-
.
,
.
()
.
() ,

1.
() -
. -
- ,
.

1.3.2. -
- -
()
.
,
.
:
;
;
.
,
, ,
. .
33
,
, . -
.
-

.
-
.
-
.
-
,
( ).
(-
), ( ).
1 10 -
R, . -
,
N.
-
. 1.16.
1.16

20% 10% 5% 0,3
M R J D

-
. 2.10.

34
. 1.10. -

1.3.3.

() ,
.

:
-
, ;

;
( -
). ,
20%.
-
. 1.17.
,
EC24
, .
, -

35
.
.
1.17



, %
- 0 1 20
1 1 10 -
2 2 102 -
3 3 103 -
4 4 - -
5 5 - -
6 6 - -
7 7 - -
8 8 - -
9 9 - 10
- - 10-2 10
- - 10-1 5
- - - 20

-
. 1.11.

. 1.11.

1.4.
1.4.1.

(), -
.
.
-
, -
.

36

, , , -
, - -
, .
-
.
, , -
, , -
-
.

, .
, , , -
, .
-
, -
, -
, .

, -
.
-
; ,
, , .

, , , -
, , ..

37
-
, ; -
, .

1.4.2.
-
-
10862-72 11.336.919-81.
, -
, -
, .
-
:
,
, -
. -
, -
,
, -
, 1
4. . 1.18
.
1.18



1
2
(, ) 3
(, ) 4

38
, -
.
. 1.19.
1.19

-

,





,








, -
-
.
,

:
1 0,3 ;
2 0,3 , 10 .

()
. 1.20 -
.
1.20



10 10 100 100
0,3 1 2 3
0,3 5 4 5 6
5 10 7 8 9

39
( )
, . 1.21, -
.
1.21


0,3 0,3 1,5 1,5
3 1 2 3
3 30 4 5 6
30 7 8 9

,
. -
-
, . 1.20.
,
() ,
, -
.

.

. 1.12.

.1.12.

40
-
- -
,
.

.

1.4.3.
-
Pro Electron, Association International
Pro Electron.
:
,
, -
. A, B, C D, -
.
. 1.22 -
.
1.22




A
B
(, ) C
(, ) D

, -
. . 1.23
, .
, -
:

41
,
.

.
, -
.
1.23




, A
B
C
D
E
F
() P
Q
Y
Z

-
JEDEC,
.
, -
p-n :
1 ,
2 ,
3 .
N , -
(EIA).
,
-
.

42
1.4.4.
, -
-

, , ,
.
, -
-
-.
1 -
- - (. 1.13).

, .

. 1.13.

18682-73 19480-89 -
.
- .
,
, ,
.
,
.

43
, -
.
. 1.24.
1.24









-


-

-





,
.
,
, , .
.
Pro Electron,
. -
, -
.
:
S ;
T ;
V (-) .

.

44
:
C ;
S ;
D .

:
G ;
M ;
P .

.
, Texas Instruments,
, -
.
--
(SN Texas Instruments).
,
.
.
-
.
.
:
N DIP;
J DIC;
T .


1. -
?
2. ( ) -
?
45
3. ?
4.
()?
5. -
?
6. ?
7. SMD ?
8. -
?
9. ?
10. -
?
11. -
, ?
12. ?
13. SMD .
14. ?
15. -
- ?
16. -
?
17.
?
18. -
?
19.
.
20. -
?
21.
.
22.
?

46

1. 28883-90 ( 62-74) -
.
2. 28884-90 ( 63-63).
.
3. .. . . -
.
.: - , 2001. 48 .
4. .., .. :
. . .: , 2006. . 8-153, 789-795.
5. . .: -
-XXI, 2004.
6. .., .. -
. - -
: . -
1240. .: - , 2001.
7. .. , , . .:
- -, 2004.
8. . ., . .
. . ", ", 1994, 48 .
9. .., . ., . . -
. .: - -XXI, 2005.
10. .. , -
: . , 40. .: -
-, 2001.

-
http://radio.cybernet.name/comp.html/ -
.

47
II.
CircuitDesignSuite (Multisim)

2.1. CircuitDesignSuite

,
. ,
-
, ,

, ,
, -
.
-
.
, -
.

:
OrCAD (DesignLab) Cadence;
CircuitMaker (Protel) Protel International;
MicrowaveOffice AWR;
CircuitDesignSuite (Multisim) National Instruments.


-
, ,
.
-

48
. SPICE
(Simulation Program with Integrated Circuit Emphasis) -
. , -
-
, -
SPICE,
-
.

CircuitDesignSuite.
-
, , -
.


, , , -
.
,
CircuitDesignSuite 1989 ., Interactive Image
Technologies
Electronics Workbench (EWB). EWB
.
, -
. -
, - -
.
1995 . 4.0 . -
32- 5.0 EWB.

49
, -
. ,
EWB Layout, -
.
1999 . Interactive Image Technologies
Electronics Workbench, -
Multisim.
,
, -
MultiCAP. ,
:
Multisim
Ultiboard Ultiroute -
.
2005 . Electronics Workbench -
Electronics Workbench Group National Instruments (NI). -
-
SPICE-, -

NI CircuitDesignSuite. -
-
MultiSIM
UltiBOARD (. 2.1).

. 2.1. CircuitDesignSuite

50
Multisim Ultiboard
,
. -
1200 500
SPICE- .
CircuitDesignSuite
, Multisim SPICE-
,
SPICE , , -
. ,
Ultiboard
.
, -
, ,
. -

, -
, . -
-
.
,
.

CircuitDesignSuite -
. -
,
NI LabVIEW
(Laboratory Virtual Instrument Engineering Workbench),
National Instruments.
51
CircuitDesignSuite (Multisim, Ultiboard), NI
LabVIEW, National Instruments -
- -
, , . 2.2,
:
;
;
.

. 2.2.

National Instruments -

, -
. -


NI ELVIS (Educational Laboratory Virtual Instrumentation
Suite), . 2.3.
NI ELVIS
LabVIEW,
, -
.
, -
, -

52
+5, 15 -
12.

. 2.3. NI ELVIS


, , , -
, -
, , ,
.

2.2. Multisim

Microsoft Windows -
National Instruments / Circuit Design Suite / Multisim
, . 2.4.
MS
Windows , , -
, , -
, , -
.

53
Design Toolbox -
. Project ()
. -
,
. Visibility () -
. Hierarchy
()
.

. 2.4. Multisim

-
Options / Customize User Interface.
Customize () ,
, ,
. -

54
,
Options / Sheet Properties.

Multicap Multisim Options /
Global Preferences. Preferences () :
Paths ()
;
Save ()
;
Parts () -
;
General ()
.
-
Sheet Properties. -
, -
, .
:
Circuit ()
;
Workspace ( )
;
Wiring () -
;
Font () ,
;
PCB ( ) ;

55
Visibility () -
.
-
Multisim User Guide.

2.3. Multisim
Multisim -
:
(Master Database)
.
.
(User Database) -
. -
, .
(Corporate Database)
,
.
-
, . -
, Tools / Database / Database
Manager.
.
(groups),
, , (families).
(Component Browser) , ,
, .
,
.
, -
56
. , -
, . -
.
-
Multisim. -
, -
-
.
Multisim :
(real) (virtual). ,
,
, -.
-
.
.
Multisim : -
, , (-), ,
, , ,
.
,
-
.
, . -
.
-
() . ,
.

. -
57
Basic Group Master Database -
Rated_Virtual.
. , , -
, ,
.

Basic Group Master Database
3D_Virtual. -
. -
.

2.4.
-
,
().
,
, -
,
.
Multisim CircuitDesignSuite ,
Ultiboard -
.
Multisim -
ANSI, DIN. -
Options/Global
Preferences/Parts/Symbol Standard.
-
() -

58
(). -
. 2.1.
2.1.

ANSI DIN
R1 R1 R1

C1 C1 C1

L1 L1 L1

V1
V1 12 V
12 V

V2 V2
120 V 120 V
60 Hz 60 Hz
0Deg 0Deg

I1 I2
1A 1A

I2 I1
1A 1A
1kHz 1kHz
0Deg 0Deg


Multisim DIN
.

Components -
. -

59
. Select a component
(Database), (Group)
(Family) . -
.
( -
) . -
Select a component Close.
-

. . -
, -

.

, -
Replace -
.
-
. -
, -
. -
-
.
.

.
Multisim
. -
.
60
-
Multisim
. , 1. -

. 0, ..
SPICE. -
,

Net.

2.5. Multisim
,
. -

, -
(Instruments):

()
, .
,
.
-
,
Run F5 . -

Pause F6.

:

61
(Multimeter) ,
,
. .
.
(Function Generator) ,
, -
. , ,
.
(Oscilloscope)
. Multisim -
,
. -
, -
.
(Bode Plotter) -
- ,
.
(Spectrum Analyzer)
,
.
(Logic Analyzer) ,
-
-
.
,
Multisim,
NI LabVIEW. -
LabVIEW: ,
, ,
62
. , LabVIEW,

.

2.6. NI ELVIS
NI ELVIS -
, -

.
. 2.5.

. 2.5. ELVIS

,
:
System Power , -
NI ELVIS.
Prototyping Board Power -
.
Communications -
NI ELVIS -
/ .

63
Variable
Power Supplies:
Manual
( ) -
;

Voltage -
12 0 Supply 0 +12
Supply+.

Function
Generator:
Manual -
( ).

-
. NI ELVIS -
, .

Amplitude .

Coarse Frequency -
.

Fine Frequency -
.

DMM
CURRENT VOLTAGE:
HI .
LO .

SCOPE:
CH A A .
CH B B .
Trigger .
! -
ELVIS
.
. 2.6.
64
. 2.6. ELVIS

:
1. , -
/.
2. /.
3. .
4. D-Sub.
5. -, -
, D-Sub +5 .
6. , -
, , -
/, -
15 +5 .
7. .
8. BNC-.
9. .

65
NI ELVIS
. 2.2. .
2.2
NI ELVIS

1 2 3
ACH<0..5>+
05 .
ACH<0..5>
05 .
AISENSE -
,
.
AIGND
DAQ.
CH<A..B>+ -
A B.
CH<A..B> -
A B.
TRIGGER
AIGND.
PFI<1..2>, -
PFI<5..7> 1 2 5 7 DAQ.
SCANCLK / SCANCLK
DAQ.
RESERVED EXTSTROBE* -
DAQ.
3-WIRE -
-
.
CURRENT HI -
, -
.
CURRENT LO -
,
.
VOLTAGE HI
.
VOLTAGE LO
.
DAC<0..1> 0, 1
DAQ
FUNC_OUT .
SYNC_OUT -
AM_IN -
.

66
1 2 3
FM_IN -
.
BANANA<A..D>
/ AD.
BNC<1..2>+ (+) BNC- 1 2.
BNC<1..2> (-) BNC- 1 2.
SUPPLY+
0 12 .
SUPPLY
12 0 .
GROUND .
, .

+15 V 15
NI ELVIS GROUND.
15 V 15
NI ELVIS GROUND.
+5 V +5
DO<0..7> 07
WR ENABLE / , , -
.
LATCH , , -
,
.
GLB RESET Global Reset ( ) , -

/.
RD ENABLE Read Enable ( ) ,
/ ,
.
DI<0..7> 07
ADDRESS<0..3> 03 .
CTR0_SOURCE 0
GPCTR0_SOURCE DAQ.
CTR0_GATE 0
GPCTR0_GATE
DAQ.
CTR0_OUT 0
GPCTR0_OUT DAQ.
CTR1_GATE 1
GPCTR1_GATE
DAQ.
CTR1_OUT 1
GPCTR1_OUT DAQ
FREQ_OUT
FREQ_OUT DAQ.

67
DAQ . 2.2 -
, ELVIS
.
-
, -
. NI ELVIS
- NI ELVIS GROUND. NI ELVIS
GROUND ,
.

CURRENT VOLTAGE,
. -
, , VOLTAGE HI
VOLTAGE LO. -
CURRENT HI CURRENT
LO.

CH<A..B>+, CH<A..B> TRIGGER. CH<A..B>
ACH3 ACH4 DAQ .

FUNC_OUT. , SYNC_OUT -
, -
. AM_IN FM_IN -
.

.
,
0 +12
SUPPLY+ 12 0 SUPPLY. GROUND -
68
,
.


1. Elec-
tronics Workbench?
2. - Circuit De-
sign Suite.
3. Mul-
tisim?
4.
Multisim?
5. -
Multisim?
6.
Multisim?
7.
?
8. -
Multisim?
9. ELVIS?


1. Multisim. . / . . National In-
struments , , , 2006. 38 .
2. 2.001-93. .
.
3. 2.702-75. .
.
4. 2.701-84. .
. . .
5. 2.710-81. .
- .

69
6. 2.721-74. .
.
.
7. . . IBM PC. 1. -
. .: -, 2006.
. 3104.
8. .., .., .. OrCAD 10. -
. .: - ,
2005. 454 .
9. ..
. .: - , 2003. 224 .
10. .. -
DesignLab 8.0. .: -, 2003. 704 .
11. . PSPICE. :
. . .: , 2005. 336 .
12. .. Multisim 7: -
. / . .
.. .: -, 2006. . 133.
13. Multisim. User Guide. National Instruments Corporation, Electronics
Workbench Group, 2007.
14. Multisim. Component Reference Guide. National Instruments Corpora-
tion, Electronics Workbench Group, 2007.

70
III.

3.1.
Multisim -
. -
, Simulate / Analyses
.
. 3.1. :
DC Operating Point ;
-
.
AC Analysis - -
.
Transient Analysis .
Fourier Analysis .
Noise Analysis .
Distortion Analysis -
.
DC Sweep
.
Sensitivity

(AC)
(DC).
Parameter Sweep -
.
Temperature Sweep .
Pole-Zero -
.
71
Monte Carlo -.

. 3.1.


:

, -
.

72

. -
SPICE. -
.
-

, .
.
, , -
,
(audit trail), -
.

Grapher. View / Grapher. -
Graph Chart.
,
.
,
. ,
,
.
Edit /
Page Properties. , , -
, .

3.2.
-
,
.
73
,
C=470 -
L=2
r=10 .

1 1
f0 = = 5,2[ ] (3.1)
2 LC 3
2 3,14 2 10 470 10 9

L/C 2 10 3 / 470 109


Q= = 6,5 (3.2)
r 10
Multisim . 3.2 .
XBP1

IN OUT

0
L1 1 R1 2
2mH 10
10% 5%
3 XFG1
C1
470nF
20%
0 0

. 3.2.

-
Function Generator XFG. -
- -
Bode plotter XBP.
. -
, Run . -
,
74
. 3.3.
-
.

. 3.3.

. 3.3 , -
-
: f0=5,186 ; Q=6,457.

Multisim .
. -
Simulate / Analysis / AC Analysis -
- - .
Frequency Parameters:
(Start frequency) 1 kHz;
(Stop frequency) 10 kHz;
(Sweep type) Decade;
(Number of points...) 100;
(Vertical scale) Linear.
Output (
. 3.1 V2).
75
Summery.
, Simulate.
Grapher View (. 3.4).

. 3.4. Grapher View


Edit/Properties. , , ,
.
Excel MathCAD
.

3.3. ELVIS
Multisim
ELVIS. -

.
, -
ELVIS.
76

Tools / Show Breadboard.
, (left
strip), (right strip), (bottom strip) (top strip) -
. .3.5.

.3.5. ELVIS


Options / Breadboard Settings. -
3D
Options Options / Preferences.
Background Color, Color
.
Info Box. Left, Center Right,

, . -
Info Box, .
-
:
1. -
.

77
2. Tools/Show Breadboard.

, .
3.
.
90
Ctrl-R. , -
. ,
. -

. .
4. ,
, -
.
5.
. -
.
6. , -
, , -
-
. ,
, -
. -
, , -
, , -
.
.
7. . -
, , -
78
. , . -
,
, -
.
8. Tools / Show Breadboard Netlist.
Breadboard Netlist
. ,
, .
Tools/DRC and Connectivity Check. -
Results Spreadsheet
View.
Design Rule Errors ,
, .
Connectivity Errors -
, ,
, .

3.4. ELVIS
ELVIS
,
ELVIS.
Virtual ELVIS
File / New / NI ELVIS Schematic.
(. 3.6).

, -
NI ELVIS .

79
. 3.6. ELVIS

-
Multisim. -
,
ELVIS .
-
ELVIS.
.
. -
, -
, .
:
CH A+ A;
CH A- A;
CH B+ B;

80
CH B- B;
TRIGGER .

Oscilloscope ,
-
.
.
-
DMM.
Virtual ELVIS Schematic, -
.
. -
,
.
-
. (
, ),
DMM -
.
. -
Function Generator
:
FUNC OUT ;
SYNC OUT ,
;
AM IN ;
FM IN .

Function Generator,
.
81
.
. -
DC Power Supplies
+15 , -15 , +5
Variable Power Supplies -
+12 -12 . -
Variable Power Supplies.
.
-
. Virtual ELVIS -
, .

+15 , -15 +5 . -
.
, -
-
, . -
Tools/Show Bread-
board , .


1. -
Multisim?
2. Multisim
?
3.
Multisim?
4. Multisim -
?
5. ELVIS?

82
6.
ELVIS Multisim?
7. -
ELVIS?
8.
ELVIS?
9.
ELVIS?


1. Multisim. . / . . National In-
struments , , , 2006. 38 .
2. . . IBM PC. 1. -
. .: -, 2006.
672 .
3. .. Multisim 7: -
. / . .
.. .: -, 2006. 488 .
4. MultiSIM 9. . . /
. . National Instruments
. National Instruments, 2006.
113 .
5. Multisim. User Guide. National Instruments Corporation, Electronics
Workbench Group, 2007.
6. NI Educational Laboratory Virtual Instrument Suite (NI ELVIS). User
Manual. National Instruments Corporation, 2004.

-
http://www.ni.com/ National Instruments.

83
IV.

4.1.
()
. -
,
, , , ,
.. -
.
-
,
, .
-
, -
-
.

-
.
.

. -
, -
-
, . -
-
.
-
. 4.1. (
84
) ( -
). , .
, 180 -
,
. ,
, . ,
, , . -
.

FC
+U FC
-U NC
NC

. 4.1.

-
-
, -
, . -
-
.
, -

. -
,

.
,
, -
.

85
, -
. -
15 , ,
,
.

11,5 .
30 -
. , -
.
:
;
;
;
;
;
;
, .

:
;
;
.

:
;
;
;
- .

86
,
, -
, ,
, ,
, .. f1, -
.

:
K0
KU = , (4.1)
1 + j ( f / f0 )
K0 -
, f0 -
3 . . 4.2 -
- ,
.

. 4.2. -

, f0 -
20 /.
-
. -
, :

87
a)
(
103 107);

b) , .. -
-
( -
1 50 );

c) (
);

d) ( -
);

e) .

-
,
.

4.2.
4.2.1.
. 4.3. -
, , -
.

. 4.3.

88
-
:
KU = U out / (U in U no ) , (4.2)
U in , U no -
.
(a) -
-
, ..
U in = U no (4.3)
U1 U no

(c)
R3 R4 :
U no / U1 = R4 / ( R3 + R4 ) (4.4)
I1
I1 = (U 2 U in ) / R1 (4.5)
,

I 2 = I1 (4.6)

U out = U no I1R2 (4.7)
(4.5) (4.7) (4.4),
( R1 + R2 ) R4 R
U out = U1 2 U 2 (4.8)
R1 ( R3 + R4 ) R1
R1 R4 = R2 R3

U out = (U1 U 2 ) R2 / R1 (4.9)

89
(4.8) (4.9) , -
,
.

4.2.2.
-
(. 4.4).

. 4.4.

(4.8), :
U out = U 2 R2 / R1 (4.10)
(4.9) ,
-
.
-
R1 R2 , -
.
.
, .. -
. (4.3).
.
.
:

90
I1 = U 2 / R1 (4.10)
,
R1 .

4.2.3.
-
, -
R1 R2 (. 4.5).

U1 Uout
R2

R1

. 4.5.

. -
(4.8) , U 2 = 0 , R3 = 0 ,
R4 = .
U out R
K= =1+ 2 (4.11)
U1 R1
, , -
.
, -
K = 1 . .

. ,
.

91
4.3.

,
, , -
, .
, , , -
, -
.
, -
.

4.3.1.

. -
(. 4.6).

. 4.6.


,
, , -
:
92
U U U
U out = 1 + 2 + ... + n R0 (4.12)
R1 R2 Rn

, () -
-
. ,

-
. , n
n -
.

4.3.2.
-
, . 4.7.

. 4.7.


. , I R = U in / R I R = IC ,
:
t
1
U out (t ) = U C (t ) = U C (0) + I C (t )dt (4.13)
C0

93
t
1
RC 0
U out (t ) = U out (0) U in (t )dt (4.14)

U out (0) -
.

4.3.3.
, -
, . 4.8.

. 4.8.

-
:
dU in (t ) U out (t )
C + =0 (4.15)
dt R
:
dU in (t )
U out (t ) = RC (4.16)
dt

, -
.
,
. -
.

94
4.4.
4.4.1.
, , -
.
, -

, .. .
,
, , -
. -
-
.
-
, , , -
. , ,
.


, -
, . 4.9. -

.

. 4.9.

95
, ,
. -
, -
.
-
, . 4.10.
S
C

Iin R

Qin
Uout

. 4.10.

Qin . , -
C
I in . -
R . -
-
. .
, -
, C S.
- -
, -
, ,
.

96
4.4.2. ( )

. -
, . 4.11.

. 4.11.

. A1
, A2
. -
: . Uin
C U1, VD -
A1 -
. VD -
Uout C.
A2
, ,
.
R
A1, . S -
.

4.4.3.

. -
. p-n -
97
, -
-. -
p-n , -
.
, : -
.
, . -
, -
, . 4.12.

. 4.12.

, ,
,
. , -

-
.
6 .

, -
, , .
7
.
p-n .
98
4.4.4. ()

. U in ,
U ref , -

U out :

U out
1
U in > U ref
U out = 0 , (4.17)
U out U in < U ref
0
U out (
1
) , U out -
.

-
. ,
, ,
- .
-

.
, -
, . -
, U in U ref . -

, ,
.
, -
.

99
-
.
. 4.13.

Vout

Vref -Vin

. 4.13.

-
, ,
VD1 VD2 . ,
R1 = R2 . Vin Vref > 0 , VD2 Vout

.
Vin Vref < 0 , ,

. ,
,
, . R3 R4
, -
. -
.

100
4.5. Multisim
Multisim
. -
Multisim , -
. 4.14.
XSC1
XFG1
Ext T rig
+
_
A B
0 + _ + _

VCC VCC 12V 0

5 8
0 3
U1A LM358AN
1 4
R1 2

4 VCC2
10k
5% 1 VCC2
V1 -12V

2 R3 R2
0
10k 10k
0V 5% 5%

. 4.14.
,

-
, -
. -
, LN358N.
-
. VCC VCC2. -
, VCC POWER_SOURCES -
Sources Master Database. -
,
(Value) +12V 12V.

101
,
(Label) -
This net has virtual and/or off-page connections. Do
you want to change all instances No.

10 . XFG1 -
1 ,
1 . XSC1 -
. -
, .
-
. -
. 4.15.

. 4.15.

102
,
,
1.
, -
.

10 -
5. -
,
-
5.
R2
200 , 20 .
, -
20 , -
(4.12).
, -
,
( , -
4.1).
: -
.
-
R2.
Parameter Sweep
Simulate / Analyses.
Analyses Parameters -
:

103
a) Sweep Parameters: Sweep Parameter Device Parameter;
Device Type Resistor; Name rr2; Parameter resistance.
b) Points to sweep: Sweep Variation Type Decade; Start
10 ; Stop 100 .
c) More Options: Analyses to sweep AC Analyses.
Edit Analyses
.
d) Output Add
.

Simulate. Gra-
pher View (. 4.16).

. 4.16. -

104
- ,
.
.4.16 -
R2 -
K . -
, -
, -
.
700 . -
LM358N.


1. -
?
2. -
.
3.
.
4. .
?
5. -
?
6.
.
7. . -
, .
8. -
. ?
9. .
10.
.
11. ? -
?

105

1. .., .., .. LabVIEW:
: -
. .: , 2005. 182 .
2. .. - -
. .: -XXI, 2007. 528 .
3. .., .. :
. . .: , 2006. 799 .
4. .., . -
. .: , 1994. 352 .
5. .. . .: ,
2006. 232 .
6. .. Multisim 7: -
. / . .
.. .: -, 2006. 488 .

-
http://www.gaw.ru/ .

106
V.

5.1.
, -
.
-
. , . -
, -
, : -
, . -
, ,
, ( 1)
( 0).

, -
. -
, n .
F ( X 1 , X 2 ,..., X n ) , F -
X 1 , X 2 ,..., X n .
-
. , , -
, . -
-
.
: , -
.
( , )
F = X , , -
().
107
( , )
F = X 1 X 2 ,
, . -
: F = X 1 + X 2 .
( , ) -
F = X 1 X 2 ,
, . -
:
F = X 1 X 2 F = X 1 & X 2 .
:
() , -
, -
, . : F = X 1 X 2

F = X 1 X 2 .
( ) ,
,
. : F = X 1 X 2 .
( -) , -
,
. : F = X 1 X 2 .
() , -
, -
. : F = X 1 X 2 .
() ,
,
, . : F = X 1 X 2
F = X1 X 2 .

108
( -) ,
,
. : F = X 1 / X 2 .
-
. -
:
( ):
X1 + X 2 = X 2 + X 1 ; X1 X 2 = X 2 X1 (5.1)
( ):
X1 + ( X 2 + X 3 ) = ( X 1 + X 2 ) + X 3 ; X1 ( X 2 X 3 ) = ( X1 X 2 ) X 3 (5.2)
( ):
X 1 ( X 2 + X 3 ) = X 1 X 2 + X 1 X 3 ; X 1 + X 2 X 3 = ( X 1 + X 2 )( X 1 + X 3 ) (5.3)
( ):
X + X + X = X ; XXX = X (5.4)
:
X1 + X 1 X 2 = X1 ; X1 ( X1 + X 2 ) = X 1 (5.5)
:
X 1 X 2 + X 1 X 2 = X 1 ; ( X 1 + X 2 )( X 1 + X 2 ) = X 1 (5.6)
, :
X1 + X 2 = X1 X 2 ; X1 X 2 = X 1 + X 2 (5.7)
, -
:
X + X = 1; X + 0 = X ; X +1 =1 (5.8)
X X = 0 ; X 1 = X ; X 0 = 0 (5.9)
X1 + X 1 X 2 = X1 + X 2 ; X1 ( X1 + X 2 ) = X1 X 2 (5.10)
-
: , ,

109
, , -
.

, . -
. 5.1.
5.1

X1 X2 F = X1 + X 2 F = X1 X 2
0 0 0 0
0 1 1 0
1 0 1 0
1 1 1 1

F ( X 1 , X 2 )
: F = 1 X 1 X 2 = 1 F = 0 , X 1 X 2 = 0 . -
, . 5.1.

. 5.1.


. 5.2. -
, .
, .
() , -
. , -
. , -

110
,
, .
5.2



F=X

F=X

2 F = X1 + X 2

2 F = X1 X 2


2- F = X1 + X 2


2- F = X1 X 2

F = X1 X 2

F = X1 X 2

, F = X1 + X 2

F = X1 X 2

111

, , -
.
, -
.
-
, . -
, .
, , , -
.

5.2.
-
.
,
, , -
.
,
. -
,
, , -
, .
,
.

. -
. 5.3.

112
5.3

X1 X2 F = X1 X 2
0 0 0
0 1 1
1 0 1
1 1 0

, -
, ( X 1 , X 2 ) = (0,1) ( X 1 , X 2 ) = (1,0) . -
, :
X1 X 2 = X1 X 2 + X1 X 2 (5.11)
. 5.2.a.
, 2 2.
-
. ,
X 1 X 1 = X 2 X 2 = 0 , -
, :
X1 X 2 = X 1 X1 + X 2 X 2 + X1 X 2 + X1 X 2 =
= X1 ( X1 + X 2 ) + X 2 ( X1 + X 2 ) = X1 ( X1 X 2 ) + X 2 ( X1 X 2 ) = (5.12)
= ( X 1 + X 2 )( X 1 X 2 )
-
, . 5.2.b.
2, 2 2-, .. .

. 5.2.
113
, -
.
-
,
. -
n 6 . -
2n .
,
,
.
, , -
.
.
, -
, , .. , -
, . ,
, .


F = X 1 X 2 + X 1 X 2 + X1 X 2 (5.13)
. 5.3
.
, (5.13),
.
,
22=4 . -
. -
.
114
. 5.3. (5.13)

. 5.4, -
.

. 5.4. (5.13)

, , X 1

X 2 X 2 . X 2

X 2 .
X 1 .

X 1 X 1 , ,
X 2 . ,
, :

115
F = X1 + X 2 (5.14)
, (5.13)
2.
,
. , -
.

5.3.
-
. -
, , -
. , -

.
. -
-
, -
A, B, C, D, E F.
.
,
.
.

:
k
An = ai n i , (5.15)
i =0

n , ni , ai -
, i=0, 1, 2,, k .

116

. , -
,
, -
0 1. -
.

-
.

2 , .

. -
, -
.
.
29 , -
.
. 5.5. -
.

. 5.5. 29
,

117

.
. , 210=1024, .

.
:
() -
() -
.
-
. -
. .
-
, , -
.

-
, .
, , -
. -
8, 16 32 .
, -
. -
.
.
,
. 0, -
1. -
.
118
5.4.
, -
, .
,
.
, -
Q. ( )

.
:
(RS, JK, D, T);
( );
(, );
.
.
() -
. -
. -
.
.
RS- (
) R Q -

Q Q . Q -
Q . RS- -
Reset () Set (). S
1 R = 0 Q = 1. , R
1 S = 0 Q = 0. -

119
S = 1 R = 1 RS- ,
.
RS- :

Qt +1 = R + ( S + Q) , (5.15)

Q Q t + 1 .
RS- -
- . 5.6.
R
1 Q

S T Q

R Q
1 Q
S

. 5.6. RS-

RS- .
-
. -
, RS-
-, (5.15)

S R CS CR :

Qt +1 = CR + (CS + Q) = C + R + (C + S + Q) (5.16)
RS- . 5.7.
C = 0 S = 0 , -
CS , 1,
1. C = 0 R = 0 CR -
1, 0.

120
C = S = R = 0 , -
.
R CR
1 1 Q

C S T Q
C
R Q
1 1 Q
S CS

. 5.7. RS-

JK- -
J K, -
1
0. RS-, -
J = 1 K = 1
Q .
JK- :
Qt +1 = KQ + J Q (5.17)
JK-
- . 5.8.

. 5.8. JK-

121
D- -
, D- -
C-. D-
:
Qt +1 = CD (5.18)
, Qt+1
D-,
C-, .. -
. D-
.
D-
- . 5.9.

D
& & Q

C D T Q

C Q
& & Q

. 5.9. D-

T- ,
() T. -
Q .
T- -
. T- .
T- :

Qt +1 = TQ + T Q (5.19)
T-
- . 5.10.
122
. 5.10. T-

D-,
C, D
Q.
, -
, -
0 1
: U out U out . -
-
U in0 . -
-
U in1 . -

U = U in0 U in1 , -
.

-
. ,
-
. , -
, . 5.11.

123
. 5.11. ,

-

.
,
U U E ( R1 / R2 ) , U E , ,
, ,
.

, -
.

5.5. Multisim
Multisim ,
, .
Logic Converter XLC.

Multisim

XLC1
AB

124

, .

-
. ,
.
,
(. 5.12) -
Conversions .

.

. 5.12.

Conversions -
, .
, ,
. -
, -

125
. -
, , ,
.
, -
.
-
, .
,
.
,
. -
, . -
.
,
-
(NAND), .
-
, -
. Multisim
, , ,
(A B), -
(. 5.3).
-
. : AB + AB .
-
NAND.
2-.
. 5.13.

126
A B

1 2
& 3
&
5
&

& 6
& 4

. 5.13. ,

-
Logic Converter . 5.2
, .


1. ?
2. ?
3. ,
.
4. .
5.
.
6. ?
7. ?
8. ?
9. ? ?
10. RS-, JK-, D T-. -
?
11. ?
12. Multisim -
?

127

1. .., .. . .: -
-I, .: -, 2007. 480 .
2. .., .., .. LabVIEW:
: -
. .: , 2005. 182 .
3. ., ., . .
. .: -, 2004. 506 .
4. .., .. :
. . .: , 2006. 799 .
5. .. .
.: , 2005. 336 .
6. .. Multisim 7: -
. / . .
.. .: -, 2006. 488 .

128
VI.

6.1.
-

,
.
:
(TTL) - ;
(CMOS) -
--.

.

155, 74.
, -

.
. -
555 (74LS), -
,
,
.
-
- -
2 .
1533 (74ALS).

129

5 , -
2,42,7 , 0,30,5 .
, , -
,
, .
,
100 . -
(1 ) -
.
,
.
. 176 CD4000A.
9 ,
1 . -
561 1561 (CD4000B) -
U 3
15 .
3 .

1554 (74ACxxx)
150 . 2 6 .

.

0,9 U, 0,1 U.
, -
U.

130
, -
, . 6.1. -
: t ; F -
; P ,
.
6.1
t, F, P,
K155 74xxx 10 20 12
K555 74LSxxx 10 20 2
K1533 74ALSxxx 3 80 1
K176 CD4000A 100 1 0.001
KP561 (1561) CD4000B 50 3 0.004
KP1554 74ACxxx 3,5 150 0,5

:

-
10
2-3 ;
, -,
-
;
,
;
,
;
.
,
. :
(
2 2S);
131
( OC);
( 3 3S).
2 :
, ,
. ,
,
(. 6.1.a).
-
, ( ) , ..
. ,
, ,
. -
, -
R .
-
(. 6.1.b),
, .
, 3 -
, ,
.
(. 6.1.c),
,
, , , -
, . -
. -
,
E OE (Output Enable ).

132
,
. -
.

. 6.1.

-
,
.
. 6.2.

. 6.2.
(a), (b), (c)
(d)

-
. -
. -
-
.
-
,
.
, -
-
133
, ,
. -
, , -
, -
,
. -
, -
, , ,
, , ,
, -
, , .

6.2.

, -
. -
5.4. -
-
. -
:
RS-; D-; JK-.
-
. -
.
.
, ,
, , .
-
.
134

, .
, ,
. -
-
, , -
, . 6.3
C.

. 6.3. 5552

. 6.3 5552 D-
. S
1. R -
, .. 0. ,
S R . ,
, R 0.
D ; -
, D-. C -
; ,
. -
.
, D-, -
.
, ,
, () n-
. , ,
, -
135
. , -
, .
-
. -
, .
(-
) .
-
-. -
, -
. D-
5552 . 6.4.

. 6.4. ,
D-
5552

,
D0-D1. C -
. -
, -
D-. Q0-Q1.
R.

.
, .. .

136
-
.

.
, D- 5552 -
. 6.5.

. 6.5. 5552

-
C, R- .
. -
() D .
C -
,
. -
. -
, (n+1) (n+1) -

Q0Qn.
-
, .
. -
RG.

. ,
137
. -
, .
,
.
.
,

. .

K-.
-
:
, , - -
() ;
, .
,
D- 5552, . 6.6.

. 6.6. 5552

D- ,
D-. ,
. -
, .
D-. C -
138
, .
, D- -
,
D-.
. -
. ,
.

, . -
, -
, .
. , -

. -
( -
).
-
. - .

6.3.

. -
, -
, . -
, ,
.
m- -
n- .

139
2n n .
.
-
. -
,
.
,
. n
, ,
. , -

. -
-, ()
.
, -
() -
n , -
. , -
.
-
. -
. 6.7.

-
: ; -;
; ; .

140
. 6.7. 5553 (a),
5556 (b), 1555 (c)

6.4.
-
. -
,
. -
.
, -

1. , -
-
360o.
-

. . ,

, , -
. -

.

141
,
, .
.
-
, . 6.8.
C

DD 1 R DD 2
1 1 Uout

. 6.8.

R
DD1, -
DD2 , . -
C -
, -
.

f 1 / RC (6.1)
, ,
.

. 6.9.

,
, -
. R1 -
. R1/ R2 -
. Z -
142
1 2 -
. - -
10 30 .

.6.9.


,
0,01%. -
. -
0,5%.

6.5. - -
- -
.
- ()
,
. :
.
, -
.
. , n-
:
Y = AK ( X 0 20 + ... + X i 2i + ... + X n1 2( n1) ) , (6.2)
143
A ; K ; i -
; 2-i i- ; Xi 0 1.
, ,
. 6.10.
n-1

2 2 n-1 R

1
2 2R R/2

20 R
Uref
Uout

. 6.10.

-
.
(), -
, . -
, ,
.
2 . -
, .
, :
n 1
U out = U ref X i 2i (6.3)
i =0


,
. , 10-
0,1%.
, 12.

144
, , -
. 6.11, .
n-1
2
2R 2R


R
1
2
2R

0
R
2
2R R
Uref

Uout

. 6.11.



.
: R 2R.

. - -
,
.
(6.2).

.

.
- -
, . 6.12.

145
. 6.12.

-
f S. -
-. -
,
= f
n 1
= X i 2i (6.4)
i =0

() , -
. -
:
n1
U out = U ref = U ref X i 2i (6.5)
i =0


. -
. ,
, -
2n . , 12-
1 -
4 .
- ()
( )
.
.
146

-

.

-
, .
( --
) t
t = 1/ 2 Fmax , (6.6)
Fmax .
, -
.

, . 6.13.
Uref

= 20
R
21

U in =

n-1
= 2
R . 6.13. -

, -

, . -
147
.
, ,
, , -
.
.
, , -
.


, 2n, n .
-
,
.
1/2,
1/4, 1/8 .. .
. 6.14.

U in D



R
U

n-1
2 22 21 20

. 6.14.

, , -
. Uin
.

148
,

.
-
Uin ,
.
: Uin > U, -
; Uin < U, .
,
.
, t=NT+1,
N , T -
.
-
16. - , -
.
: -
( ,
). -
- . 6.15.

.6.15. -

149
Uin -
, -
. ,
.
, -
D- Uref.
, -
. -
-
Uin. D-
, .
, - -
.
-
.
.
, . -
, -
, .
- 24
.

6.6. Multisim
Multisim
. -
- -
74160N ( 1559).
. 6.16.

150
. 6.16.
- 74160N

, -
:
a) Digital Power (VCC) -
Power_Sources Sources;
b) Digital Ground (DGND)
Power_Sources Sources;
c) Clock_Voltage 1 -
Signal_Voltage_Sources Sources;
d) Switch (SPDT) Switch -
Basic, -

;
e) dip- DSWPK_4 Switch -
Basic;

151
f) 1X4SIP RPACK
Basic;
g) Probe Digital Red -
Probe Indicators;
h) DCD_HEX
HEX_Display Indicators.
74LS160N -
74LS_IC TTL.

. 0 CLR -

0.
CLR,
ENP, LOAD
ENT.
,
CLK.
LOAD
, A, B, C
D.
CLK, -
.
-
Logic Analyzer XLA, -
Multisim.
-
. -
-
Set Clock Clock
152
setup, Clock Source External.
C . -
,
.
(. 6.17).

. 6.17. -
74160N


,
,
, .

153

1. ?
2. ?
3. ?
4.
, ?
5.
?
?
6. -
?
7.
?
8. ? .
9. D-?
10. D-?
11. .
12. ?
?
13. -
?
14.
?
15. ?
?
16.
. .
17. -
, .
18. -
.
19. -
.
20. -
? ?

154
21.
- ?
22. - .
23. - -
. ?
24. -
.
25. - .


1. .., .. . .: -
-I, .: -, 2007. 480 .
2. .., .., .. LabVIEW:
: -
. .: , 2005. 182 .
3. ..
. .: - , 2000. 240 .
4. .. - -
. .: -I, 2007. 524 .
5. .., .. :
. . .: , 2006. 799 .
6. .. - - -
. . .: ; .: -, 2003.
224 .
7. .. .
. . .: , 2001. 379 .
8. .. . .: ,
2001. 224 .
9. .. . .: -,
2007. 800 .
10. .. Multisim 7:
. / . .
.. .: -, 2006. 488.
11. .. . . .:
, 1988. 352 .

155
VII.

7.1.
,
-
. () -
. -
, ,
. , -
,
(). -
-
, , -
. ,
-. -
- -
.
.
.
, -
, .
.
:
8- ;
16- 32- ;
.
-
8- , , -
. -

156
-
, -
. -
8-
, , , -
,
. 8-
-
, , Intel, Microchip,
Atmel, Motorola .
8- , -
, :
,
( ) , -
, -
, , ;
, -

;
,
-
.

, -
, , ,
, - .
. 7.1.
,
,
157
, ,
.

. 7.1.

:
;
;
;
;
.
,
- (),
, . -
. (-
) -
. , , -
. , ,
.
-
. . ,
( ), ROM (read only memory),
.
-
158
. , ( -
), RAM (random access memory),
.
.
-
. :
.
,
Run Mode, .
.
, Wait
Mode, , -
,
. -
. -
.
Stop Mode.
, -
. -

.

. -

. -
, , -
.

/.
159
,
. , -
-
, " ".
-
, .
-
. -
, -
. -
.

. ,
, -
, -
.
, .
-
. , -
.
-
/. :
,
;
, -
;
, -

.
160
-
, - -
.
-

:
CISC- (Complicated Instruction Set
Computer), ;
RISC- (Reduced Instruction Set Computer),
.
CISC- -
, -
-
.
. -
1 12 .
RISC-
. RISC -
,
.

-
.
. -
, 8, 12 16 .

-
: - .

161
- ( -
) -
(. 7.2).

. 7.2. -

- -
,
. ,

,
. ,
-
.
- -
x86.

, -
. 7.3.

. 7.3.
162
-

. , -

- -
. -
, -
. -

, -
.
8-
,
, -
. ,
, -
.

7.2. MCS-51
MCS-51 -
8051, Intel
1980 . Intel 50
Intel 8051. ,
Atmel, Philips -
, MCS-51.
-
:

163
8- - ,
;
12 ;
4;
128 ;
;
-;
(
);
;
8- /, -
/
.

64.
MCS-51 -
, , ;
, , ,
, .
, . -
- , , -
, , .
,
, -
.
CISC, -
255 , 111 .

164
-
, 12 .
12 1 . -
,
, . -
.

.
8051
-
128 . ,
-
.
, -
,
.
MCS-51 -
CHMOS (Complementary
High Metal-Oxide Semiconductor) -
-,

, , -
.
MCS-51
Intel 8xC51FX,

(PCA). PCA
,
, -
165
,
, . -
PCA , -
8xC51FX - , PCA -

.
-
-
, ,
.

7.3. PIC- Microchip


Microchip PIC (Periphe-
ral Interface Controller) 80-

MCS-51
CISC . 8-
PIC RISC -
, . -
PIC-
. -
, -
. , ,

.
, , -
. , ,
. 4 .

166
PIC--
[]:
PIC12CXXX , -
8- . -
12- (33 ), 14- (35 -
) . ,
-, , -
. 8-
;
PIC16C5X 12-
(33 ), 18-, 20- 28- -
.
. -
( 2 ) -
;
PIC16CXXX 14-
(35 ). -
, -
, -
, - , -
, -, -
, - , ,
;
PIC17CXXX -
16- (58 -
), 33 , -
16 . , 16-
,
-
167
88,
;
PIC18CXXX -
16- (75 -
) 10- ,
40 . 31- , -
32 4 -
2 .

Microchip
-
8- .
PIC-
, -

FLASH-, -
, .
PIC-
. 8- , -
-
-
. . 12 -
(SFR), -
,
. 0 1.
128 .
.
,
.
168
. . -

.
-
, -
MPLAB.

7.4. AVR Atmel


AVR Atmel
1997 . -
- ATMEL

.
, -
AVR, RISC
. -
AVR
,
. -
.
AVR
, 32 ,
-,
. -
16- -
,
.

16 . AVR 120 ,
169
AVR
CISC .

, 1
MIPS (Millions Instructions per Second) -
. , MCS-51
1 -
12 , PIC-
4 , AVR
.
AVR -
:
Mega AVR 4-6 MIPS
, ;
tiny AVR 8-
;
Classic AVR
16 MIPS, FLASH ROM 28 ,
EPROM 64-512 , SRAM 128-512 . -
Classic AVR -
.
AVR :
1 4 - 8 16 ;
10- - ;
;
- ;
3 48 /, ;
UART SPI;

170
/
( 40 ),
-
.
( , 8- -
),
/, -
-
.
AVR ,
.
AVR.
-
. , -
, ,
. ,
-
16- -,
. -
, .
- -
-
/. 10 , -
.
-
, .
- -
, -

171
, .
.
AVR -
. -
, , -, -
.
, -
, -
,
. -
. AVR -
, -
.
AVR

, , ,
. ATMEL
AVR-Studio,
-
, -
. -
, -, , -
AVR.


1. .
2. 8-
.
3. ?
4. CISC-?
172
5. RISC-?
6. - -
?
7. .
8.
?
9. MCS-51.
10. PIC--
?
11. 8- AVR?
12. 8- -
AVR?


1. .. . .: -
, 2007. 256 .
2. .., .. AVR: -
. .: -, 2006. 304 .
3. .. AVR Mega. -
. .: -XXI, 2007.
592 .: . ( ).
4. .., .. -
. .: -
, 2006. 440 .
5. : , -
, , ./ . -
. . : , 1998. 272 .
6. .. . .: -,
2007. 800 .
7. .. Microchip. -
. .: - , 2005. 280 .

-
http://www.gaw.ru/ .

173
VIII. AVR

8.1. AVR
AVR
,
, . -
AVR . 8.1.

. 8.1. AVR

FLASH- -
.
16- 512
64000 . FLASH-,
, ,
.
FLASH -
, , . -

174

. AVR -
, , 1000 FLASH-.
-
,
. AVR -
.
,
.
-
. ,
, -
.
,
,
. -
, , , -
.
AVR
FLASH SRAM ,
,
. -
,
. -
-
.
8-
, . -
AVR : SRAM EEPROM.
175
SRAM
4K .
64 . SRAM -
.
EEPROM ( 4K ),
, FLASH-. -
. , -
, -
. EEPROM -
,
.
AVR
64
0x000 0xFFFF. -
(. 8.2):
, ;
, /;
, .
-
, . 32
. -
, : R0,
R1, ... , R30, R31. , (R26-
R31) -
, ,
X, Y Z.
.
, , -
, .., -
176
. R0-R15
, /.

0xFFFF

RAMEND+1 SRAM
RAMEND
SRAM
0x0060 SRAM
0x005F 0x5F

...
/
...

0x0020 0x00
0x001F R31
R30
...
R1
0x0000 R0
. 8.2. AVR

/ 64 , -
, -
, , , -
- , /.
/
.
,
. .
, ,
0x0060, -
, , -
177
. SRAM
. -
. -
, ..
64.
0xFFFF.
, -
-
.
LIFO (Last In, First Out), .. , -
. , , -
, , . -
:
, ,
, .

, -
- .
.
, , -
SRAM,
,
.
AVR-
32 -
.
. ,
, -
, .
178
8.2. AVR
,
. -
.
(-
) . -
. -
.
-
, , , -
.
, -
-
, .
, AVR -
RISC-, -
-. -
-
, / .
, -
. -
, , -
, .
.
,

/.
.include.
179
:
;
;
;
;
;
.

. ,
, .. -
.
.

, , , , -
, , . -
.
-
/.
-
, .
, -
-
.

, .
, , -
,
.
, -
.
180

.
AVR -
.

8.3. AVR Studio


AVR Studio -
Atmel -
AVR. -
-
. , AVR Studio -

, , -
.
AVR Studio , -
:
Create New Pro-
ject;
Open;
, -
.
Project type -
:
Atmel AVR Assembler AVR-;
AVR GCC .
, -
.
.asm, .aps.

181

, .
.
AVR Studio . 8.3.
.

. 8.3. AVR Studio

-
. . ,
, , ,
, .
(), .
Project -
. .
, -

182
, , ,
.
I/O View -
:
- (Timer_Counter);
/ (Port);
(Analog_Comparator);
(AD_Converter);
(Register) ..

. .
- , -
. -
-.
Message -
.
, -
.
, -
, .
.hex.
,
Build. -
. -
Build, (Error),
(Warning) .
.
, -
. , -
183
.
(), -
.
, -
- .
,
. ,
, ..
-
.
Start
Debugging Debug.
AVR Studio I/O
View, ,
-
-
(. 8.4).

. 8.4. AVR Studio


184
-
:

Run AutoStep
, -
.

.
. 8.1.
8.1
AVR Studio


Debug

Step into F11


Step over F10

-
Step out Shift+F11


Run to cursor Ctrl+F10

Step into
, ( )
. -
. I/O View.
, , -
, .
,
..

185

.
, .

, .
,
, ,
- .
, -
.
, -
.
, -
, Debug -
Toggle Breakpoint ( ). -

(. 8.4). -
, ,
Toggle Breakpoint, .
, , -
-
Run (). -
, ,
. -
,
.

, Reset.

186
-
. Stop Debugging
( ).

.hex -
.

8.4. AT STK500
Atmel -
AVR. -
. -
-
.
, ,

"": , -
, ,
. -

.
-
, , RS-232,
. ,
-
.
Atmel -
STK500 AVR, DIP-
. , STK500

187
-
. STK500 . 8.5.


RS232

. 8.5. AT STK500

STK500 :
DIP;
-
;

;

;

RS232;
.

STK500 COM-
RS232. -

188
AVR Studio Tools ().
STK500 , , -
, -
, . 8.6.

. 8.6. STK500


STK500. 6 ,
. -

. -
.
Program Device -
. -
Erase Device
.
189
Programming Mode .
STK500 -
: ,
-
.
, -
, ISP-
, .
Erase Device Before Programming
, -
Verify Device After Programming

.
STK500 AVR
Studio - , -
- Flash Use Current
Simulator/Emulator FLASH Memory ( -
/ -) - -
AVR Studio Flash Memory view.
hex- ,
Input HEX File ( hex-) .
Fuses -
. Read -
, -
Write .
, -
,
.
,
190
.

.
LockBits ,
. -
. ,
, -
.

Erase Device Program, -
.
Advanced -
, .
Read Signature
-
. -
. -
.
Oscillator Calibration Byte -
RC-. -
-
. -
OSCCAL -
RC-. -
Value Read
Cal. Byte.
Flash EEPROM -
. , ,
RC-.
191
Board
STK500:
VTarget ;
ARef ;
Oscillator .
,
STK500 .
,
. -
, -
.
-
. -
. -
-
, STK500 -
, -
Closest Attainable Freq. -
: 32.7 , 1.23 ,
1.84 , 3.69 ( ) -
. / -
Read Osc. Write Osc.

Auto -
-
.
. -
. -
STK500.
192
, -
, , . 8.7.

. 8.7. STK500

, -
-
.
Start. -
, -
.
-
Log to file, -
.

193
8.5. AVR
-
AVR. ,
, .
() -
, -
. -
1 ,
1 . -
,
1. ,
,
.

ATMega16 STK500.
ATMega16 -
:
DIP-40 (. 8.8);
0 16 ;
16 Flash ;
RC-;
4 - 32 ;
16- 8- -;
10- ;
4 .

194
. 8.8. ATMega16 DIP

D, -
B.
STK500.
B . -
:
PORTB ($18, $38),
DDRB ($17, $37);
PINB ($16, $36).

D -
. -
3 :
PORTD ($12, $32),
DDRD ($11, $31);
PIND ($10, $30).
PINx
, -
, .

195
DDxn DDx
-. 1, n-
, 0
.
PORTxn PORTx .
(DDxn=1), -
. 1, -
. 0,
.
(DDxn=0), PORTxn
-
. PORTxn 1 -
.
.

.
-
:
1. .
2. .
3. -.
4. ,
, -

.
() ,
AVR.

196
;
; STK500
;***********************************************************
.include "m16def.inc";
.list;
.def Temp =r16 ;
.def Delay =r17 ; 1
.def Delay2 =r18 ; 2
;******************
ser temp ; 1
out DDRB, temp ; (PORTB)
;****************** /
LOOP:
out PORTB,temp ;
sbis PIND,0x00 ; PortD.0 = 0,
inc temp ; 1 ,
sbis PIND,0x01 ; PortD.1 = 0,
dec Temp ; 1 ,
sbis PIND,0x02 ; PortD.2 = 0,
ror Temp ;
; 1
sbis PIND,0x03 ; PortD.3 = 0,
rol Temp ;
; 1
sbis PIND,0x04 ; PortD.4 = 0,
com Temp ;
sbis PIND,0x05 ; PortD.5 = 0,
neg Temp ; 1
sbis PIND,0x06 ; PortD.6 = 0,
swap Temp ;
;**** ,
;****
DLY:
dec Delay
brne DLY
dec Delay2
brne DLY
rjmp LOOP ;

-
:
.include
.
.include m16def.inc -
ATMega16. ,
.
197
.list .
, -
-
.
.def (), -
.
.
Ser Rd 1 Rd.
Out A, Rr Rr
- A.
Sbis A, b b - A
, -
.
Inc Rd Rd .
Dec Rd Rd .
Ror Rd Rd .
Rol Rd Rd .
Com Rd ,
Rd, .
Neg Rd , -
Rd.
Swap Rd
Rd.
Brne k
k.
Rjmp k k.

.
. -
, ,

198
, . , -
. -
;
. -
.
, -
. -
.include , .list .def.
() B. -
. -
DDRB .
LOOP:
.
temp B.
D,
temp .
Delay Delay2 -
DLY: .
, -
, -
B .
rjmp LOOP LOOP:
.

199

1. AVR?
2. AVR
?
3. AVR
()? ?
4. ?
5. , -
?
6.
?
7. ?
8. AVR Studio?
9. , -
AVR Studio?
10.
AVR Studio?
11. AT STK500?
12.
AT STK500?
13.
AT STK500?
14.
AT STK500?
15.
AT STK500?
16. -
AVR?
17. -
AVR?


1. AVR STK500. User Guide. Atmel Corporation, 2003. 61 p.
2. .. AVR. .: -
, 2006. 287 .
200
3. .. . .: -
, 2007. 256 .
4. .. . .:
, 2007. 304 .
5. .. . .:
, 2005. 256 .
6. .., .. AVR: -
. .: -, 2006. 304 .
7. .. AVR Mega. -
. .: -XXI, 2007.
592 .: . ( ).
8. AVR PIC . /
. .. . .: -, 2006. 400 .
9. . AVR. . /. .
.: -XXI, 2006. 272 .: . ( -
).

201
: AVR

1 2 3 4

AND Rd, Rr Logical AND Registers Rd Rd Rr
ANDI Rd*, K Logical AND Register and Constant Rd Rd K
OR Rd, Rr Logical OR Registers Rd Rd v Rr
ORI Rd*, K Logical OR Register and Constant Rd Rd v K
EOR Rd, Rr Exclusive OR Registers Rd Rd Rr
COM Rd Ones Complement Rd $FF Rd
NEG Rd Twos Complement Rd $00 Rd
CLR Rd Clear Register Rd Rd Rd
SER Rd Set Register Rd $FF
TST Rd Test for Zero or Minus Rd Rd Rd

ADD Rd, Rr Add without Carry two Registers Rd Rd + Rr
ADC Rd, Rr Add with Carry two Registers Rd Rd + Rr + C
ADIW Rdl, K Add Immediate to Word Rdh:Rdl Rdh:Rdl+K
SUB Rd, Rr Subtract without Carry two Regis- Rd Rd - Rr
ters
SUBI Rd*, K Subtract Constant from Register Rd Rd - K
SBC Rd, Rr Subtract with Carry two Registers Rd Rd - Rr - C
SBCI Rd*, K Subtract with Carry Constant from Rd Rd - K - C
Register
SBIW Rdl, K Subtract Immediate from Word Rdh:Rdl Rdh:Rdl - K
INC Rd Increment Rd Rd + 1
DEC Rd Decrement Rd Rd 1
SER Rd Set Register Rd $FF

RJMP k Relative Jump PC PC + k + 1
IJMP Indirect Jump to (Z) PC Z
JMP k Jump PC k
RCALL k Relative Subroutine Call PC PC + k + 1
CALL k Call Subroutine PC k
ICALL Indirect Call to (Z) PC Z
RET Subroutine Return PC STACK
RETI Interrupt Return PC STACK
CPSE Rd,Rr Compare, Skip if Equal if (Rd = Rr)PC PC + 2 or 3
SBRC Rr, b Skip if Bit in Register Cleared if (Rr(b)=0)PC PC + 2 or 3
SBRS Rr, b Skip if Bit in Register is Set if (Rr(b)=1)PC PC + 2 or 3
SBIC P*, b Skip if Bit in I/O Register Cleared if (P(b)=0)PC PC + 2 or 3
SBIS P*, b Skip if Bit in I/O Register is Set if (P(b)=1)PC PC + 2 or 3
BRBS s, k Branch if Status Flag Set if (SREG(s) = 1) then
PCPC+k + 1
BRBC s, k Branch if Status Flag Cleared If (SREG(s) = 0) then
PCPC+k + 1
BREQ k Branch if Equal if (Z = 1) then PC PC + k + 1
BRCS k Branch if Carry Set if (C = 1) then PC PC + k + 1
BRNE k Branch if Not Equal if (Z = 0) then PC PC + k + 1
BRCC k Branch if Carry Cleared if (C = 0) then PC PC + k + 1
BRSH k Branch if Same or Higher if (C = 0) then PC PC + k + 1
BRLO k Branch if Lower if (C = 1) then PC PC + k + 1

202
1 2 3 4
BRMI k Branch if Minus if (N = 1) then PC PC + k + 1
BRPL k Branch if Plus if (N = 0) then PC PC + k + 1
BRGE k Branch if Greater or Equal, Signed if (N V= 0) then
PC PC + k + 1
BRLT k Branch if Less Than Zero, Signed if (N V= 1) then
PC PC + k + 1
BRHS k Branch if Half Carry Flag Set if (H = 1) then PC PC + k + 1
BRHC k Branch if Half Carry Flag Cleared if (H = 0) then PC PC + k + 1
BRTS k Branch if T Flag Set if (T = 1) then PC PC + k + 1
BRTC k Branch if T Flag Cleared if (T = 0) then PC PC + k + 1
BRVS k Branch if Overflow Flag is Set if (V = 1) then PC PC + k + 1
BRVC k Branch if Overflow Flag is Cleared if (V = 0) then PC PC + k + 1
BRIE k Branch if Interrupt Enabled if ( I = 1) then PC PC + k + 1
BRID k Branch if Interrupt Disabled if ( I = 0) then PC PC + k + 1


CP Rd, Rr Compare Rd Rr
CPC Rd, Rr Compare with Carry Rd Rr C
CPI Rd*, K Compare Register with Immediate Rd K

MOV Rd, Rr Move Between Registers Rd Rr
LDI Rd*, K Load Immediate Rd K
LD Rd, X Load Indirect Rd (X)
LD Rd, X+ Load Indirect and Post-Inc. Rd (X), X X + 1
LD Rd, - X Load Indirect and Pre-Dec. X X - 1, Rd (X)
LD Rd, Y Load Indirect Rd (Y)
LD Rd, Y+ LDLoad Indirect and Post-Inc. Rd (Y), Y Y + 1
LD Rd, - Y Load Indirect and Pre-Dec. Y Y - 1, Rd (Y)
LDD Rd,Y+q Load Indirect with Displacement Rd (Y + q)
LD Rd, Z Load Indirect Rd (Z)
LD Rd, Z+ Load Indirect and Post-Inc. Rd (Z), Z Z+1
LD Rd, -Z Load Indirect and Pre-Dec. Z Z - 1, Rd (Z)
LDD Rd, Z+q Load Indirect with Displacement Rd (Z + q)
LDS Rd, k Load Direct from SRAM Rd (k)
ST X, Rr Store Indirect (X) Rr
ST X+, Rr Store Indirect and Post-Inc. ST (X) Rr, X X + 1
ST - X, Rr Store Indirect and Pre-Dec. X X - 1, (X) Rr
ST Y, Rr Store Indirect (Y) Rr
ST Y+, Rr Store Indirect and Post-Inc. (Y) Rr, Y Y + 1
ST - Y, Rr Store Indirect and Pre-Dec. Y Y - 1, (Y) Rr
STD Y+q,Rr Store Indirect with Displacement (Y + q) Rr
ST Z, Rr Store Indirect (Z) Rr
ST Z+, Rr Store Indirect and Post-Inc. (Z) Rr, Z Z + 1
ST -Z, Rr Store Indirect and Pre-Dec. Z Z - 1, (Z) Rr
STD Z+q,Rr Store Indirect with Displacement (Z + q) Rr
STS k, Rr Store Direct to SRAM (k) Rr
LPM Load Program Memory R0 (Z)
ELPM Load Program Memory R0 (RAMPZ: Z)
IN Rd, P In Port Rd P
OUT P, Rr Out Port P Rr
PUSH Rr Push Register on Stack STACK Rr; SP SP-1
POP Rd Pop Register from Stack SP SP+1, Rd STACK
203
1 2 3 4

SBR Rd*, K Set Bit(s) in Register Rd Rd v K
CBR Rd*, K Clear Bit(s) in Register Rd Rd ($FF - K)
SBI P*,b Set Bit in I/O Register I/O(P,b) 1
CBI P*,b Clear Bit in I/O Register I/O(P,b) 0
LSL Rd Logical Shift Left Rd(n+1) Rd(n), Rd(0) 0
LSR Rd Logical Shift Right Rd(n) Rd(n+1), Rd(7) 0
ROL Rd Rotate Left Through Carry Rd(0)C,Rd(n+1) Rd(n),
CRd(7)
ROR Rd Rotate Right Through Carry Rd(7)C,Rd(n) Rd(n+1),C
Rd(0)
ASR Rd Arithmetic Shift Right Rd(n) Rd(n+1), n=0..6
SWAP Rd Swap Nibbles Rd(3..0)Rd(7..4),
Rd(7..4)Rd(3..0)
BSET s Flag Set SREG(s) 1 SREG(s)
BCLR s Flag Clear SREG(s) 0 SREG(s)
BLD Rd, b Bit load from T to Register Rd(b) T
BST Rr, b Bit Store from Register to T T Rr(b)
SEC Set Carry C1
CLC Clear Carry C0
SEN Set Negative Flag N1
CLN Clear Negative Flag N0
SEZ Set Zero Flag Z1
CLZ Clear Zero Flag Z0
SEI Global Interrupt Enable I1
CLI Global Interrupt Disable I0
SES Set Signed Test Flag S1
CLS Clear Signed Test Flag S0
SEV Set Twos Complement Overflow V1
CLV Clear Twos Complement Overflow V0
SET Set T in SREG T1
CLT Clear T in SREG T0
SEH Set Half Carry Flag in SREG H1
CLH Clear Half Carry Flag in SREG H0
NOP No Operation None
SLEEP Sleep None
WDR Watchdog Reset None

204

-
.
.
, -
- -
NI CircuitDesignSuite (Multisim),


.
, , -
, , -
, ,
.

, -
, -
.

205

: 4 .



-
;
-
, -
; , -
; -
-
,
;
-
, .

: , .

. - Multisim. -
. ,
. .
. .
. -
. . .
. . - - -
. . -
AVR. .

206
. AVR
Studio.
.
. UltiBoard.

- .
, -
-
: " ", "", "", "-
", "", " -
. , () , -
.
. -

-
.
,
-
-
. -
, -
-
. -
,
.
( -
), , -
,
, ,
207
( ), ,
, . -
. -
,
2 . , -
, . -

.
, :
;
;
;
;
;
.
-
, -

.
( )
( , ),
, (),
, , -
.

:

;

208
-
, -
;
, (-
, ) -
;
-
;

;
, , -
, .
-
,
-
.
-
:
,
;
,
;
() -
, , -
;
-
, , .

209

,
.
, , -
8 10 . .
:
1. ;
2. , ,
.
3.
.
4. . , -
, -
, , ,
.
5. :
,
.
6. :
. ,
.
7. : -
/ . -
, .
8. , :
-
;

210

; ,
.
9. .
.
, -
.
, ,
.
10. . , -
.
, -
.
11. . -
-
.

-
, .
,
, -
.
-
, -
-
, -
, -
-
.
211
,
- -
.

, -
. -

.
-
-
,
.
-
. , , -
,
. , -
. 1 -
, , -
.
:
-
, ;
-
, ;
, -
, , ;

, -
;
212
, -
;

;
:
;
;
, , -
, ;

;
;

.

-
, - ,
-
.
, - -

,
.
(, ) -
-
,
. , ,
- -

213
. , -
, , -

.

-
.
-
( ) -
-
,
. -
.

, .
1520 -
. .
:
1. .
2. .
3. .
4. -
.
5.

;
6. ,
, ,
.
214
7. -
, -
;
8.
. -
, , -
.
.
9.
;
10. -
;
11. .

, .

,
.
.

, -
.
-
MS Word (MS Office) Writer (OpenOffice).
Times New Roman Cyr, 14 ,
, .
.
. -
.
215
. -
. Times New
Roman, 12 , Bold, .
:
1,
o 1.1,
1.1.1,
1.1.1.1.
-4 (297210), . -
: , 2 ; 2.5 , -1.5,
Times New Roman, 12 , ,
, . -
. [ ].
( ).
, , -
.
: , , , , , -
-
, -
.
.
, ( -
4 , 3.4
). -
..
. -
, (,
), .
.
216
. -
. -
(, )
. -
,

. -
.

. .
, (-
4 ,
3.4 ).

, .
-
. , . -
,
.
.

.
, (+, -, )
. , -

, .
-
, -

217
.
.
-
.
,
, : 15 , 20 , 12 ,
4,3 , 35 , 1 10-3 , 25% .
. , -
, , : (), (),
().
, -
, -
: /(2 ).
: (), (), (), (-
), ().

.
,
, , [28]. -
.. ,
[18, .704].
.
:
(, ) -
:
1. .. .: ,
1988. 304 .
(, ) -
():
218
2. - / .. ,
.. , .. .; . . .. -
: , 1990. 351 .
:
3. .. . .1. . -
: . . 2- ., .
.: , 1982.432 .
:
4. .. , .. , .. -
-: . / .: -
..., 2002. 543 .
:
6.R. Benattar, C. Galas, P. Ney X-UV Index of refraction of dense and hot
plasmas // Journal of X-ray Science and Technology. 1995. 5. p.p. 249-260.
7. / .. , ..
, .. . // 1960. . 38, . 3. . 685-692.
:
9. .. , .. -
// -
, Labview
National Instruments: . . .-
. . / .
, 2006. . 259-262.
10. ECR plasmas and ECR Ion Sources / A.Girard, C.Lecot, G.Melin // 27th
EPS Conference on Contr. Fusion and Plasma Phys. / Budapest, 2000.
vol.24B(2000), p.p. 85-88.

219


-
, ,
.

( ) -
1000 .
:
,
.

, -
;
:
;
;

:
;
:
, -
.

:
,
;

220
, -

;
, -
;
- -
.

. -
, -
, -
, () ().
,
, , , -
.
, -

-
, ,
., -
-
.

-
- , -
-
. -
.

221
-
-

, -
, - ,
.
-

. -
. -

. -
-
.

-
, -
,
, ,
- -
.
, -
-
.
- MultiSim, -
, - -
, NI ELVIS
-
. , -
222
-
, -
NI ELVIS. -

, , -
-
- . -
, -

.
-
.
-
,
. , -

,
-
, -
,
.

:
1. .., .. :
. .: , 2006. 799 .
2. .., .. . .: -
-XXI; -, 2007. 480 .
3. .. . .: -
, 2007. 256 .
223
4. .. Multisim 7:
. / . .
.. .: -, 2006. 488 .
5. . .. IBM PC. I. -
. .: -, 2006.
672 .

:
1. .. . .: -
, 2004. 336 .
2. .., .., .. LabVIEW:
: -
. .: , 2005. 182 .
3. .. -
DesignLab 8.0. .: -, 2003. 704 .
4. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .
5. .., .. AVR. -
. .: -, 2004. 304 .

, -

(http://vlab.sci.pfu.edu.ru).

:
-
, -
, -

224
. -
, .
(-
) (-
) : - .
:
, -
;
, -
;
.
:
,
;
-
;
-

.
-
- .
-
,
,

.

225
:
,
-
:
(7 -
) 35 ( 5 ,
1 , 1 -
, 3 );
25 ;
- 10 ;
30 .
100 .
.
:
-
, -
:


93100 5
8492
4
7483 C
6373 D
3
5162 E

3150 FX
2
030 F

226
,
() ,
A, B, C, D E, -
51.
, , -
FX , -
, , 10

, , -
. -
, FX E D.
F .
:
,
, -
, -
, ,
.
-
, , -
, -
,
, .
,
, -
, -
,
, -
.
227
-
, , -
-
, -
, , ,
.
,
, -
,
,
.

, -
,
, -
, ; -

.

, ,
, -
-
- .
:
(- -
) , -
. , ,
.
( ),
228
, .

. -
, . -

. ,
, .
:
, -
-
.
. -
,
, .


1 (-)
1. . , ,
. - . -
,
-
. .
-
. , . -
- Multisim. .
. . .
. -
. .
ELVIS.
229
:
1. .. Multisim 7:
. / . .
.. .: -, 2006. . 133.
2. . .. IBM PC. -
. .: -, 2006. . 383.
:
1. .. -
DesignLab 8.0. .: -, 2003. 704 .

2. . : -
, , , . -
: , , -
. . -
- . -
. .

ELVIS.
:
1. .., .. :
. .: , 2006. . 8-51, 788795.
2. . .. IBM PC. I. -
. .: -, 2006. .
116159.
:
1. .. Multisim 7:
. / . .
.. .: -, 2006. . 3842.

230
3. .
. Multisim.
. IV-. -
. -
. ,
. . -
.
.
:
1. .. Multisim 7:
. / . .
.. .: -, 2006. . 123-207,
335353.
:
1. . .. IBM PC. -
. .: -., 2006. .222235.

4. . . , -
. -
: . -
. -
.
. -. . -
Multisim.
:
1. .., .. -
: . .: , 2006. .374531.
2. .. Multisim 7:
. / .
231
. .. .: -, 2006.
. 233287.
:
1. . .. IBM PC. -
. .: -, 2006.
. 421469
2. .., .., .. LabVIEW:
: -
. .: , 2005. . 77113.
3. .. . .: -
, 2004. 336 .

5. . . -
. .
. -
. . -
.
. () .
:
1. . .. IBM PC. -
. .: -, 2006.
.464478.
2. .. Multisim 7:
. / . .
.. .: -, 2006. .396404.
:
1. .. . .: , 2004.
336 .

232
6. . .
. . . -
. ,
, . .
.
:
1. .., .. . .: -
-XXI; -, 2007. .891.
2. .. . .: -
, 2007. .2037.
:
1. .., .., .. LabVIEW:
: -
. .: , 2005. .114136.

7. . : ,
, , . (RS-
, D-, T-, JK-), , .
.
Multisim. .
:
1. .. Multisim 7:
. / . .
.. .: -, 2006. .439481.
2. .., .. :
. .: , 2006. .614-700.
3. .., .. . .: -
-XXI; -, 2007. .101155.

233
:
1. .., .., .. LabVIEW:
: -
. .: , 2005. .114136.

8. . - - -
. . -
. . -
. - .
:
1. .., .. . .: -
-XXI; -, 2007. .188199.
:
1. .. . .: , 2004.
336 .

9. . -
. .
: , , . -
. .
:
1. .., .. . .: -
-XXI; -, 2007. .200209.
2. .. . .: -
, 2007. .6893.
:
1. .., .. AVR. -
. .: -, 2004. .314.

234
10. . AVR. -
AVR. . . -
. .
-. .
:
1. .. . .: -
, 2007. .94120.
:
1. .., .. AVR. -
. .: -, 2004. .1533.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

11. . -
AVR. AVR Studio. -
. AVR. ,
AVR. . .
:
1. .. . .: -
, 2007. .200229.
:
1. .., .. AVR. -
. .: -, 2004. .84109.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

12. . - AVR. -
. . . -

235
. -
STK500.
:
1. .. .- .: -
, 2007. .118120.
:
1. .., .. AVR. -
. .: -, 2004. .6569.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

13. . AVR. -
. . -
. AVR Studio.
:
1. .. . .: -
, 2007. .121123.
:
1. .., .. AVR. -
. .: -, 2004. .3139.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

14. . - AVR. -
. . . -
. .
:
1. .. . .: -
, 2007. .124133.
236
:
1. .., .. AVR. -
. .: -, 2004. .4152.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

15. . -
AVR. . - -
. . - -
. - . -
.
:
1. .. . .: -
, 2007. .134140.
:
1. .., .. AVR. -
. .: -, 2004. .6370.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

16. .
AVR.
AVR GCC. . . -
. . . -
. . -
.
:
1. .. . .: -
, 2007. .162178, 230231.
237
:
1. .., .. AVR. -
. .: -, 2004. .110137.
2. .. AVR Tiny Mega
Atmel. .: , 2006. 560 .

17. . .
.
UltiBoard. .
. . . -
.
:
1. .., .. . .: -
-XXI; -, 2007. .430452.
:
1. .. -
DesignLab 8.0. .: -, 2003. 704 .

18. . .
. . -
. . . -
. . -
.
:
1. .., .. . .: -
-XXI; -, 2007. .430452.
:
1. .. -
DesignLab 8.0. .: -, 2003. 704 .
238
19. .
20. . .

().
1. -
ELVIS. . -
. -
. -
.
. IV-.
2. -
ELVIS. -
. RC, RL RCL
. . -
. -.
3.

ELVIS. -
.
. -
.
4. -
. . . -

.
5. -
. , , .
-
. .
239
6.
(RS-, D-, T-, JK-). -
: , ,
, .
7. . -
AVR Studio STK500.
-
AVR. -
.
8. .
AVR Studio -
.
9. .
AVR Studio -
.
10. . AVR Studio -
-
- -
-
.
11. - .
AVR Studio
.
12. . AVR
Studio .
-
.

240
.


. -
:

;
;
-
;
-
().

241
() -
-
.


-



1. , , 2 2
-
.
2. 2 2
Multisim
3. - 2
-

4
. 1.
4. - 2
.
5. - 2
.
4
6. - 2 2.
.
7. 2
.

8. - 2 4
3.
- -
.
9. 2 . 2
-
. .
10. - 2 2
AVR. -
AVR Studio
11. -
- 2
AVR.
4
12. - 4.
2
AVR.

242
13.
2

AVR. 4
5.
14. - -
2
AVR.
15. -
2
AVR

16. 4
6.

2

AVR.
17. -
2
.
4
18. - 7.
2
.
19. -
2
.
20. . . 2

1. - 7.32-2001 -
-
2. 8.417-81 ". ".
3. -
. http://web-local.rudn.ru/web-

local/fak/fismat/chat.php

243

You might also like