You are on page 1of 66

Rainer Waser (Ed.

Nanoelectronics and
Information Technology
Advanced Electronic Materials
and Novel Devices
Third, Completely Revised
and Enlarged Edition
Contents

General Introduction 13
1 Properties of Information 15
2 Mathematical Definition of Information 18
3 Processing of Information 20
4 Boolean Algebra and Switching Circuits 20
5 Switching Algebra and Switching Circuits 21
6 Multivalued Logic 21
7 Irreversible and Reversible Logic 22
8 Areas of Information Technology 24

I Fundamentals 27
1 Interdisciplinarity 29
2 Prerequisites 29
3 Material Properties and Material Classes 31

1 Dielectric, Ferroelectric, and Optical Properties 33


Susanne Hoffmann-Eifert, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
Dieter Richter, Jlich Centre for Neutron Science & Institute for Complex Systems, Forschungszentrum Jlich, Germany
Susan Trolier-Mc Kinstry, MATSE Department, Pennsylvania State University, USA
1 Introduction 35
2 Polarization of Condensed Matter 35
3 Electrostatic Equations with Dielectrics 36
4 Microscopic Approach and the Local Field 36
5 Polarization Waves in Ionic Crystals 41
6 Ferroelectrics 46
7 Optical Properties 54
8 Closing Remarks 59

2 Electronic Properties and Quantum Effects 63


Hans Lth, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
1 Introduction 65
2 Basics of Quantum Mechanics 65
3 Electronic Properties of Solids 70
4 Electronic Transport 82
5 Summary 89

3 Mesoscopic Electron Transport 91


Thomas Heinzel, Condensed Matter Physics Laboratory, Heinrich-Heine-Universitt Dsseldorf, Germany
1 Introduction 93
2 Length and Time Scales 93
3 Ballistic Transport Effects 100
4 Coherent Transport Effects 106

4 Spintransfer Phenomena in Layered Structures 109


Daniel Brgler and Peter Grnberg, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
1 Introduction 111
2 Special Anisotropies at Surfaces and Interfaces 112
3 Interlayer Exchange Coupling (IEC) 115
4 Giant Magnetoresistance (GMR) 118
5 Tunnel Magnetoresistance (TMR) 120
6 Spin-Transfer Torque (STT) 122
7 Summary 128
Contents

5 Organic Molecules Structures and Electronic Properties 131


Peter Atkins, Lincoln College, University of Oxford, Great Britain
Rainer Waser, Peter Grnberg Institut, Forschungszentrum Jlich,
Faculty of Electrical Engineering and Information Technology, RWTH Aachen University, and JARA-FIT, Germany
1 Introduction 133
2 Hydrocarbons 133
3 Electronic Structure of Q-Conjugated Systems 136
4 Functional Groups and Structures of Molecules 142
5 Basic Principles of Chemical Reactions 145
6 Electronic Conduction in Organic Systems 146
7 Summary 152

6 Neurons The Molecular Basis of Their Electrical Excitability 155


Ulrich B. Kaupp, Forschungszentrum caesar, Bonn, Germany
Arnd Baumann, Institute of Complex Systems, Forschungszentrum Jlich, Germany
1 Introduction 157
2 Architecture and Basic Signaling Capabilities of a Neuron 157
3 Membrane Potential 160
4 What Determines the Resting Membrane Potential? 161
5 How is the Action Potential Generated? 164
6 Recording Electrical Signals from Neurons 165
7 Signal Propagation Along the Axon 168
8 How Do Action Potentials Evoke Neurotransmitter Release? 169
9 Molecular Structure and Function of Ion Channels 170
10 Biochemical Aspects of Learning and Memory 173

7 Logic Gates and Digital Circuits 175


Michael Dolle, Infineon Technologies, Munich, Germany
1 Introduction 177
2 MOSFET 177
3 Digital CMOS Circuits 178
4 Digital Circuits 181
5 Finite State Machines 183
6 Logic Arrays 184
7 Circuit Simulation 186
8 Logic Synthesis 187

II Technology and Analysis 189


1 Basic Concepts of Technology 191
2 CMOS Technology 192
3 Nanotechnological Approaches 194
4 Analysis Methods 197

8 Advanced Optical and Particle Beam Lithography 199


Jrgen Moers, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
Shinji Okazaki, Gigaphoton Inc., Japan
1 Introduction 201
2 Optical Lithography 202
3 Extreme Ultra Violet Lithography 207
4 X-ray Lithography 211
5 Electron Beam Lithography 212
6 Ion Beam Lithography 213
7 Photo Resists 214
8 Alignment of Several Mask Layers 215
9 Summary 216

2
Contents

9 Nanoimprint Lithography 219


Wei Wu, Hewlett-Packard Labs, Palo Alto, USA
1 Introduction 221
2 Mold 222
3 Resist 225
4 Press 226
5 Resolution Limit 227
6 3-D Nanoimprint 227
7 Applications 228
8 Summary Challenges and Prospects 229

10 Structural and Chemical Characterization on the Nanoscale 233


Andreas Stierle, Fachbereich Physik, University of Siegen, Germany
Heinz-Dieter Carstanjen and Siegfried Hofmann, Max-Planck-Institute for Intelligent Systems, Stuttgart, Germany
Oliver H. Seeck, DESY, Hamburg and Forschungszentrum Jlich, Germany
1 Introduction 235
2 X-ray Techniques 236
3 Electron-Based Techniques 243
4 Ion-Based Techniques 250

11 Scanning Probe Analysis 255


Philipp Ebert and Kristof Szot, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
1 Introduction 257
2 The General Principles of Scanning Probe Microscopy 258
3 The Scanning Tunneling Microscope 259
4 The Scanning Force Microscope 269
5 Summary 279

12 Atomic and Molecular Manipulation 283


Gerhard Meyer and Leo Gross, IBM Research Zrich, Rschlikon, Switzerland
Jascha Repp, Faculty of Physics, Universitt Regensburg, Germany
1 Introduction 285
2 Overview of the Basic Tip Sample Interaction Mechanisms 285
3 Manipulation on Metal Surfaces 287
4 Manipulation on Semiconductors 294
5 Manipulation on Insulators 296
6 Summary and Outlook 300

13 Bottom-Up Approaches by Self-Organization Techniques 303


Bert Voigtlnder and Silvia Karthuser, Peter Grnberg Institut & JARA-FIT, Forschungszentrum Jlich, Germany
Sergey N. Filimonov, Department of Physics, Tomsk State University, Russia
Steven L. Tait, Department of Chemistry, Indiana University, USA
1 Introduction 305
2 General Principles of Self-Organization 306
3 Self-Organization by Epitaxial Growth 307
4 Molecular Self-Assembly 313
5 Self-Organized Systems of High Complexity and Hybrid Methods 317
6 Conclusion 319

III Logic Devices 321


1 Fundamentals of Logic Devices 323
2 Physical Limits to Computation 327
3 Concepts of Logic Devices 331

3
Contents

14 Metal-Oxide-Semiconductor Field-Effect Transistors: Novel Materials and Alternative Concepts 341


Joachim Knoch, Institute of Semiconductor Electronics, RWTH Aachen University, Germany
Siegfried Mantl, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
Sebastian Feste, School of Electrical and Computer Engineering, Purdue University Birck, Nanotechnology Center, USA
1 Introduction 343
2 Fundamentals of MOSFET Devices 343
3 High-Mobility Channel Materials: Boosting Performance without Scaling 353
4 High-k Gate Dielectrics 357
5 Ferroelectric FETs 360
6 Nanowire FETs 362
7 Novel Device Concepts: Beating the 60 mVdec Limit 366

15 Nanoelectromechanical Logic Gates 375


Kerem Akarvardar and H.-S. Philip Wong, Electrical Engineering Department,
Stanford University, USA
1 Introduction 377
2 Operation and Basic Modeling 377
3 Scaling 380
4 Nanoelectromechanical Logic 381
5 Fabrication Technology 383
6 Reliability 384
7 Conclusion 385

16 Single-Electron Devices for Logic Applications 389


Ken Uchida, Physical Electronics,Tokyo Institute of Technology, Japan
Eike Linn, Faculty of Electrical Engineering and Information Technology & JARA-FIT, RWTH Aachen University, Germany
1 Introduction 391
2 Single-Electron Devices 391
3 Application of Single-Electron Devices to Logic Circuits 399
4 Summary and Conclusions 406

17 Electron Waveguide Devices 409


Lukas Worschech and Stephan Reitzenstein, Technische Physik, Universitt Wrzburg, Germany
1 Concept and Basic Physics of Electron Waveguide Switches 411
2 Y-Switch Diodes and Transistors 412
3 Simple Logic Gates Based on Y-Branch Switches 415
4 Switching Energy and Power Consumption 417
5 Summary 417

18 Superconductor Digital Electronics 419


Michael Siegel, Institut fr Mikro- und Nanoelektronische Systeme, Karlsruhe Institute of Technology, Germany
Mutsuo Hidaka, ISTEC/SRL, AIST, Tsukuba Central, Japan
1 Introduction 421
2 Josephson Junctions 421
3 Single-Flux-Quantum Logic 424
4 Superconductor Integrated Circuit Technology 426
5 SFQ logic Applications 428

4
Contents

19 Spin-Based Logics Principles and Concepts 431


Claus M. Schneider, Peter Grnberg Institute and JARA-FIT, Forschungszentrum Jlich,
Fakultt Physik and CeNIDE, Universitt Duisburg-Essen, Germany
1 Introduction 433
2 Spin and Magnetic State Variables for Logics 433
3 Spinelectronic Building Blocks 438
4 Magnetic Cellular Automata 441
5 Magnetic Logics with Magnetic Tunnel Junctions 443
6 Domain Wall Logics 447
7 Future Developments 450

20 Carbon Nanotubes and Graphene for Data Processing 453


Max Christian Lemme, School of Information and Communication Technology, KTH Royal Institute of Technology, Sweden
Mario Hofmann and Jing Kong, Department of Electrical Engineering and Computer Science,
Massachusetts Institute of Technology, USA
1 Introduction 455
2 Electronic Properties 456
3 Synthesis and Fabrication 463
4 Interconnects 467
5 Field Effect Transistors 469
6 Prospects of All-Carbon Nanoelectronics 473

21 Molecular Electronics Functions and Features Arising from Tailor-Made Molecules 477
Marcel Mayor, Department of Chemistry and Institute for Nanotechnology,
University of Basel and Karlsruhe Institute of Technology (KIT), Switzerland and Germany
Michel Calame, Department of Physics and Swiss Nanoscience Institute, University of Basel, Switzerland
Rainer Waser, Peter Grnberg Institute, Research Center Jlich and
Institute of Electronic Materials, RWTH Aachen University, Germany
1 Introduction 479
2 Fundamentals of Molecular Electronic Building Blocks 481
3 Making Contact: Techniques of Single Molecule Junction Formation and Characterization 484
4 Electronic Functions: Rectification and Switching, Gating and Molecular Logic Gates 489
5 Fabrication and Integration Processes 494
6 Summary and Outlook 495

IV Computational Concepts and Architectures 499


1 Basics of Computer Architectures 501
2 Performance of Information Processing Systems 506
3 Ultimate Computation 513

22 Performance Estimates for Microprocessors: at Technology Limits and in Practice 519


Ralph K. Cavin and William H. Joyner, Semiconductor Research Corporation, Durham, USA
Tobias G. Noll, Faculty of Electrical Engineering and Information Technology, RWTH Aachen University, Germany
1 Introduction 521
2 Abstract Information Processors 521
3 Complexity of the Building Blocks for the Minimal Turing Machine 522
4 Estimates for the Limiting Performance of the Minimal Machine 524
5 System Performance Estimates 527
6 Computational Performance and Efficiency of Microprocessors 530
7 Summary 540

5
Contents

23 Reconfigurable Nano-Crossbar Architectures 543


Dmitri B. Strukov, Department of Electrical and Computer Engineering, University of California, Santa Barbara, USA
Konstantin K. Likharev, Department of Physics and Astronomy, Stony Brook University, USA
1 FPGA Approach to Computation 545
2 Crossbar-based Nanoelectronic Circuits 549
3 CMOL FPGA 554
4 CMOL Cousins 557
5 Prospects and Challenges 558

24 Cognitive Computing 563


Greg Snider, Hewlett-Packard Labs, Palo Alto, USA
1 Introduction What Is a Neural Net? 565
2 Synapses 566
3 Neurons 566
4 Competition: Instars 567
5 Learning Invariances: Complex Cells and Outstars 569
6 An Instar/Outstar Recognition Network 570
7 Further Topics 572
8 Summary and Prospects 573

25 Quantum Computing Fundamentals and Solid-State Realizations 575


David DiVincenzo, Peter Grnberg Institut, Forschungszentrum Jlich,
Faculty of Physics, RWTH Aachen University, and JARA-FIT, Germany
Jrgen Lisenfeld and Alexey V. Ustinov, Physikalisches Institut, Karlsruhe Institute of Technology, Germany
Lars R. Schreiber, II. Institute of Physics A & JARA-FIT, RWTH Aachen University, Germany
Lieven M. K. Vandersypen, Kavli Institute of Nanoscience, Delft University of Technology, The Netherlands
1 What is a Quantum Computer? 577
2 Algorithms and Architectures for the Quantum Computer 577
3 Superconducting Quantum Bits 585
4 Spin-based Qubits 590
5 Summary and Outlook 597

V Memory Devices and Storage Systems 601


1 Definitions 603
2 Random Access Devices 605
3 Physical Storage Principles of Mass Storage Devices 613
4 Distributed Storage 619

26 Flash Memories 621


Victor Zhirnov, Semiconductor Research Corporation, Durham, USA
Thomas Mikolajick, NaMLab gGmbH, Technische Universitt Dresden, Germany
1 Introduction 623
2 A Generic Charge-Storing Memory Element 623
3 Floating Gate Cell: Charge in the Storage Node 624
4 Electron Transport in the Presence of Barriers 625
5 Retention Analysis and Barrier Scaling Limits 626
6 Write Operation 627
7 Multi-Level Cells 628
8 From Device Abstraction to a Practical Memory Cell 628
9 Charge-Trapping Memory Cell 631
10 Flash Memory Array Architectures 631
11 Concluding Remarks: Limits of Scaling 632

27 Capacitor-based Random Access Memories 635


Uwe Schrder, NaMLab gGmbH, Technische Universitt Dresden, Germany
Herbert Schroeder, Peter Grnberg Institute & JARA-FIT, Forschungszentrum Jlich, Germany
Angus Kingon, School of Engineering, Brown University, USA
Scott Summerfelt, Texas Instruments, Dallas, USA

6
Contents

Cheol Seong Hwang, Department of Materials Science and Engineering & Inter-university Semiconductor Research Center,
Seoul National University, Korea
Ulrich Bttger, Faculty of Electrical Engineering and Information Technology & JARA-FIT, RWTH Aachen University, Germany
1 Introduction 637
2 Operation and Circuit Design 637
3 Dielectric and Ferroelectric Capacitors 641
4 Reliability Issues 646
5 Integration Technology 648
6 Physical Limits of Scaling 649
7 Concluding Comments 651

28 Magnetic Random Access Memories 655


Bernard Dieny, Ricardo Sousa, and Jean-Pierre Nozieres, SPINTEC, CEA/CNRS/UJF, Grenoble, France
Olivier Redon, LETI, MINATEC, Grenoble, France
Ioan Lucian Prejbeanu, Crocus Technology, Grenoble, France
1 Introduction 657
2 Magnetic Tunnel Junctions: A Route for CMOS/Magnetism Integration 657
3 Spin-Transfer Phenomenon 658
4 Magnetic Random Access Memories (MRAM) 660
5 Racetrack Memories 666

29 Information Storage Based on Phase Change Materials 669


Simone Raoux, IBM T. J. Watson Research Center, Yorktown Heights, USA
Matthias Wuttig, I. Institute of Physics & JARA-FIT, RWTH Aachen University, Germany
1 Introduction 671
2 Phase Change Materials 671
3 Optical Storage Systems 676
4 Phase Change Random Access Memory (PCRAM) 678
5 Summary 681

30 Redox-based Resistive Switching Memories 683


Rainer Waser, Peter Grnberg Institut, Forschungszentrum Jlich,
Faculty of Electrical Engineering and Information Technology, RWTH Aachen University, and JARA-FIT, Germany
Rainer Bruchhaus, Jlich Centre for Neutron Science, Garching, Germany
Stephan Menzel, Faculty of Electrical Engineering and Information Technology & JARA-FIT, RWTH Aachen University, Germany
1 Introduction 685
2 Phenomenological Characteristics of Resistive Switching 685
3 Fundamentals of Ionic Solids 687
4 Generic Aspects of Resistive Switching 691
5 Electrochemical Metallization Memory 695
6 Valence Change Memory 700
7 Thermochemical Memory 705
8 Technology and Device Aspects 707
9 Summary 708

31 Scanning Probe Storage 711


Mark A. Lantz and Evangelos Eleftheriou, IBM Research Zurich, Rschlikon, Switzerland
Andreas K. Roelofs, Center for Nanoscale Materials, Argonne National Laboratory, USA
1 Introduction 713
2 Thermomechanical Recording 713
3 Ferroelectric Recording 716
4 Phase-Change Recording
5 MEMS-Based Nanopositioners 720
6 Summary and Outlook 722

7
Contents

32 Holographic Data Storage 725


Mirco Imlau, Physics Department, University of Osnabrck, Germany
Thomas Bieringer, Central Research, Bayer AG, Germany
Serguey G. Odoulov, Institute of Physics, National Academy of Sciences, Kiev, Ukraine
Theo Woike, Institute for physics of the structure, Dresden University of Technology, Germany
1 Introduction 727
2 Fundamentals of Holographic Information Storage 727
3 Optical Processes 730
4 Inorganic Materials 735
5 Photoaddressable Polymers 741
6 Summary 747

VI Data Transmission and Interfaces 751


1 Signal Transmission 753
2 Types of Signals and Limits to Transmission 754
3 Communication Systems 755
4 Non-modulated Transmission Transmission Lines 760
5 Modulated Transmission Keying Schemes 761

33 Metal Interconnects 765


Zsolt Tkei, IMEC, Belgium
Elke Scheer, Physics Department, University of Konstanz, Germany
1 Introduction 767
2 Delay Time and Power 768
3 The Conductor Resistivity 769
4 Inter-Metal Dielectrics 775
5 Summary and Perspectives 775

34 Three-Dimensional Integration 777


Sandip Tiwari, School of Electrical & Computer Engineering, Cornell University, USA
1 Introduction 779
2 Why Integration in the 3rd Direction? 780
3 Technology 782
4 Devices, Circuits, Memories, and Logic-Memory Digital Integration 787
5 Mixed-Signal Integration: Vision, RF and Analog 790
6 Constraints and Issues 791
7 Summary 793

35 Photonic Networks 795


Juerg Leuthold and Nicole Lindenmann, Institute of Photonics and Quantum Electronics, Karlsruhe Institute of Technology, Germany
Christoph Buchal, Peter Grnberg Institut & JARA-FIT, Forschungszentrum Jlich, Germany
1 Introduction 797
2 Optical Transmitters 798
3 Communication Channel 807
4 Receiver 810
5 Summary 813

8
Contents

36 RF and Microwave Communication Systems, Circuits and Devices 815


Stefan Heinen, Faculty of Electrical Engineering and Information Technology, RWTH Aachen University, Germany
Norbert Klein, Department of Materials, Imperial College London, Great Britain
1 Introduction to RF Communication Systems 817
2 RF Fundamentals 818
3 Noise from a System Point of View 820
4 Passive Devices 821
5 System Impact of Nonlinearities 826
6 Transmitter Architectures and Requirements 828
7 Receiver Architectures and Requirements 830
8 Summary and Outlook 832

37 From RFID Tags to Nanomorphic Systems 835


Mark Rscher, Daimler AG, Stuttgart, Germany
1 Introduction 837
2 Basics of State-of-the-Art RFID Technology 837
3 Along the Way Towards Autonomous Microsystems 842
4 Summary and Conclusion 845

38 Neuroelectronic Interfacing with Semiconductor Chips 847


Peter Fromherz, Max-Planck-Institute for Biochemistry, Martinsried, Germany
Stefan Eick and Boris Hofmann, Aesculap AG, Tuttlingen, Germany
1 Introduction 849
2 Iono-Electronic Interfacing 849
3 Neuron-Silicon Circuits 856
4 BrainSilicon Chips 864
5 Conclusion and Outlook 866

VII Sensor Arrays and Imaging Systems 869


1 Classification and Physical Principles of Sensors 871
2 Electronic Sensor Arrays 873
3 Biological Sensor Arrays 875

39 Optical 3-D Time-of-Flight Imaging System 879


Werner Brockherde and Bedrich Hosticka, Fraunhofer IMS, Duisburg, Germany
1 Introduction 881
2 Taxonomy of Optical 3-D Techniques 881
3 ToF-Principle 882
4 Limits 884
5 Technology Realization 885
6 CMOS Imaging 885
7 CMOS 3-D Time-of-Flight Image Sensor 887
8 Application Examples 889
9 Summary 890

40 Micromachined Thermal Detector Arrays for Infrared Imaging 891


Paul Muralt, Materials Science and Engineering, EPFL Lausanne, Switzerland
1 Introduction 893
2 Principles for thermal IR Detectors 894
3 Fabrication and performance of IR detector arrays 896

9
Contents

41 Electronic Noses and Tongues 901


Claus-Dieter Kohl, Physics Department, Justus Liebig University Giessen, Germany
Andreas Offenhusser, Peter Grnberg Institut & JARA-FIT and Institute for Complex Systems, Forschungszentrum Jlich, Germany
1 Introduction 903
2 Operating Principles of Gas Sensor Elements 903
3 System Consideration and Signal Evaluation 907
4 Dedicated Examples 908
5 Summary and Outlook 912

42 2-D Tactile Sensors and Tactile Sensor Arrays 915


Joel Kent, TE Touch Solutions, a business unit in the TE Connectivity Ltd. family of companies, Menlo Park, USA
Katsuyuki Machida, NTT advanced technology,Kanagawa, and Department of Electronics Applied Physics,
Tokyo Institute of Technology, Yokohama, Japan
1 Introduction 917
2 Definitions, Classifications and Examples 917
3 Resistive Touchscreens 919
4 Ultrasonic Touchscreens 920
5 Robot Tactile Sensors 921
6 Fingerprint Sensors 922
7 Summary and Outlook 926

VIII Displays 929


1 Definition 931
2 Photometry 932
3 Sensitivity of Human Eye 933
4 Color Theory 934
5 Display Concepts and Addressing 935
6 3-D Display Concepts 935

43 Liquid Crystal Displays 939


Reiner Zorn, Jlich Centre for Neutron Science & Institute for Complex Systems, Forschungszentrum Jlich, Germany
Peer Kirsch, Merck KGaA, Darmstadt, Germany
Shin-Tson Wu, College of Optics and Photonics, University of Central Florida Orlando, Florida, USA
1 Introduction 941
2 Liquid Crystal Materials 942
3 Liquid Crystal Cells 945
4 Types of Liquid Crystal Displays 949
5 Further Liquid Crystal Display Principles 952
6 Summary 954

44 Organic Light Emitting Devices 957


Martin Pfeiffer, Heliatek GmbH, Dresden, Germany
Stephen R. Forrest, Departments of Electrical Engineering and Computer Science, and Physics,
University of Michigan, Ann Arbor, USA
Holger Kalisch, GaN Device Technology, RWTH Aachen University, Germany
1 Introduction 959
2 Organic Semiconductors 959
3 Organic Light Emitting Diodes 962
4 Organic Displays 968
Contents

45 Plasma Displays 973


Helmut Bechtel, Peter Bachmann, and Gerd Spekowius, Philips Lumileds Development Center Aachen, Germany
1 Introduction 975
2 Gas Discharge Principles 975
3 Operation Principles of PDPs 977
4 High Gamma Coatings in PDP Cells 977
5 Phosphors for PDPs 980
6 Energy Efficiency and Prospects 983

46 Micromirror Displays 985


Larry J. Hornbeck, Texas Instruments, DLP Products Division, USA
Harald Schenk, Fraunhofer Institute for Photonic Microsystems, Dresden, Germany
1 Introduction 987
2 Digital Micromirror Device 989
3 Scanning Micromirrors 996
4 Summary 999

47 Electronic Paper 1001


Dennis Bruhaus, AIXTRON SE, Herzogenrath, Germany
1 Introduction 1003
2 Microparticle Electronic Paper 1003
3 Liquid-based Electronic Paper 1006
4 Further Approaches 1008
5 Summary 1009

Abbreviations 1011

Symbols 1019

Authors 1025

Index 1031

11
Arbitrarily Selected Sample Pages

Please note
that the figure resolution
in this pdf file
is much less than in the original book.
I Fundamentals

Figure 9: Resistance of a wire


etched in a GaAs/AlxGa1xAs
heterostructure as a function of the
perpendicular magnetic field
showing the magnetoresistance
peak indicative of diffusive
boundary scattering. Adapted from
[13]. Typical trajectories are
indicated to the left.

direction of the emergent electrons is random directly after the boundary scattering event,
the magnetic field will bend their momenta towards the x-direction such that px is con-
served as in the case of a flat boundary. This means that in addition to the consideration
above, boundary scattering is diffusive only for roughnesses MF and at the same time
rc  Ae. As B is increased from zero, the sample thus undergoes a transition from situation
(a) to (b) in Figure 8. In small but increasing magnetic fields, the electrons will be
increasingly deflected towards the y-direction, experience more diffusive boundary scat-
tering and Ae will decrease resulting in an increased resistance. However, once the cyclo-
tron radius gets smaller than the mean free path, the electrons move in the skipping orbits
along the edge and maintain their momentum in x-direction. Hence, in a sample with dif-
fusive scattering at the walls, the resistance will show a maximum as a function of B for
L x rc. This is in fact observed in semiconductor wires, see Figure 9, and represents a nice
illustration of the nontrivial interplay of different length scales. In metals, we have typi-
cally Fermi wavelengths of the order of 0.2 nm. Specular scattering in metals can be
expected only for atomically flat boundaries which is very hard to achieve. However, in
semiconductors, MF can easily get as large as 50 nm, such that smooth edges are within
reach of present-day fabrication technology.

Figure 10: The inverted Hall effect in ballistic


Hall crosses as observed in a
GaAs/AlxGa1xAs-heterostructure. The insets
show typical electron trajectories in small
(B  150 mT, upper left) and large (B  150 mT, 3 Ballistic Transport Effects
lower right) magnetic fields. The width of the Hall
cross is 300 nm. Adapted from [14]. 3.1 The Inverted Hall Effect
As a simple example of a ballistic effect leading to an anomalous resistance, we consider
the inverted Hall effect observed in Hall crosses patterned by etching into a two-dimen-
sional electron gas in a GaAs/AlxGa1xAs-heterostructure, Figure 10. We assume that the
current is applied via contact 2, contact 1 is grounded and a perpendicular magnetic field
is applied. In the diffusive regime, the electrons will experience both many scattering
events and a Lorentz force in the Hall cross. The Boltzmann model tells us that in this
case, elctrons emerging from the grounded contact will pile up at the upper contact (no. 3
in Figure 10) and a Hall voltage V3V4 builds up which is negative and linear in B. If the
Hall cross is ballistic, however, trajectories exist which cause the electrons enter contact
4, opposite to contact 3, see the upper inset. It turns out that such trajectories can even
dominate the Hall voltage, such that the Hall resistance is inverted. This effect is known
as negative or inverted Hall effect and can be quite pronounced. In Figure 10, the
inverted Hall effect is visible in the interval |B| b 150 mT.
However, if the cyclotron radius gets smaller than the width of the Hall cross, the tra-
jectories leading to the inverted Hall effect are no longer possible. Rather, the electrons
skip along the boundaries without dissipation. This in turn means that contacts 3 and 1
are effectively shorted and must be at the same potential. Likewise, the potentials of con-
Figure 11: (a) A diffusive wire contains many tacts 2 and 4 are identical. We thus see that in this case, the inversion of the Hall effect is
scatterers. Its transport properties can be described removed and even though the situation cannot be described within the Boltzmann model,
by the Boltzmann equation. This is no longer true it predicts at least the correct sign of the Hall resistance. As we will see in the following
for wires of length L x Ae. (b) A wire with L  Ae is section, the Hall effect quantizes in this regime, an effect which can be understood in
called ballistic. The electrons are scattered at the terms of skipping orbits forming effectively one-dimensional conductance channels.
confining walls only.

3.2 Conductance Quantization in One-dimensional Ballistic Wires


Consider a wire with specular edges and a width W comparable to the Fermi wavelength,
also known as quantum wires (QWR). The wire is strictly one-dimensional if only the

100
Mesoscopic Electron Transport
3
mode with the lowest energy is occupied. The wire is diffusive if its length L is much
larger than the elastic mean free path Ae (Figure 11a). In this case, the electrons will suffer
many elastic scattering events during their trip along the wire. Note that the trajectories
indicated by an arrow are only meaningful for MF  W, which means that the number of
occupied modes is sufficiently large, and a localized wave packet can be constructed.
This is not necessarily true in quantum wires. If only a few modes are occupied, the semi-
classical picture breaks down, and we should think of the electrons as plane waves inside
the quantum wire. In the opposite limit, L  Ae, there is no elastic scattering in the wire,
except for boundary scattering at the walls (Figure 11b). Such ballistic wires are of inter-
est here. We note that in order to be ballistic, the wires often have to be very short and
form a point-like contact between the left and the right reservoir. In that case, they are
called quantum point contacts (QPC).
Elementary solid state physics tells us that Bloch electrons in a perfect crystal lattice
experience no resistance at all. We are therefore tempted to expect an infinite conduc-
tance. Surprisingly, however, we cannot avoid resistances as we transfer electrons across
ballistic wires. The measured conductance of such a wire is shown in Figure 12. Below
the surface of this sample, a quantum film of electrons resides that has been removed
underneath the bright lines, which are oxide lines in the GaAs surface. The whole area
shown here is free of scatterers at low temperatures. The structure can be thought of as a
three-terminal device. If a voltage is applied between the source and the drain termi-
nals, a current will pass through the narrow constriction defined by the two oxide lines
which represents the ballistic wire. Its width is of the order of the Fermi wavelength and
can be tuned by applying an additional voltage to the third terminal, labeled planar gate.
The conductance of this device as a function of the planar gate voltage is shown to the
right. At temperatures of a few kelvins, the conductance shows steps in units of 2e2h,
which vanish at more elevated temperatures. This is one of the most fundamental obser- Figure 12: Ballistic transport through a quantum
point contact. At the top, the surface topography of
vations [15], [16] in mesoscopic transport and will be explained below in more detail.
a GaAs microchip is shown. The picture has been
What is the resistance of such a ballistic quantum wire? As we have just seen, a taken with an atomic force microscope. The chip
two-terminal measurement gives quantized resistances. If, however, the resistance is hosts a quantum film about 30 nm below its
measured in a four-terminal geometry with the voltage probes inside the ballistic wire, it surface, which is removed underneath the bright
has been shown that the resistance is in fact zero [17]. We will now derive a picture for lines. A small and short wire of length 140 nm and
this phenomenon. width 80 nm connects source and drain. The
measurement below shows the conductance of the
Essentially, the explanation consists of two steps: wire as a function of the gate voltage. At low
1) There is no backscattering either inside the QPC or at its exit. temperatures, a conductance quantization in units
2) The occupation of the states in close proximity to the QPC is not described by a of 2e2h is visible, which vanishes around 20 K.
FermiDirac distribution.
To begin with, we look in more detail at the geometric shape of a QPC (Figure 13).
Clearly, the QPC is connected to source S and drain D via two transition regions, which
are quasi-one-dimensional. In a rather crude, but nevertheless very insightful, approxi-
mation, we replace the transition region by ballistic, strictly one-dimensional QWRs and
the QPC itself by a barrier with transmission probability T. We do so since we plan to
calculate the conductance of the QPC from a scattering approach, where incoming elec-
tronic plane waves are scattered into outgoing plane waves, which are eigenfunctions of
the one-dimensional wires. For simplicity, we assume an energy-independent transmis-
sion probability. The QPC is open for T  1.
We now calculate the conductance for our model QPC. For this purpose, recall that
the current density in its simplest form is given by j  n2ev, where n2 is the two-dimen-
sional carrier density and v is the velocity of the electrons. The corresponding one-dimen-
sional expression is obtained by integrating over the cross section of the current; it reads
I  n1ev. Here, I is the current and n1 is the one-dimensional electron density. This sim-
ple relation is generalized to our model system as follows. Suppose a voltage
V  IS ID
 e
drops between source and drain. The reservoirs fill the connected
Figure 13: A QPC attached to source and drain
states of the wire with k-vectors pointing away from the reservoir (outgoing states), up to (left) and its idealized model (right), where the
their respective electrochemical potentials. Now, I, n1 and v depend on energy. Further- transition regions are one-dimensional leads, and
more, the density of right-moving electrons at energy W is given by the density of states the constriction is a barrier with transmission
on the side i  S, D of the barrier, multiplied by the corresponding Fermi function: probability T.
JG JJG
ni W
 gi W
f W  Ii

Similarly, we have for the density of left-moving electrons:


HJ HJJ
ni W
 gi W
f W  Ii

JJG HJJ
Here, g i W
( g i W
) is the density of states for right-moving (left-moving) electrons,
JG HJ
and the ni W
, ni W
denote the corresponding electron densities.

101
I Fundamentals

3 Electronic Structure of Q-Conjugated Systems


3.1 LCAO Theory
Electrons in molecules occupy molecular orbitals (MO) described by quantum mechan-
ical wavefunctions, : (see e.g. [6][9]). The probability density is given by :2 and for
each : there is an associated eigenenergy that, in principle, can be calculated from the
Schrdinger equation. However, the complexity of this problem is so great that approxi-
mations are essential. Often an approximation based on a linear combination of atomic
orbitals (LCAO) is used, in which the MO is expressed as the linear combination
n
:  ci Zi (1)
i1
where Zi are the AO wave functions of the atoms involved in the molecule, ci denote the
contribution of the specific AOs, and n is the total number of AOs. The square of the coef-
ficients ci show to what extent the specific AOs are contributing to the MO. The LCAO
method can be regarded as a close analogue of the tight-binding method in condensed
matter physics.
The energy of the MOs is obtained by multiplying both sides of the Schrdinger
equation by : (more precisely, by the complex conjugate wavefunction :*) and integrat-
ing over the total volume
:H :  :W : (2)

W
: H :dV (3)
: 2dV
Substitution of the LCAOs gives


ciZi H ciZi dV
i i
W (4)
2
c Z dV
i i
i
Rearranging for the sums yields

c j ck Z j H Zk dV
j k
W (5)
c j ck Z j Zk dV
j k

in which j, k  1 n.
Henceforth, we use the abbreviations

H jk  Z j H Zk dV (6)
and

S jk  Z j Zk dV (7)
in which Hjk  Hkj and Sjk  Skj for symmetry reasons. For j  k, the integrals Hjk are
called Coulomb integrals and represent the effective energy of an electron in the field of
one nucleus and the other electrons in the system, i.e. it is the energy of an AO. The inte-
grals Hjk for j v k are known as resonance integrals and express the energy of an elec-
tron moving under the influence of two different nuclei. Hence, they are a measure of the
bonding energy. The Sjk are called overlap integrals because for j v k they describe the
overlap of the individual AOs. To make progress, we use the variation principle, which
states that if an arbitrary wave function is used to calculate the energy, then the value cal-
culated is never less than the true energy. Hence, we have to vary the coefficients ci and
seek for the energy minimum in order to obtain the best approximation for the true energy,
that is we set sWsci  0 for all i and have to solve the resulting set of simultaneous
equations. Rewriting Eq. (5)
W c j ck S jk  c j ck H jk (8)
j k j k

136
Organic Molecules Structures and Electronic Properties
5
and differentiating with respect to ci gives a set of n equations:
W ck Sik W c j S ji  ck H ik c j H ji (9)
k j k j
with i  1 n. Because Hjk  Hkj and Sjk  Skj, Eq. (9) can be written as
W ck S kj  ck H kj (10)
k k
or

ck H kj WSkj
 0 (11)
k
Equation (11) represents a set of linear homogeneous equations. The condition for
non-trivial solutions of this set is that the so-called secular determinant vanishes:
H11 WS11 H12 WS12 ! H1n WS1n
H 21 WS 21 H 22 WS22 ! H 2 n WS 2 n
0 (12)
# #
H n1  WSn1 H n 2 WSn 2 ! H nn  WS nn
We will now apply the LCAO concept to the types of organic molecules introduced
in Sec. 2. To calculate the electronic properties, which are relevant for applications in
electronic devices, it is justifiable to consider only the Q-MOs because the binding
T-MOs are localized and have a much lower energy (Figure 15). For this reason, they do
not contribute in any charge transfer or optical excitation process. In the terminology of
solid-state physics, this means that we only deal with electronic states that are not too far
from the Fermi energy. Hence, in the following treatment we consider planar molecules
for which the framework of T-bonds is fixed. The LCAO approximation is used to calcu-
late the energies and electron density distributions of the Q-MOs from the 2pz-AOs of the
sp2-hybridized C atoms.

3.2 Hckel Approximations Figure 15: Sketch of the energy levels of the
T- and Q-bonds of ethane generated by binding
In the 1930s, Hckel [10] introduced a set of drastic approximations that considerably two sp2 hybridized C atoms. The energy
simplify the calculation of the secular determinants. The procedure is now called the values B and C refer to the LCAO theory
Hckel MO method (HMO method). We will use the HMO method because of its edu- explained in the text.
cational value and because it gives surprisingly reasonable physical results.
Assumption 1
The Coulomb integrals Hii that represent the energy of an electron in a 2pz-AO are treated
as identical for all sp2-hybridized C atoms and denoted B:
Hii  B for all i
The value of B is given by the first ionisation energy of a C atom (approximately
10 eV).
Assumption 2
The resonance integrals Hjk depend on the length of the bond involved. The HMO
method neglects this dependence and sets
Hjk  C for adjacent, T-bonded atoms, and
Hjk  0 for non-adjacent atoms.
As we shall see from the calculation of the ethene, C represents the binding energy
of an isolated Q-bond and is approximately 0.77 eV [6]. The assumption that C is the
same for all bonds implies that all bond lengths are equal.
Assumption 3
All overlap integrals are simplified to:
Sii  1 (all atomic orbitals are normalized) and
Sjk  0 for j y k.
This assumption looks quite severe; however, the effect on the MO energies and,
especially, on the relative position of the energy levels is rather small.
The application of these assumptions to ethene leads to a secular determinant
B W C
0 (13)
C B W

137
I Fundamentals

Division of both rows by C and substitution of x  B W


C gives
x 1
0 (14)
1 x
from which we derive
x2 1  0 (15)
and, hence, x  o1. It follows that
W  B oC (16)
where W  B C is the energy of the bonding (energy lowering) Q-MO and W  B C
the energy of the antibonding (energy raising) Q*-MO.
To calculate the coefficients ci of the wavefunctions, we insert the energy values into
the secular equations
c1 B  W
c2C  0
(17)
c1 C c2 B  W
 0
W  B C gives c1  c2, and W  B C gives c1  c2. The normalization condition

: 2dV  1 (18)
together with Eq. (1) and the Hckel assumptions, Eq. (18), that is
Figure 16: Result of the HMO
calculation for ethene: energy levels c j ck S jk  ci2  1 (19)
and sketch of the wave functions of the j k
Q-MOs. The occupation of the energy
leads to c1  c2  1  2 (Figure 16).
levels is shown for the ground state.
For buta-1,3-diene, the HMO method leads to the secular determinant
x 1 0 0
1 x 1 0
 0 (20)
0 1 x 1
0 0 1 x
The fourth-order polynomial derived from Eq. (20) gives four eigenvalues, namely
x  o0.618 and o1.618. From these values, the coefficients ci can be calculated. They
are used to determine the phases and contributions of the four AOs to the four MOs
(Figure 17). The electrons fill the bonding Q-orbitals 1 and 2, and the antibonding Q-orbit-
als remain empty. The total energy of the Q-electrons is 4B 4.48C. If this value is com-
pared to the energy of two isolated Q-bonds, 4B 4C, we find that the energy due to
delocalization is 0.48C.
In Figure 17, the highest occupied orbital (HOMO) :2 and the lowest unoccupied
orbital (LUMO) :3 may be compared with the conduction band edge and the valence
band edge, respectively, of a semiconductor. The band gap of the semiconductor corre-
sponds to the HOMO-LUMO gap (HLG) in the MO picture of Q-conjugated organic
molecules. Accordingly, the energetically lowest optical absorption band is related to the
HLG energy. Figure 18 shows the result of HMO calculations for conjugated Q-systems
of linear hydrocarbons. For odd numbers of C atoms, an MO occurs at the energy B, i.e.
it is neither bonding nor antibonding. For neutral molecules, these nonbonding MOs are
occupied by a single electron, and the molecule is a radical.
For benzene, the most important aromatic hydrocarbon, the secular determinant is
given by
x 1 0 0 0 1
1 x 1 0 0 0
0 1 x 1 0 0  0 (21)
0 0 1 x 1 0
1 0 0 1 x 1
Figure 17: Result of the HMO calculation The MOs and their energies are illustrated in Figure 19. Note that :2 and :3 are
for buta-1,3-diene: energy levels and degenerate, as are :4 and :5. The total energy of the Q-electrons is 6B 8C, which
sketch of the wave functions of the Q-MOs. should be compared with the energy of the linear hexa-1,3,5-triene, 6B 6.98C. The dif-
The occupation of the energy levels is ference reveals that aromaticity yields an additional stabilization of approximately C
shown for the ground state. compared to the conjugated linear system of six C atoms due to lowering the kinetic

138
Organic Molecules Structures and Electronic Properties
5

Figure 18: Energy levels and electron


occupation for conjugated hydrocarbon with Figure 19: Result of the
unbranched chain structures of one to 22 C HMO calculation for
atoms as calculated by the HMO theory. The benzene: energy levels and
system with one C atom represents a sp2- sketch of the wavefunctions
hybridized CH3 radical. of the Q-MOs. The
occupation of the energy
levels is shown for the
ground state.

Figure 20: The


buckminsterfullerene
molecule C60.

energy of delocalized -electrons. Tables of the MO energies and orbital coefficients for
a large selection of hydrocarbons are collected in Ref. [11].
For increasing numbers of rings in polyaromatic compounds, the HLG decreases and d
finally vanishes for graphene, a two-dimensional infinite layer of fused benzene rings,s,
the building unit for graphite. As a consequence, graphite possesses metallic conductionn
in the in-plane directions.
Another example of a conjugated carbon system is the C60 buckminsterfullerene Figure 21: Electronic structure of C60. Left:
molecule, the most prominent representative of the soccer-ball shaped fullerenes [12]. energy levels as calculated by the HMO method
The C60 molecule has 12 regular pentagons and 20 hexagons (Figure 20). There are two [43]. The symmetries of the MOs are included.
types of bonds: one set is common to two hexagons and the other set is common to a Centre: energy levels as calculated with the density
hexagon and a pentagon. The lengths of these two kinds of bond, 139 pm and 145 pm, functional theory (DFT) [44][45] within the local
density framework. Right: the splitting of the
respectively, are intermediate between those for CC single bonds and CC double
degenerated HOMO and LUMO states into valence
bonds (see Sec. 2). The C atoms can be regarded as approximately sp2-hybridized. The and conductions bands in the Brillouin zone of the
T-bonds make up the mechanically very stable cage and the Q-electrons are almost com- fcc solid (fullerite) and the density of states D W
.
pletely delocalized over the molecule, with slightly enhanced amplitudes at hexagon The energy eigen values are broadened using
adjacencies. Before C60 had been discovered experimentally, an HMO calculation had 0.3 eV wide Gauss functions to give an impression
already identified the completely filled HOMO [13] and the energy eigenvalues [14]. of the spectral weight. The occupied states are
Figure 21 shows the energy states calculated by the HMO method and an ab initio density shown in grey.
functional theory approach. Further details are reported in Ref. [15].

139
I Fundamentals

As mentioned, today the HMO theory only serves educational purposes. In order to
obtain the accurate electronic structure and the MOs of complex molecules ab-initio first
principle calculations are employed using sophisticated programs (see, e.g., Ref. [16]).
As an example, Figure 22 shows the HOMO and LUMO of a coronene derivative [17].
Coronenes are disk-type polycyclic aromatic hydrocarbons which also can be regarded
as graphene chiplets.

Figure 22: Structure and molecular orbitals of


dodecakis(p-methoxyphenylthio)coronene as
an example of a complex molecule 3.3 Q-Conjugated Polymers and Assemblies of Molecules
(a) structure of the molecule, An extrapolation of the energy level distribution and electron occupancy of linear Q-con-
(b) top and side views of HOMO and LUMO jugated hydrocarbons based on the results of the HMO theory shown in Figure 18 might
of the up-down conformer, in which the suggest that polyacetylene exhibits a broad energy band which is half filled by electrons
methoxyphenyl groups alternate between
positions above and below the plane of the
(Figure 23b and -c). Hence, polyacetylene is expected to show metallic conductivity.
coronene core. [17]. However, polyacetylene and all other Q-conjugated polymers are insulators or large band-
gap semiconductors with a HLG of more than 1.5 eV. The reason for this discrepancy lies
in the simplicity of the HMO theory. Besides the severe simplifications of the quantum
mechanical treatment, we neglected the interaction of the electrons and the atomic lattice.
This electron-lattice coupling is much more pronounced in small organic molecules or
polymer chains because the connectivity of the atoms is lower than, for instance, in crys-
talline silicon in which each Si atom is held strongly by four covalent bonds. If, for
instance, a particular double bond in a polyacetylene molecule is cleaved by photo-exci-
tation, a single bond is left at that site. This leads to a distortion of the polyacetylene lat-
tice due to the much weaker bond energy and the lengthening of the interatomic distance
compared to the original system.
The electron-lattice coupling most relevant to our situation is known as the Peierls
distortion. Lets consider in a thought experiment an equidistant monoatomic chain
of atoms each of which contributes one electron to the molecular orbitals. Calculations
show that such an atomic chain, for example of Na atoms, would result in a S-shaped dis-
persion relation and a corresponding density of state diagram (Figure 23), as discussed in
Chapter 2. The energy band is half-filled with the Fermi wave vector at half of the Brill-
ouin zone Qa, where a denotes the interatomic distance. Such a chain clearly would con-
stitute a 1-D metal because the Fermi energy lies within the band. Peierls [18] has shown
that the equidistance situation is unstable and undergoes a metal-to-insulator transition at
low temperatures due to an electron-lattice coupling. This coupling leads to a displace-
ment of every second atom by an incremental distance E and, thus, creates a superstruc-
ture with a periodicity of 2a instead of a. As a consequence, the Brillouin zone is reduced
to half the original value, causing an additional energy gap at Q/2a, just at the Fermi wave
vector (Figure 24). All states below the gap are filled at T  0 K, all states above are
empty. The distortion has turned the 1-D metal into an insulator. The distortion is stable
at low temperatures because the additional energy to displace the atoms is balanced by
the lowering of the electron energy states near the new gap.
The electronic structure of polyacetylene (and all other Q-conjugated polymers) can
be understood by a Peierls distortion, if the polyacetylene is build in a thought experi-
ment by forming a chain from sp2-hybridized CH radicals (or by dehydrogenation of
polyethylene, cleaving one H atom at each C position). The single electrons in the p-AOs
of the CH segments would delocalize and form a half-filled energy band just as the

140
Organic Molecules Structures and Electronic Properties
5

Figure 23: Isolated 1-D chain of atoms having


each a single electron in the outmost orbital, for
example Na atoms, at equal distance a according to
thought experiment of Peierls.
(a) Dispersion relation k W
; the states are filled up
to the Fermi wave vector kF, shown as a blue
line.
(b) Illustration of the distribution of energy levels
for a large number of atoms in the chain, to be
compared with Figure 18.
(c) density of states D W
; filled states are shown
in a blue colour; because the Fermi energy lies
within the band a metallic behaviour is
expected.

Figure 24: Peierls distortion of an isolated 1-D


chain of atoms as shown in Figure 23. Every
second atom is displaced by E which changes the
periodicity of the lattice from a to 2a. As a
consequence, an additional energy gap appears at
k  Q2a. The energy of the states in the lower
band near the k  Q2a point is lowered compared
to the undistorted situation and, hence, the
distorted system shows a lower free energy.
(a) Dispersion relation k W
.
(b) Illustration of the distribution of energy levels.
(c) Density of states D W
; because the Fermi
energy lies in the new band gap an insulating
behaviour is expected at low temperatures.

Figure 25: Formation of polyacetylene in a


thought experiment to illustrate the Peierls
transition which leads to an insulating state.
(a) A hypothetical polymer formed from sp2-
hybridized CH-radicals. For every C atom,
the sp2-orbitals form a T-bonded chain to the
neighbouring C atoms and the H atom, while
the single electron is in the p-AO.
(b) Formation of Q-MOs by the overlap of the
p-AOs resulting in a hypothetical (metallic)
polyacethylene in which the C atoms are a
equal bond distances.
(c) A Peierls transition leads to the real
(insulating) polyacethylene with
Q-conjugated double bonds, expressed by
different bond lengths for CC and CC
bonds.

hypothetical chain of Na atoms described above. This situation of equidistant C atoms is


not stable, however (Figure 25). It relaxes (or: distorts) into a polyacetylene with conju-
gated double bonds as described in Sec. 2, which show different bond lengths for the sin-
gle and double bonds (although this difference is smaller in conjugated systems than for
isolated single and double bonds) and give rise to a completely filled Q-HOMO, a empty
Q -LUMO, and a HLG of 1.7 eV. The delocalized nature of the bands will only evolve
when doping comes into play (Sec. 5).

141
I Fundamentals

Figure 26: Sketch After having discussed the electronic structure of individual (small) molecules and
of the (simplified) individual polymer chains, we will now briefly describe the situation if many molecules
crystal structure or polymers are assembled to form bulk material. We will start from small Q-conjugated
of pentacene molecules which are stacked into an ideal crystal. Figure 26 shows a simplified example.
crystal.
Because all electrons of the molecule are engaged in covalent bonds, the van der Waals
interaction constitutes the only attractive intermolecular energy leading to (weak) bonds
between the molecules. The interaction is weak that is the MOs of the individual mole-
cules (Figure 27a) interact only slightly and, as a results, only narrow bands are formed
(Figure 27b). In agreement with the band theory, a weak coupling and the resulting small
bandwidth is related to a low electron mobility. In organic crystals electron mobilities
well below the maximal value about 10 cm2(Vs) are frequently encountered, compared
to values  1000 cm2(Vs) in Si crystals. Because of the weak intermolecular bonds,
highly ordered organic crystals are very difficult to fabricate. Typically, organic materials
show either a polycrystalline microstructure with considerable disorder between the crys-
tallites or they are completely amorphous. Disorder leads to a broadening of the energy
bands (Figure 27c). This broadening is, however, completely different to the broadening
by strong coupling. It is due to an energetic and spatial distribution of localized states, a
situation known also for inorganic amorphous semiconductors. Instead of sharp, well-
defined band edges, exponential tails of localized states extending into the band gap are
observed [19]. In the case of assemblies of organic molecules, the narrow bands of ideal
crystals often are broadened into bands which can be approximated by a Gaussian distri-
bution of localized states (Figure 27c). The same effects come into play when we turn
from an individual polymer chain to polymer bulk material. The difference is that the
energy bands formed for an individual chain are already relatively broad as described
above. The disorder introduced by forming the bulk material leads to an additional broad-
ening of the bands due to distributions of localized states.

Figure 27: Sketch of the LUMO and HOMO of


(a) individual Q-conjugated molecules (such as pentacene),
(b) ideal crystal of the same molecules, and
(c) real, disordered assembly of the same molecules.

4 Functional Groups and Structures of


Molecules
4.1 Types of Functional Groups and Their Dipole Moments
The replacement of carbon or hydrogen in hydrocarbons by other atoms (so-called het-
eroatoms) leads to the formation of functional groups. Typically, these are groups that
contain N-, O-, S-, or halogen atoms, although multiple bonds between carbon atoms are
also sometimes included. The heteroatoms can be singly, doubly, or triply bonded to the
neighboring C atoms. In the case of heteroatoms of the third period elements (e.g. S, P)
empty d-orbitals may participate in the bonding. Table 1 lists a selection of functional
Table 1: Examples of functional groups. groups.

142
Organic Molecules Structures and Electronic Properties
5
Covalent CC and the CH bonds are nonpolar, whereas the covalent bonding
between functional groups and the rest of the molecule usually exhibits a polar character
on account of the different electronegativities of the atoms involved. The electronegativ-
ity of an atom determines to what extent electrons are attracted within a covalent bond
and is determined by the electron affinity and the ionization energy of the atom [6].
Within a period of the periodic table the electronegativity increases with increasing order
number, for example along the series C, N, O, F. For example, the C-N bond in amines is
polarized so that the electron density is shifted towards the nitrogen, further enhancing
the dipole moment due to the electron lone pair (Figure 28). This effect is strongly
affected by the valence of the atoms involved and additional atoms within the functional
group. For example, N in a nitro group, NO2, behaves differently from an N in an amino
group, NH2.
Polarization effects that are caused by functional groups and act electrostatically
along T-bonds, are called inductive effects (I effects). If the functional group attracts
electrons (T-acceptor) and carries a negative partial charge, then the effect is called a
I effect; otherwise, it is denoted as a I effect (T-donor). For molecules with only one
functional group, the strength of the I effect can be inferred from the dipole moment
(Table 2). The relative strength of the I effect of various groups is shown in Figure 29. In
the case of unsaturated and aromatic molecules, functional groups may also affect the Table 2: Dipole moments of
selected molecules
electron density through another mechanism called the mesomeric effect (M effect, or
resonance effect) and involves the Q-electron system. The functional group may either
attract charge density (M effect, Q-acceptors) from the Q-system or donate partial
charges ( M effect, Q-donor) into the Q-system from its own Q- or non-bonding elec-
trons (Figure 30). Because of the delocalization of Q-electrons in conjugated or aromatic
systems, the mesomeric effect is transferred to positions that may be quite distant from
the functional group. In addition, the effect shows a modulation in the sense that the par-
tial charge is especially pronounced at every second C atom within the conjugated sys-
tem. The mesomeric structures caused by a nitro group at a benzene system and the
resulting partial charges are illustrated in Figure 31.
Figure 28: Partial charges at the
amino methane molecule caused by
the electron attraction of the nitrogen
along the C-N T-bond. The arrow
indicates the dipole moment.

Figure 29: Inductive effect


of substituents: the ability
to attract electrons along
T-bonds increases from top
to bottom. In a comparison
to hydrogen, substituents
on top are said to show a I
Figure 30:
effect (T-donors), those at
Substituents showing
the bottom show a I effect
mesomeric effects.
(T-acceptors).

Figure 31: Mesomeric effect of


substituents at aromatic compounds:
the Q-acceptor effect (M effect) of
the nitro group of nitrobenzene is
illustrated by the mesomeric
structures. The M effect leads to
positive partial charges at the o- and
p-position of the phenyl ring but not
at the m-position.

143
II Technology and Analysis

2 X-ray Techniques
2.1 Introduction
In this section, we will discuss the most relevant analysis techniques using monochro-
matic X-rays as incident probes and elastically scattered X-rays or X-ray fluorescence as
emitted responses from the sample. Conventional X-ray diffraction (XRD) is a very
important technique for characterizing the bulk structure of materials. It was introduced
by M. v. Laue, W. L. Bragg and W. H. Bragg at the beginning of the 20th century (Nobel
Prizes in 1914 and 1915). With the advent of very intense synchrotron-radiation-based
X-ray sources at the beginning of the 1980s, novel surface-sensitive X-ray diffraction
techniques were developed, dedicated to the characterization of surfaces, interfaces and
nanostructures. These will be discussed in the first part of this section.
In the second part of this section, chemically sensitive X-ray techniques will be pre-
sented based on element-specific X-ray absorption and emission lines. The strength of all
X-ray-based techniques is their intrinsic in-situ compatibility under very different condi-
tions such as elevated (gas) pressures, wide temperature range, strong electric or mag-
netic fields and any possible combination of these. In addition, they are non-destructive
Figure 1: Principle interaction processes when in many cases and do not require any special (destructive) sample preparation. Finally,
electrons or photons interact with atoms. they do not require any special property of the sample, as they may be applied to insulat-
Electronic excitation processes lead to the emission ing materials and solid, liquid or gaseous substances.
of fluorescence radiation, photoelectrons or Auger
electrons. In addition, (elastic) Thomson scattering
or (inelastic) Compton scattering may occur.
2.1.1 Basic Processes and Generation of X-rays
The generation of X-rays in laboratory sources is closely related to basic processes inside
an atom, when interacting with electrons or photons (see Figure 1) [1]. An incident elec-
tron or photon with a sufficiently high kinetic energy to overcome the binding energy of
inner shell electrons can remove an electron from the K shell of the target material. The
remaining hole is filled by an electron falling down from one of the next higher shells (L,
M, ) accompanied by the emission of an X-ray photon with characteristic fluorescence
energy (KB, KC, LB, LC, and so on). Due to spin-orbit splitting of the electronic L levels,
additional splitting into KB1 and KB2 lines is observed. A concurring process is Auger
electron emission, during which the energy of the descending electron is transferred to
another electron from the same energy level (e.g. KLL transition). Auger electron pro-
duction is more likely for lighter elements and is used for the chemical analysis of sur-
faces as discussed in 3.3.2, whereas fluorescence emission dominates for higher Z
elements. Note that in the case of an incident photon, the removal of an inner core shell
electron is known as photoemission, and forms the basis for X-ray photoelectron spec-
troscopy (see 3.3.1, Nobel Prize in Physics to M. Siegbahn in 1924).
In X-ray tubes, electrons with a kinetic energy typically between 3060 keV are shot
onto a metallic target material (the anode) emitting characteristic X-ray fluorescence
radiation, as shown in Figure 2. In addition, continuous Bremsstrahlung is emitted up
to a photon energy corresponding to the electron acceleration voltage, because the elec-
trons may also be decelerated by the positively charged atomic nuclei and the electrons.
The sharp fluorescence emission lines are located for example at WhO  8.048 keV for
CuKB1-radiation or WhO  17.479 keV for MoKB1-radiation, corresponding to an X-ray
wavelength of M x 1.50.8 (via WhO  12398.53 eVM []). Low-energy photons
from 100 eV to about 5 keV are frequently called soft X-rays, high energy photons
(WhO  30 keV) hard X-rays.
If extremely high intensity, a polarized beam, and/or tunable photon energy is
required, an X-ray tube is an inadequate photon source. Instead, synchrotron radiation
Figure 2: facilities have to be used. The generation of synchrotron radiation is based on the princi-
(a) Principle of an X-ray tube. Accelerated ple that accelerated charged particles (electrons, positrons) with relativistic kinetic ener-
electrons hit the anode (most commonly made gies (99.999999 % of the speed of light) exhibit a strongly forward-focused emission
from Cr, Co, Cu, Mo, Ag or W). Due to cone of radiation [2]. Synchrotron radiation sources are today available all around the
secondary processes at the anode surface, X-ray world (for a complete list see [3]). Inside the storage ring, an electron (or positron) beam
radiation is emitted. is forced onto a closed orbit with specially designed magnetic devices (bending magnets,
(b) Emission spectrum of X-ray tube.
Characteristic X-ray fluorescence lines of the
wigglers, undulators) [4]. Typical values are electron energies of 28 GeV, a magnetic
anode material are superimposed on the field of 1 T and an electron current of 100300 mA. The radiation covers photon energies
bremsstrahlung spectrum (the KB1,2 fine from the visible light range (some eV) up to some 100 keV depending on the synchrotron.
structure is shown in the inset). The intensity of A sketch of how the X-rays are generated by a bending magnet is shown as an inset in
the characteristic lines is much higher than the Figure 3. The figure of merit for synchrotron radiation sources is their brilliance, defined
bremsstrahlung background and is used for as:
X-ray scattering experiments.
number of photons
Brilliance  (1)
s mm 2 source area
mrad 2 0.1 % bandwidth
236
Structural and Chemical Characterization on the Nanoscale
10
Figure 3: Comparison of the brilliance
between a typical tube source and a
bending magnet. The 0.1 % bandwidth
depends on the selected energy. The
inset shows the principle of producing
X-ray photons at a synchrotron radiation
source. The electron beam is forced onto
a curved path by a magnet. This change
in the orientation of the electron velocity
vector (acceleration) gives rise to the
X-ray emission.

Figure 4: Schematic view of a bending


magnet synchrotron radiation beamline.
The incident beam is focused and
monochromatized by X-ray mirrors and
the monochromator. The mirror
additionally suppresses higher
harmonics. Incident and detection slits
define the experimental resolution and
suppress background scattering.

Bending magnets produce photons with an almost white, continuous emission spec-
trum down to a critical wavelength below which the intensity dramatically drops (see
Figure 3). The quasi-white beam is usually adapted to the experimentalists needs by
optical devices such as focusing mirrors, apertures and monochromators as pictured in
Figure 4. Afterwards, the primary beam has a well-defined collimation and energy band-
width.
The intensity of the synchrotron radiation can be increased significantly by installing
many bending magnets with flipping polarity one after the other. The electron beam wig-
gles through the device (which is therefore called a wiggler) and the resulting radiation
is the incoherent sum of the radiation produced at the sub-devices. In this way, the inten-
sity can be amplified by a factor of 50100 compared to a single bending magnet. By
choosing proper magnetic fields and distances between the single components, a
so-called undulator is obtained. Radiation of a particular wavelength adds up coherently
and the resulting brilliance is a function of N 2 where N is the number of sub-devices.
Therefore, the peak intensity can be 104 times larger than observed from a single bending
magnet [4]. The peak brilliance of pulsed X-ray sources (X-ray free electron lasers) is 20
orders of magnitude higher than that of a bending magnet.

2.2 Structure Determination by X-ray Diffraction


In this section, we will discuss the principle methods for the structural determination of Figure 5: Sketch of a typical scattering
well-defined nanostructures (surfaces, interfaces, thin films, nanoparticles) by X-ray dif- experiment. The monochromatic beam hits the
fraction. Figure 5 displays a sketch of a diffraction experiment. When an X-ray beam sample with the electron density S r
. The
with wave vector ki and wavelength M hits the sample, it is primarily scattered by the elec- incident wave vector is denoted by ki. The
trons of the sample with density S r
at the position r [5]. The photons with wave vector intensity along the direction of the outgoing wave
vector kf is detected under an angle G with respect
kf leave the sample under a scattering angle Gand are recorded by a detector positioned
to the incident beam, containing information on
far from the sample. Here, we consider elastically scattered photons, for which the energy spatial electron density distribution. The vector q
(and wavelength, respectively) of the scattered photon does not change during the scat- is the wave vector transfer. The sample
tering process. In other terms: the magnitude of the incident wave vector ]ki] equals the orientation is defined by additional angles (such
magnitude of the outgoing wave vector ]kf], thus ki f
]ki] ]kf]  2QM. The wave vec- as Bi or Bf in the sketch).
tor transfer q is defined as
4Q (2)
q  kf  ki with q  q  2ki f
sin  sin
2 M 2
237
II Technology and Analysis

We will discuss X-ray diffraction here for two limiting cases: in the first case, the
sample (surfaces, interfaces, thin films) is considered to consist of stratified interfaces
separating layers with homogeneous electron density, and respective refractive index n.
The X-rays are treated as electromagnetic waves, which have to fulfill the boundary con-
ditions at the interfaces according to the Maxwell equations. The X-ray reflectivity deter-
mined in this way represents an exact solution of the electromagnetic wave-field
boundary problem taking all reflected and transmitted wave fields into account [6]. In the
second case, the interaction of the X-ray beam with the sample is assumed to be so weak
Figure 6: Reflection and refraction of X-rays at an that multiple diffraction events can be excluded. This so-called kinematic approximation
interface between stratified media with refractive implies a straightforward calculation of the diffracted intensity from a periodic arrange-
indices n1,2. Total external reflection occurs when ment of entities like atoms or molecules in crystals.
BT  0. According to Snells law, the incident
angle for total external reflection is cos BT = n2n1.
2.2.1 X-ray Reflectivity
X-ray reflectivity (XRR) is a standard technique to characterize layered structures with
sub-nm resolution. In specular reflectivity experiments, the reflected intensity is recorded
as a function of the incident angle Bi with respect to the surface in a symmetric condition
(Bi  B f , see Figure 6). X-rays undergo refraction at the interface of two media with
refraction indices n1,2. The refractive index n in the X-ray regime can be written as
(neglecting dispersion corrections):
2Qr0Se N 4Qr0Se
n  1 2
i with Bc  (3)
ki 2ki ki2
Here r0 denotes the classical electron radius, Se the total electron density of the materials
and N the linear mass absorption coefficient. Note that in the X-ray regime, n  1 for mat-
ter. As a direct consequence, total external reflection may occur at the vacuum
(n1  1)/matter (n2  1) interface at the critical incident angle Bi  Bc given by Eq. (3)
(BT  0). The reflected and transmitted amplitude ratios r and t through one interface can
be calculated using the Fresnel formulas known from optics in the limit of small angles:
Bi  Bi 2  Bc 2 2Bi (4)
r and t 
Bi Bi 2  Bc 2 Bi Bi 2  Bc 2
From Eq. (4), we can directly identify two limiting cases for the Fresnel reflectivity
RF  rr*. For Bi l 0, we have RF  1 (total reflection) and for Bi  Bc , it follows that
RF r Bi4, indicating that the reflectivity falls rapidly with an increasing incident angle.
The transmitted amplitude ratio is important for evanescent wave-based techniques as
discussed in 2.2.3.
In addition, imperfections of the interfaces (roughness) lead to a faster decrease
in reflectivity, which can be quantitatively taken into account. For a multilayer system, a
recursive (Parratt) formalism has to be applied including reflected and transmitted
amplitudes at each interface. As an example in Figure 7a, the X-ray reflectivity curve of
a 24.4-nm-thick chromium layer on top of a 20.3-nm-thick Nb buffer on Al2O3 is shown,
Figure 7: as obtained after growth under ultrahigh vacuum conditions. Upon oxidation, a
(a) X-ray reflectivity from a Cr layer on a 4.4-nm-thick oxide layer forms, giving rise to a beating in the reflected intensity. The data
Nb/Al2O3 buffer layer/substrate system before fit (solid line) allows us to reconstruct the average electron density profile as a function
(lower curve) and after oxidation (upper curve). of z perpendicular to the surface (Figure 7b). The typical roughness of the Cr layer is
The open circles represent the data points and 0.4 nm and of the Al2O3 substrate 0.12 nm. From reflectivity measurements, the layer
the solid lines are fits to the data according to thicknesses, densities and interfacial roughness can be determined independent of the
the Parratt formalism taking reflected and crystalline structure of the material. Neutron and soft X-ray reflectometry allow in addi-
transmitted amplitudes at each interface into
tion a determination of magnetization profiles in layered structures.
account. The intensity modulation with short
periods corresponds to the interference of
X-rays reflected from the Cr surface and the
Nb/Al2O3 interface. Note that the fit is not very 2.2.2 Bragg Scattering in Reduced Dimensions (Crystal Truncation Rod
sensitive to the individual Cr and Nb layer Scattering)
thicknesses because of their very similar Here, we consider the kinematical diffraction of X-rays (neglecting multiple scattering
electron density. Their individual layer
processes) from a periodic arrangement of atoms (epitaxial films or single crystals trun-
thickness needs to be determined by Bragg
scattering (see below). An additional beating
cated by a surface). In the simplest approximation, the incident wave is treated as a plane
occurs from the oxide layer. wave scattered from a charge distribution causing a spherical exit wave with the wave
(b) Electron density profile obtained by fitting the vector k. The scattered intensity is in the limit of the so-called Born approximation ([5],
reflectivity curves in (a). The total thickness of [6]) simply given by the square of the Fourier transform of the electron density distribu-
the Cr layer is reduced and at the same time a tion S r
:
4.4-nm-thick Cr oxide layer with different 2
I q
r (5)
electron density is formed.
S r
exp iq r
d3r
238
Structural and Chemical Characterization on the Nanoscale
10
A 3D crystal structure is made up by three lattice vectors a1,2,3 and three angles B, C,
H which are 90 for cubic structures. Using these lattice basis vectors, the electron density
of a crystal can be expressed as
N  N1 , N 2 , N3

S r '
 Scell r Rn
with Rn  n1a1 n2a2 n3a3 (6)
n 0,0,0

in which Scell is the electron density of one unit cell and Nj runs over the whole crystal.
Using (5) Eq. (6) can be written as:
2
N
I q
r Scell r Rn
exp iq r
d 3r
n (7)
2
N
2
r F q
exp iq Rn

The function F is referred to as a structure factor and is the Fourier transformation of the
electron density of the unit cell, which varies slowly as a function of q. Using the geo-
metrical sum, Eq. (7) can be simplified to:
2
N1N 2 N 3
2
I q
r F q
exp iq < n1a1 n2a 2 n3a3 >

n1n2 n3

1 (8)
sin 2 N j q a j
2
3 2
r F q

1

j 1 sin 2 q a
j
2
Eq. (8) shows that the scattered intensity breaks up into the above mentioned struc-
ture factor and the product expression, which is known as the Laue function. The Laue
function exhibits pronounced maxima at the so-called Bragg condition:
Figure 8: Cr (110) Bragg reflection before
a2 q a3 a3 q a1 a1 q a2 (lower curve) and after oxidation (upper curve).
qBragg  2h 2k 2l
a1 a2 q a3
a1 a2 q a3
a1 a2 q a3
The scattered intensity (open circles) is plotted
(9) as a function of the momentum transfer
2Q perpendicular to the surface in units of the
 2h a1* 2ka2* 2la3*  Ghkl , Ghkl  with h, k , l Z . magnitude of the reciprocal lattice vector
d hkl
2Q/d110, with d110  2.036 . d110 is the distance
The integer numbers h, k, and l are Miller indices and a1*3 reciprocal lattice basis vectors. of the (110) planes in body-centered cubic
(b.c.c.) chromium (see inset). The fit according
Each reciprocal lattice vector Ghkl points perpendicular to a set of real-space atomic (hkl) to Eq. 10 corresponds to the solid lines. The
planes with distance dhkl. For large crystals (and for very large numbers of N1, N2, and side oscillations arise from the finite number N3
N3), the Bragg peaks at qBragg become very intense and narrow delta-function-like peaks. of scattering Cr lattice planes, which is reduced
For thin films with a1,2 in the surface plane and a3 perpendicular to the surface, N1 upon oxidation (upper curve).
and N2 diverge and N3 has a finite value. Using Eq. (9), Eq. (8) takes on the following
form:
N Ql
sin 2 3
2 2
I q
r F q
E q||  G||
(10)
Ql
2
sin
2

Here q|| and G|| denote the component of the momentum transfer and the reciprocal lattice
vector in the surface plane, respectively. It is apparent that in the surface plane, the Bragg
condition has to be fulfilled, whereas the scattered intensity is spread out along the recip-
rocal lattice coordinate l perpendicular to the surface. As an example, an l scan through
the (110) reflection of an epitaxial Cr film is shown in Figure 8. The central Bragg peak
is accompanied by so-called Laue oscillations, which allow us to determine precisely the
number of atomic Cr layers N3. From the damping of the oscillations, the crystalline
roughness of the layers can additionally be determined.
For a half-infinite crystal terminated by a well-defined surface, the scattered inten-
sity can be calculated starting from Eq. (8):
2 1
I q
r F q
E q||  G||

Ql (11)
4sin 2
2
239
II Technology and Analysis

The Bragg reflections at integer l values are interconnected by rods of diffracted intensity
(crystal truncation rods, CTR), as schematically presented in Figure 9a. In Figure 9b, the
characteristic intensity distribution along such a CTR is shown: there is finite intensity in
between the Bragg reflections which can be analyzed to obtain crystallographic informa-
tion on the surface structure. During the experiment, sample and detector are oriented
with respect to the incident beam to fulfill the Bragg condition q  Ghkl with l as a con-
tinuous variable, as depicted in Figure 10. This is controlled on modern X-ray diffracto-
meters by a computer. The technique is commonly called surface X-ray diffraction
(SXRD) [7].
As an example, the CTR signal of an ultrathin epitaxial Ga oxide layer on a
CoGa(100) substrate is shown in Figure 11a [9]. From a fit of the complete data set, the
structural model in Figure 11b can be obtained. The oxide layer gives rise to characteris-
tic intensity modulations along the CTRs. The following information can be obtained
from SXRD experiments:
atomic positions, surface/interface structure up to pm resolution
surface composition using Z contrast
thickness of epitaxial overlayers
overlayer relative position (registry) to the substrate
surface/interface roughness
thermal vibration amplitudes of surface atoms
In general, all additional experimental and theoretical information available is used as an
input for the fit, because in surface crystallography, only a smaller number of structure
factors can be obtained from the experiment, compared to a bulk crystal structure analysis
for a comparable number of atoms in the unit cell.
Figure 9:
(a) Reciprocal lattice of a half-infinite system; the
L axis is perpendicular to the surface, the H axis 2.2.3 Grazing Incidence X-ray Diffraction
is in the surface plane. At integer (H, L) values
E-function-like Bragg reflections from the bulk For small incident angles Bi and/or exit angle Bf (in the order of the critical angle Bc for
are indicated (blue circles). They are total external reflection) and finite in-plane momentum transfer q|| (see Figure 10), the
interlinked by rods of scattered intensity (green incident and the exit beam undergo strong refraction effects and the diffracted intensity
lines) running perpendicular to the surface. In can no longer be treated in kinematical approximation. The distorted wave Born approx-
the case of a doubling of the surface-structure imation has to be used instead, which includes refraction effects of the incident and the
real-space periodicity, half-order surface rods exit beam fully dynamically and the diffraction process itself in kinematic approximation.
arise, which contain information on the The following general expression can be derived for the scattered intensity [10]
reconstructed near-surface region only (dashed
lines). I q'
r T Bi
2 S q '
2 T Bf
2 (12)
(b) Magnitude of the structure factor F along a
crystal truncation rod (CTR). The surface Here T Bi,Bf
 tt* (see Eq. (4)) denotes the optical transmission function of the incident
contribution is shown as red line, the structure and exit beam, respectively, which is plotted in Figure 12a as a function of the incident
factor of the bulk-terminated surface as a blue
or exit angle. Note, that Eq. (12) is symmetric in Bi and Bf because of the reciprocity of
line and the coherent sum of both as a green
line. The structure factor is much weaker in the X-ray light path. Below the angle of total external reflection, the wave field only prop-
between the Bragg reflections than the bulk agates parallel to the surface with a penetration depth - which depends on Bi and Bf as
Bragg peaks, which necessitates the use of plotted in Figure 12b.
synchrotron radiation for the measurements. S q'
denotes the kinematic structure amplitude of any diffraction process, such as
Note that for a 3D infinite system, the signal in Bragg scattering from near-surface lattice planes, small angle scattering, or interstitial
between the Bragg peaks would be fully diffuse scattering. Most importantly for grazing incidence X-ray diffraction (GIXRD),
cancelled out by destructive interference.
the diffraction signal S q'
can be obtained with a depth resolution from nm to Nm,
depending on the choice of Bi and Bf as shown in Figure 12b. It can be used to study sur-
face-induced disorder phenomena as presented for the surface premelting of aluminum
(110) in Figure 13. A similar scheme can be employed for grazing-incidence neutron dif-
fraction [10].

Figure 10: Surface X-ray diffraction in z-axis


mode [8]: Bi,f denote the incident angle of the
incoming beam and the exit angle of the diffracted
beam, both with respect to the surface. The sample
is rotated around its surface normal by the angle R,
bringing the reciprocal lattice vector G into the
Bragg condition by intersection of the crystal
truncation rod with the Ewald sphere at different l
values. For the transformation from real space to
reciprocal space, only three independent angular
movements are required. To establish a unique
transformation, one angle needs to be kept constant
by a constraint, which is commonly to work at a
fixed incident angle.

240
Structural and Chemical Characterization on the Nanoscale
10

Figure 12:
(a) Fresnel reflectivity R (left y-axis) and
transmission function T (right y-axis)
according to Eq. 4 as a function of the
incident angle Bc or the exit angle Bf.
T exhibits an enhancement up to a
factor of four at the critical angle Bc
for total external reflection. The
X-ray reflectivity decays rapidly
above Bc.
(b) Information depth -as a function of
the incident angle for varying exit
angles. In the limit Bi  0 - a
materials property is limited to a few
nm. For exit angles smaller than Bc,
the information depth can be limited
to a specific value.

Figure 13: Surface melting of


Figure 11: Al(110) investigated by grazing
(a) Surface (upper panel) and crystal truncation incidence X-ray diffraction.
rod (lower panel) of an ultrathin Ga oxide film (a) Bf profiles of the in-plane
forming on the CoGa(100) single-crystal Al(0,0,2) reflection for
surface under controlled oxidation conditions BiBc  0.81 as a function of the
(open circles: data points). The red lines are sample temperature (data points).
fits corresponding to the structural model in (b) From the fit (solid lines), the
(b). The surface rods exhibit strong intensity number p of quasi-liquid layers
modulations, which gives evidence that more and the mean square atomic
than one atomic layer is involved in the (2q1) displacement <u2||> can be
reconstruction. determined. Above a temperature
(b) Structural model of the (2q1) surface oxide T0  770 K, a disordered,
layer on CoGa(100) consisting of an quasi-liquid layer sets begins to
oxygen-ion double layer, hosting Ga ions on form, far below the bulk melting
tetrahedral sites with four oxygen ions as its temperature Tm  933 K. The
nearest neighbors and on truncated octahedral thickness of the layer is given in
sites with five oxygen ions as its nearest units of the Al(110) interlayer
neighbors. The Ga atoms at the interface are distance (2.9 ). The mean
strongly displaced from their bulk positions square atomic displacement <u2||>
and every second row is shifted by half of the due to thermal vibrations starts to
CoGa unit cell. CoGa forms an ordered alloy increase below T0 as a precursor
with a b.c.c. lattice, in which one sort of atoms for the surface melting process
sits at the corner of the unit cell and the other (adopted from [11]).
sort in its center.

2.2.4 Grazing-Incidence Small-Angle Scattering


For grazing-incidence small-angle X-ray scattering (GISAXS), the in-plane momentum
transfer q|| is much smaller than typical reciprocal lattice vectors from atomic planes.
Typical diffraction angles E and Bf are smaller than 23 (see Figure 10) and the diffrac-
tion pattern is collected by a two-dimensional detector (an example is given in
Figure 14a). The incident angle is kept close to Bc to optimize the signal-to-background
ratio. GISAXS experiments deliver information on the morphology of inhomogeneous
surfaces, such as nanoparticles on a substrate or nanopatterned structures [12], [13]. The
average size, distance and height of the nanostructures can be determined, independent
of their crystalline state. In Figure 14b, the atomic force microscopy image of an
ion-bombarded germanium surface is shown, which exhibits a regular stripe pattern. The
241
II Technology and Analysis

Figure 14:
(a) Grazing incidence small-angle scattering corresponding GISAXS scan (Figure 10c) shows characteristic Bragg satellites from the
(GISAXS) pattern from rhodium nanoparticles regular stripe structure. In general, a quantitative analysis of GISAXS data is possible,
on MgO(100). The pattern is characteristic for but it is hampered by the sometimes difficult deconvolution of the distance and the size
a truncated octahedral shape, as indicated in the information of the nanostructures. Neutron small-angle scattering provides additional
inset.
information on the lateral magnetic order of nanostructures.
(b) Atomic force microscopy (AFM) image of a
germanium surface after nanopatterning by a
xenon ion beam (2 keV kinetic energy). The
ripples form perpendicular to the ion beam
incident direction (white arrow).
2.3 Chemically Sensitive Techniques
(c) GISAXS diffraction signal with an incident In principle, the techniques discussed above can be applied in a chemically sensitive way
beam parallel to the ripples (open circles). The by tuning the photon energy to one of the absorption edges of the constituting elements,
solid line is a simulation based on an average which reduces the scattering contribution of the corresponding atoms. This allows the
distance of the ripples of 56 nm. The dashed scattering contrast between different elements to be varied in a compound material. In
line corresponds to an incoherent background neutron diffraction experiments, the scattering contrast can be varied by the use of differ-
signal from disordered parts of the surface. ent isotopes. A direct method to analyze the chemical composition of a material is the
(Adopted from [14]).
detection of fluorescence radiation. This technique is routinely applied to characterize
metallic contaminations on the surface of silicon wafers used in the semiconductor indus-
try. Finally, the element-specific absorption properties of materials can also be exploited
to investigate the local structure of each constituent by analyzing the extended X-ray
absorption fine structure.

2.3.1 Total Reflection X-ray Fluorescence Analysis


To enhance the surface sensitivity of the technique, the incident beam shines onto the sur-
Figure 15: face at or below the critical angle for total external reflection (see 2.2.1), where it excites
(a) Schematic synchrotron-radiation-based fluorescence radiation according to the process discussed in 2.1.1 [15]. Figure 15a shows
TXRF setup. An energy dispersive Ge
detector is used to collect the fluorescence
a schematic view of the setup. In Total Reflection X-ray Fluorescence Analysis (TXRF)
radiation. setups using synchrotron radiation, which is linearly polarized in the storage ring plane,
(b) Fluorescence spectrum of a contaminated Si the detector is placed at an angle of 90 with respect to the incident beam to minimize the
wafer obtained with 10.5 keV synchrotron detection of elastically scattered photons. Figure 15b shows a characteristic TXRF spec-
radiation. The argon signal stems from the trum from a contaminated silicon wafer. The detection limit for Ni is about
surrounding air. (Adopted from [16]). 108 atomscm2.

242
Structural and Chemical Characterization on the Nanoscale
10
2.3.2 Extended X-ray Absorption Fine Structure
The Extended X-ray Absorption Fine Structure (EXAFS) analysis allows the determina-
tion of the local structure of poorly ordered materials, such as small nanoparticles
(diameter  3 nm) or glasses [17]. The attenuation of X-rays by a material with linear
mass absorption coefficient N &
and thickness d is described by Lambert-Beers law [6]:
I  I 0 eN E
d (13)
The linear mass absorption coefficient N depends strongly on the incident photon
energy E: when E is high enough to emit an electron from an atomic shell via photoemis-
sion, as discussed in 2.1.1, N undergoes an abrupt increase, as pictured in Figure 16a
(so-called K, L, M, edges). For solids or liquids, the outgoing photoelectron wave is dif-
fracted by the surrounding atoms, giving rise to constructive or destructive interference
depending on the photoelectron energy and the distance of the emitting atom from its
nearest neighbors. This interference in turn modulates the absorption probability above
the edge, as can be seen in Figure 16a. The quantitative analysis of the EXAFS oscilla-
tions gives detailed information on the nearest neighbor distances, coordination shells
and vibrational amplitudes, as shown in Figure 16b. Conventional EXAFS measure-
ments are performed in transmission, but in principle, the probability of secondary pro-
cesses, such as Auger electron or fluorescence emission, also contains the EXAFS signal,
which therefore makes the technique suitable for investigating well-defined nanostruc-
tures or surfaces.

3 Electron-Based Techniques Figure 16:


(a) EXAFS spectrum of Al2O3 supported
3.1 Introduction RhI(CO)2Cl metal-organic catalysts. Above
the Rh K edge at E  23.22 keV, the EXAFS
Techniques using electrons as a response from the sample represent powerful tools for oscillations are clearly identified.
investigating the structure and chemical composition of nanomaterials. Electrons as Inset: EXAFS mechanism. The emitted
charged particles allow a much more effective focusing by electric and magnetic fields spherical photoelectron wave is
compared to X-rays. This allows us to use them for different types of electron-based backscattered from the surrounding atoms,
microscopy, as discussed in 3.2 (Nobel Prize in Physics to E. Ruska in 1986). In addition, giving rise to constructive and destructive
electrons are ideally suited to characterize the structure and chemical composition of sur- interference, which in turn modulates the
faces because of their limited escape depth, which is given by their mean free path as plot- absorption cross-section.
ted in Figure 17. It should be mentioned, however, that electron-based techniques only (b) The quantitative analysis of the EXAFS
work with a sufficiently high conductivity of the sample. Techniques, such as low-energy spectrum gives information on the
backscattering probability of the atoms
and reflection high-energy electron diffraction are discussed in 3.2.3 and 3.2.4. Chemical
surrounding the absorbing Rh atoms (see
information is mainly obtained by X-ray photoelectron and Auger electron spectroscopy, inset in (b)).
as discussed in section 3.3.

3.1.1 Basic Properties and Electron Sources


Electron microscopy and diffraction techniques make use of the wavelike nature of mat-
ter, which was postulated by L. de Broglie in 1925 (Nobel Prize in Physics in 1929). The
de Broglie wavelength MdB of electrons is given by
h h 12.26
MdB    (14)
2mW 2meV V [V ]
In Eq. (14) h denotes the Planck constant, m the electron mass and W  eV the kinetic
energy of the electrons given by the electron charge e and the acceleration voltage V. Typ-
ical values of Mdb are 1.73 at 50 eV kinetic energy and 0.027 at 200 keV, which dem-
onstrates that atomic distances can be resolved by electron diffraction.
The principle of an electron gun, which is needed for most of the techniques dis-
cussed in this chapter, is shown in Figure 18. The electrons can be produced by thermal
Figure 17: Inelastic mean free paths of
emission from a filament, by field emission or by a combination of both. The key param-
electrons in a metal defining their
eters of the electron source are the virtual source size, the brightness (emission cur- information depth. The increase at small
rent/source area/angular volume element) and the energy spread of the emitted electrons. energies is due to the fact that the energy of
The source size (and shape) determines the resolution, the brightness is equivalent to the the electrons is not sufficient for inelastic
intensity of the beam, and a large energy spread causes chromatic aberrations at the excitations inside the solid. Therefore, no
lenses. The brightness varies between 106 Acm2sr for LaB6 tip filaments used in con- scattering takes place.
ventional low-energy electron diffraction setups and 109 Acm2sr for cold field emitter
cathodes employed in scanning transmission electron microscopes.

243
II Technology and Analysis

the islands is 4.51010 cm2 and the lateral island size is 17.5 o 0.5 nm. The challenges in
the growth of these semiconductor islands are to grow islands of desired size and density
and with a high size uniformity. As in the case of the 2D islands a higher growth temper-
ature generally leads to the formation of larger islands, a higher growth rate leads to the
formation of smaller islands. The size of the islands increases with coverage. Often the
density of the islands saturates in an early stage of the growth. These are general trends;
details may depend on the material system and the particular deposition technique. In
some cases (self-limiting growth) the size of the islands saturates and the density
increases with coverage. This kind of growth mode leads to a high size uniformity of the
islands. The size uniformity achieved in self-organized growth of semiconductor islands
can be as small as a few percent. The confinement of charge carriers in nanoscale islands
in all three directions gives rise to atomic like energy levels. Quantum dot lasers operating
at room temperature have now been realized [11]. The islands grown on a flat substrate
are usually not ordered laterally due to the random nature of the nucleation process. In
the following it will be shown how nucleation at specific sites can be achieved.

Lateral Positioning of Nanoislands by Growth on Templates


An example of ordered nucleation at a prestructured substrate is shown in Figure 15a
[12]. Here Ge islands nucleate above dislocation lines. When a SiGe film is grown on a
Si 001
substrate, dislocations form at the interface between the SiGe film and the sub-
strate. The driving force for the formation of the dislocations is the relief of elastic strain
which arises due to the different lattice constants between the Si substrate and a Ge/Si
film on this substrate. During annealing the dislocations form a relatively regular net-
work, due to a repulsive elastic interaction between the dislocations. The preferred nucle-
ation of Ge islands above the dislocation lines (Figure 15a) can be explained by local
stress relaxation above the dislocation lines providing a lattice constant closer to the Ge
one. The nucleation does not occur randomly at the surface, but nucleation occurs simul-
taneously at sites which have the same structure. This leads to a more narrow size distri-
Figure 15: bution than that for the growth on unstructured Si 001
substrates (Figure 15b).
(a) Ordered nucleation of Ge islands on a
template which is pre-structured by an
underlying network of dislocations. Monolayer Thick Wires at Step Edges
(b) Germanium islands grown on a substrate Monolayer high steps of the substrate surface can be used to fabricate Ge nano wires
without dislocations [12]. Image sizes using step flow growth. Pre-existing step edges on the Si 111
surface are used as tem-
10 m. plates for the growth of two-dimensional Ge wires at the step edges. When the diffusion
of the deposited atoms is sufficient to reach the step edges, these deposited atoms are
incorporated exclusively at the step edges and the growth proceeds by a homogenous
advancement of the steps (step flow growth mode [5]). If small amounts of Ge are depos-
ited the steps advance only some nanometers and narrow Ge wires can be grown.
A key issue for the controlled fabrication of nanostructures consisting of different
materials is a method of characterization which can distinguish between the different
materials on the nanoscale. If the Si 111
surface is terminated with a monolayer of Bi it
is possible to distinguish between Si and Ge areas by their apparent height in STM images
[13], [14]. Bi, which was deposited initially, always floats on top of the growing layer.
Figure 16a shows a STM image after repeated alternating deposition of 0.15 atomic lay-
ers of Ge and Si, respectively. Due to the step flow growth Ge and Si wires are formed at
the advancing step edge. Both elements can be easily distinguished by the apparent
heights in the STM images: the height measured by the STM is higher on areas consisting
of Ge (red stripes) than on areas consisting of Si (yellow stripes). The apparent height of
Ge areas is _0.1 nm higher than the apparent height of Si wires (Figure 16b). The cross
section of a 3.3 nm wide Ge nanowire contains only _20 atoms (Figure 16c). The width
of the wires can be easily tuned by different amounts of Ge and Si being deposited. In this
way single-digit nanometer wide nanowire arrays can be fabricated [14].

Figure 16:
(a) STM image of two-dimensional Ge/Si
nanowires grown by step-flow at a pre-existing
step edge on a Si 111
substrate. Si wires
(yellow) and Ge wires (red) can be
distinguished by different apparent heights.
(b) The cross section across the nanowires.
(c) Atomic structure of a Ge wire on the Si
substrate capped by Bi. The cross section of the
Ge wire contains only _20 Ge atoms [13], [14].

312
Bottom-Up Approaches by Self-Organization Techniques
13
4 Molecular Self-Assembly
4.1 General Aspects of Molecular Self-Assembly
Molecular self-assembly uses the spontaneous assembly capabilities of molecular build-
ing blocks to build up regular arrangements, that is, the capability to rearrange continu-
ously until a complete ordered monolayer of molecules is formed. The term
self-assembled monolayer (SAM) describes originally according to Whitesides [15] a
two-dimensional film with the thickness of one molecule that is attached to a
well-defined solid surface through covalent bonds. However, also physisorbed monolay-
ers can be considered as a class of SAMs since they meet the criteria of a self-assembly
process. The non-covalent bonds formed in this case between molecules and the surface
can be based on van der Waals forces, charge transfer or dipole-dipole interactions. Over-
all physisorbed monolayers are more dynamic than chemisorbed SAMs due to the con-
siderably weaker interaction between molecules and substrate. Molecular self-assembly
is controlled as well by kinetic effects, as thermodynamic conditions, as also by the prop-
erties of the molecular building-blocks. However, the experimentalists aim to exclude
kinetic effects by choosing low deposition rates to achieve thermodynamically stable
structures.
Bare surfaces of metals and metal oxides tend to adsorb organic materials because
these adsorbates lower the free energy of the interface between the respective material
and the ambient environment. The character of the chemical bond between the adsorbed
molecules and the metal surface determines the self-assembly process, the interfacial
electronic contact and the strength of the geometrical fixation. Covalent bonds are estab-
lished, if there is a significant overlap of the electron densities of the molecules and the
metal resulting in a strong electronic and structural coupling. The spontaneous formation
of SAMs on substrates through covalent bonds requires organic molecules with a chem-
ical functionality or headgroup and a specific affinity for a selected substrate. There are
a number of headgroups, which bind to specific substrates forming directed covalent
links. One frequently used covalent link is the bond between a thiol group on the molec-
ular side and a noble metal substrate. Gold is favorable due to its proper nonoxidizing sur-
face, but thiol or selenol bonds are also possible for Ag, Pt, Cu, Hg, Ge, Ni and even
semiconductor surfaces. The reason for the great success of the S-Au bond is its good sta-
bility at ambient temperature and the ease of reorganization to form an ordered array.
These putative opposed effects are in line with the idea, that a thiolate forms a stable bond
to an Au-atom and both build together a new entity, which is able to diffuse on the gold
surface easily. Thus the ability, of for example alkanethiols, to rearrange is based on the
mobility of substrate atoms.
Besides the prominent thiolates other functional molecules, like alcohols (ROH) or
acids, have been demonstrated to form organized monolayers on metals or metal oxide
surfaces, like Al2O3, TiO2, ZrO2, or HfO2. SAMs of alkylchlorosilanes (RSiCl3) and
other silane derivatives require hydroxylated surfaces as substrates for their formation.
The driving force for this self-assembly is the in situ formation of polysiloxane, which is
connected to surface silanol groups (-SiOH) via robust Si-O-Si bridges [16]. These
monolayers have successfully been prepared on substrates like silicon oxide, aluminium
oxide, quartz, glass, and mica. Notable advances also have been made by coupling alk-
enes and alkines on Si and Si-H surfaces. Covalent coupling of vinyl compounds on H-ter-
minated silicon yields very stable Si-C covalent bonds [17]. A method for the direct
assembly of arylgroups on silicon and gallium arsenide has been developed by using aryl
diazonium salts. There is a spontaneous ejection of N2 and direct carbon-silicon forma-
tion [18]. But the C-Si bonds are so strong that a facile rearrangement in order to form a
highly ordered SAM is implausible.
Planar molecules with extended Q-systems have been found to physisorb on sur-
faces, that is highly oriented pyrolytic graphite (HOPG), Au 111
, Cu 110
etc., in a
flat-lying geometry. This allows functional groups at the molecular periphery to approach
each other easily and to build up intermolecular interactions, predominantly like hydro-
gen bonds and metal-ligand interactions. If the molecules are mobile enough to diffuse
on the surface the intermolecular interactions will guide the adsorbed molecules into
two-dimensional supramolecular systems (supramolecular chemistry  the chemistry of
the intermolecular non-covalent bond [19]). By adjusting the molecular backbone size
and the position or number of the functional recognition groups complex supramolec-
ular nanostructures can be designed [20].

313
II Technology and Analysis

Figure 17: Schematic


diagram of a SAM with
the characteristic features
highlighted.

4.2 Competing Interactions in SAM Formation


The competition between the building block mobility on the surface and the strength of
the admolecule-surface interaction is a critical issue for self-assembly. Furthermore, the
chemical design of the non-covalent interactions between the molecular building-blocks
plays an important role in determining the final structure of the SAM. It is certainly desir-
able to have a stable adsorption state for the molecule, however, if this interaction is too
strong, it will inhibit the diffusion and self-assembly processes.
In the case of sufficient admolecule mobility complex hierarchical structures are
formed during self-assembly involving multiple energy scales and multiple degrees of
freedom (Figure 17). The 2D arrangement of organic molecules on a surface is deter-
mined in a first level of organisation by the footprint of the molecule, the nearest-neigh-
bour distances of the surface atoms, and the chemical bond formation of the molecules
with the surface. The resulting two-dimensional density of the molecules on the surface
may not correspond to the density the same molecules can attain in the crystalline form.
To further minimize the free energy of the organic layer non-covalent interactions
between adsorbed molecules, such as van der Waals forces, hydrogen bonds, or electro-
static interactions, are optimized in a second level of organization. Intramolecular con-
formation changes like bond stretches, angle bends, or torsions and surface
rearrangements of the substrate are performed in a third level of organization. The bal-
ance of these forces determines the specific molecular arrangement while the driving
force is the minimization of the global energy until the thermodynamically stable struc-
ture is formed.
However, as discussed for atoms deposited on surfaces in Sec. 2, the thermodynam-
ically stable structure may not be reached experimentally; instead the real system might
be trapped in a kinetically limited state. All relationships discussed for atoms can by also
applied for molecules forming a SAM. In situations of high diffusion rate, but low depo-
sition flux, the molecular or atomic building blocks will arrive slowly on the surface and
will have an opportunity to sample many sites on the surface before encountering another
molecule. In the case where the flux rate is high compared to the diffusion rate, many
molecules arrive at the surface quickly and there is little time for them to sample much of
the surface before encountering another admolecule. The latter kinetically limited assem-
bly process is referred to as self-organization. Adjusting the temperature and the molec-
ular flux are appropriate means to transfer the SAM forming process from a kinetically
to a thermodynamically controlled process.
Figure 18: Large area UHV-STM images
of dodecanethiol SAMs on Au 111
:
(a) grown from solution, many 4.3 Principles of SAM Preparation
dodecanethiol domains are visible;
For the deposition of a SAM a high quality surface with a very low surface roughness is
(b) grown from vapour phase. The domains
extend over the whole gold terrace. needed. For fundamental studies of SAMs by UHV-methods single-crystal metal sub-
strates provide the highest quality with respect to surface roughness, orientation, and
cleanliness. These substrates result in densely packed SAMs of highest order. As pseudo
single crystals thin films of metals on freshly cleaved mica are commonly used. Gold
films grow epitaxial with a strongly oriented 111
texture on the 100
surface of mica
by thermal evaporation at low rates [21].
314
Bottom-Up Approaches by Self-Organization Techniques
13
There are different methods to prepare SAMs and we will outline the most common
ones. For deposition from solution phase a clean, freshly prepared substrate is immersed
into a highly diluted solution of the corresponding organic molecules. Already after a few
minutes immersion time a dense molecular monolayer is built, but to ensure that the film
reaches equilibrium the substrates are kept in solution for several hours to allow reorgan-
isation (Figure 18). Especially the structure of the adsorbate determines the highest
achievable density of the respective SAM on a given surface. In various cases a SAM
cannot be formed at all due to stoichiometric hindrance. The other parameters, like sol-
vent, temperature, concentration, immersion time etc., should be chosen adequately to
reach the best possible result. The advantages of this method are the simplicity of equip-
ment and the ease of preparation.
In the case of vapour phase deposition vacuum systems with base pressures in the
range of 105 to 107 mbar are used. The amount of deposited molecules is controlled by
the pressure, the temperature and the time. Vapour deposition has the advantage that
absolutely clean surfaces can be used, a good control of the amount of deposited mole-
cules is possible and the SAM can be transferred to an analyzing tool without breaking
the vacuum. Applying this method submonolayers and highly ordered monolayers of
extreme size can be created (Figure 18).
To form a Langmuir monolayer amphiphilic molecules are dissolved in a volatile
organic solvent and a small amount of the solution is spread on a liquid surface (water).
By the time the solvent evaporates and the molecules form a two-dimensional gas on the
water surface. A moveable barrier compresses the surface layer until a dense molecular
monolayer is built. This LangmuirBlodgett film is then transferred by vertical or hori-
zontal lifting onto a solid substrate.
The solvent evaporation method starts from a diluted solution of the respective mol-
ecules. A small volume of this solution is deposited onto the substrate by drop casting or
spin coating. The molecular self-assembly process on the substrate is determined by the
wetting properties of the solvent and the evaporation time, which can be tuned by tem-
perature or vapour pressure.
A reduction of the number of vacancy islands and an enlargement of the domain
sizes due to Ostwald ripening is achieved by annealing of the SAMs in the diluted solu-
tion of their molecules and/or at elevated temperatures in a post-deposition treatment.
This behavior is explained by an intralayer diffusion of monovacancies towards larger
holes, which grow at the expense of smaller ones. Furthermore, some vacancy islands dif-
fuse towards the gold step edges and annihilate there, which explains the decrease in area
occupied by vacancy islands. Besides this the conformational defects in the SAMs
decrease resulting in a higher order.

4.4 Two Dimensional Molecular Monolayers


The most studied and probably best understood SAM is the full-coverage phase of
alkanethiols (R-SH) on Au 111
surfaces. The adsorbing species on the gold surface is
the thiolate (RS) while the hydrogen atoms are desorbed in form of H2 molecules, the
gold surface acts as catalyst. The Au-S bond which is formed and that anchors the SAM
is a strong homolytic bond with the strength on the order of ca. 200 kJmol. The alkane-
thiols are stabilized by van der Waals interactions between adjacent molecules. These
induced dipole-dipole interactions are proportional to the alkyl chain length
(_4.0 kJmol of stabilization to the SAM for each methylene group) and are responsible
for the degree of order in the SAM.
A number of studies of alkanethiolate monolayers on gold show that the formed
structure is commensurate with the sulphur atoms occupying every sixth hollow site on
the Au 111
surface. The symmetry of the alkanethiolates is hexagonal with a
3q3
R30n structure relative to the underlying Au 111
substrate, a S-S spacing of Figure 19: Schematic diagrams of two possible
0.4995 nm and a calculated area per molecule of 0.216 nm2. The alkanethiols are tilted phases of the alkanethiol superstructure; the
_30n off the surface normal and the hydrocarbon backbones are in all-trans configura- 3q23
unit cell and the c 4q2
superlattice
tion. Additionally, the alkanethiolates on Au 111
surfaces exhibit a c 4q2
superlattice unit cell are outlined;
(a) E-phase with two heights of alkanethiols and
which is characterized by a systematic arrangement of molecules showing a distinct
(c) [-phase with an arrangement of alkanethiols
height difference [22]. Five different c 4q2
superstructures with two, three, or four dif- corresponding to four apparent heights.
ferent heights of alkanethiols are known, two of them are shown exemplarily in Corresponding high resolution UHV-STM
Figure 19. The height differences in STM images are believed to be due to different con- images of dodecanethiol on Au 111
with the
formations of the molecules. superstructure clearly visible;
Highly ordered self-assembled monolayers can be built up easily from alkanethiols. (b) E-phase and
But the structure of SAMs is affected directly by any sterically demanding top-end group. (d) [-phase with c 4q2
superlattice indicated
The size and the chemical properties of additionally introduced surface functionalities [22].
may reduce the monolayer order.
315
II Technology and Analysis

Figure 20:
(a) Schematic of benzoate bonded with two
oxygen atoms (red) to the outermost surface
row of Cu 110
(brown),
(b) high resolution STM image of benzoate
assembled on Cu 110
forming molecular rows
in <001>-direction; the c 8q2
supercell is 4.5 One Dimensional Molecular Structure
indicated,
(c) schematic showing the arrangement of Carboxylic acids are known to link, after deprotonation, with the carboxylate moiety to
benzoate (light grey) on the Cu 110
surface, copper or nickel surfaces forming ordered self-assembled monolayers. Both oxygen
the c 8q2
supercell is indicated [23]. atoms in the carboxylate group are equidistant to the surface and build up a rigid, upright
adsorption geometry, like observed for formic, acetic and thiophene carboxylic acid.
Combining this molecular property with a patterned substrate surface, like Cu 110
, adds
up in one-dimensional molecular rows. In Figure 20 the close-packed c 8q2
surface
structure of benzoate molecules binding on top of two copper atoms on the outermost sur-
face layer rows is depicted [23]. In this self-assembly process the inorganic nanostruc-
tured template takes over the role to direct the carboxylates to predefined adsorption sites.

4.6 Molecular Networks based on Coordination Bonding


Supramolecular structures built by strong metal-ligand interactions, coordination bond-
ing, are of high stability and offer the possibility of directionally-defined interactions.
Coordination bonding in surface structures has been shown to be an efficient way to
develop a variety of surface patterns using various metal species and coordinating
ligands. Extended network structures have been formed by utilizing Fe, Cu, Co, and Mn
metal centers [24]. The size and shape of the molecular ligands can be used to determine
the final network structure of the assembly, for example square, honeycomb, or linear. A
variety of two-dimensional networks is formed by a system based on iron (Fe) and aro-
matic dicarboxylic acids in different relative concentrations on copper surfaces. Mono-
nuclear metal-carboxylate clusters are obtained from one Fe centre per four tricarboxylic
acid molecules (TCA) on Cu 100
surfaces [25]. The Fe TCA
4
complexes form large
highly ordered arrays which are supposed to be stabilized by substrate and weak hydro-
gen bonds between neighbouring complexes (Figure 21a). A perfect arrangement of the
Fe ions results, which cannot be achieved by top-down methods.
A completely different network is obtained when two Fe atoms per three dicarboxylic
acid molecules (DCA) are deposited onto the Cu 100
surface. The resulting array can be
described as a ladder structure forming a regular array of nanocavities [26] (Figure 21b).
The ladders are formed by metal-ligand interactions while the connections between the
ladders are formed by hydrogen bonds. If one Fe atom is deposited per linker molecule a
fully interconnected metal-ligand two-dimensional network results [27] (Figure 21c). Fur-
thermore, the size of the resulting nanocavities in the network can be tuned using dicar-
boxylic acids of different length as linker molecules between the Fe centres.

4.7 Molecular Transistor based on Supramolecular Assembly


An example of a molecular transistor device based on a supramolecular assembly is given
by Rabe and co-workers [28]. They used a hexa-peri-hexabenzocoronene (HBC) deriva-
tive, which has the function of an electron-donor, with six electron accepting anthraqui-
Figure 21: Supramolecular assembly of nones (AQs) attached symmetrically. The resulting HBC-AQ6 molecules (Figure 22a)
Fe-carboxylate coordination systems on were investigated at the HOPG/solution interface, where they form monolayers with an
Cu 100
substrates. STM images and ordered structure. The identification of the conjugated HBC cores and the attached AQ
schematic models: molecules as well as the recording of the I-Vs through HBC cores, AQs and alkyl chains
(a) mononuclear complexes [25], was possible by STM/STS.
(b) ladder structure [26],
(c) coordination network [27]. In a next step the frontier orbitals of the HBC-AQ6 molecules were intentionally
changed in order to vary the electron transmission properties of the HBC cores. This was
done by addition of 9, 10-dimethoxyanthracene (DMA) to the solution. DMA is an elec-
tron-donor which is known to build a charge-transfer complex with AQ. It is remarkable
that two different I-V curves through the HBC-core are observed, depending on whether

316
Bottom-Up Approaches by Self-Organization Techniques
13

Figure 22:
(a) Chemical formula of
hexa-peri-hexabenzocoronene (HBC)
decorated with six anthraquinone (AQ)
functions.
(b) STM current image of HBC-AQ6
molecules with coadsorbed charge
transfer complexes.
(c) I-Vs through HBC-cores in domains
where charge transfer complexes are
adsorbed or no charge transfer
complexes were present.
(d) Schematic of a prototypical
single-molecule CFET [28].

or not charge transfer complexes are coadsorbed next to HBC. This set-up can be
regarded as a single-molecule chemical field-effect transistor, since the change in the
I-Vs results from the chemical formation/solution of a charge-transfer complex ( gate)
which alters the electron transmittance through the covalently attached HBC (  channel)
(Figure 22). Despite the fact, that the gates cannot be addressed selectively and the device
structure changes simultaneously with the electron transmission properties, this approach
is a major step towards monomolecular electronics with a whole transistor integrated in
one molecule.

5 Self-Organized Systems of High Complexity


and Hybrid Methods
5.1 Lipid Assembly
Self-assembled structures based on solvophilic and solvophobic effects often exhibit a
dynamic nature and mechanical flexibility. Prominent examples are lipid bilayer struc-
tures formed in aqueous phases from naturally-occurring, amphiphilic lipids [29]
(Figure 23). However, synthetic lipids consisting of individually tailored, hydrophobic
alkyl tails and polar, hydrophilic head groups are also shown to form aqueous bilayer
structures. Moreover, the introduction of hydrogen-bond forming units into an
amphiphilic structure often leads to the formation of higher-order structures with unique
shapes through self-assembly processes [30] (Figure 23). These structures include
micelles, vesicles, rod-like structures, and sheets. Even helical ribbons or tubes can be
formed by twisting and rolling of sheets.

5.2 Combination of Lithography and Self-Organized Epitaxial


Growth
In hybrid methods self-organization is combined with lithographic patterning. In this
approach self-organization is used to form nanostructures on a smaller scale than the one
accessible by lithography. Most importantly, the hybrid methods provide a direct contact
of nanostructures formed by self-organization to mesoscopic lithographically patterned
structures. The self-organized growth of Ge islands in oxide holes is shown in
Figure 24ad. The starting surface is a silicon substrate with a thin oxide layer at the sur-
face. Electron lithography is used to remove the oxide and to form holes of a diameter of
0.5 m where the bare Si surface is exposed [31]. Self-organized growth of Ge leads to
the formation of Ge islands which can be smaller than the size scale of the electron beam
lithography. The CVD growth of Ge by GeH4 is selective, that is, Ge is only growing on

317
III Logic Devices

0 w M m, which are separated by a potential barrier. The thermal fluctuations correspond


to excitations in the potential well and may be seen as attempts to overcome the potential
barrier. The statistical nature of this process in nanoislands has been directly visualized
in spin-polarized scanning tunneling microscopy [4]. The attempt frequency fa is of the
order of fa _ 1091011 Hz. The barrier height EB preventing the particle from switching
between the 0 and 1 states depends on the uniaxial anisotropy Ku and the particle vol-
ume V, that is EB  KuV. As a consequence, a particle from a material with a high mag-
netic anisotropy, for example CoPt, is more stable against thermal fluctuations and can
be made smaller. Given the attempt frequency fa one can calculate the probability for a
flip from state 0 to 1 and the resulting decay time of the state as
1 E
U exp B (4)
fa kBT
This result can be compared with a critical time span during which the magnetic infor-
mation is required to be stable, thereby setting boundary values for the quantities Ku and
MS. In magnetic data storage technology, this time span is usually set to about 10 years.

2.2.2 Magnetic Clusters and Molecules


The superparamagnetic limit is an intrinsic property of an isolated magnetic particle.
There have been proposals to overcome the superparamagnetic limit by means of cou-
pling the particle to another magnetic system with a suffiently high ordering temperature.
One of the approaches involves the so called exchange biasing mechanism which appears
at ferromagnet/antiferromagnet interfaces [5]. In the simplest picture an antiferromagnet
has opposite spin orientations on neighboring lattice sites resulting in a vanishing net
Figure 6: The two principal types of
magnetic moment for the unit cell. At the surface of the antiferromagnet, this local spin
magnetic domain walls in the bulk. compensation is no longer guaranteed because of missing nearest neighbors. As a result,
(a) Bloch wall and the surface may carry uncompensated magnetic moments which are strongly
(b) Nel wall. exchange-coupled to the bulk antiferromagnet. If now a ferromagnet is brought into
direct contact with this surface, the uncompensated moments will also strongly couple to
the ferromagnet, thereby influencing its magnetic behavior. In reality, the magnetic cou-
pling and resulting spin structure at the ferromagnet/antiferromagnet interface is much
more complicated, of course, and depends sensitively on the morphology of the interface,
its crystallographic orientation, and the magnetic properties of the constituents [6], [7].
In Ref. [5] the system is comprised of 34 nm Co particles in a CoO matrix. These parti-
cles were found to be ferromagnetic up to the Nel temperature of CoO which is
TN  290 K. If the same particles were incorporated into a paramagnetic matrix (Al2O3)
the blocking temperature was found to be only around TB x 10 K. The coupling of the
ferromagnet to the antiferromagnet leads to an increase of the effective magnetic anisot-
ropy acting on the particle and thereby pushes up the magnetic ordering temperature. In
this way even smaller magnetic particles and clusters may be magnetically stabilized
above room temperature, if an antiferromagnet with TN  300 K is employed.
Another class of very small magnetic particles is constituted of magnetic molecules.
These structures are often composed of one or several metal ion centers linked by organic
ligands. The metal ions carry the magnetic moment. Magnetic molecules are prepared via
chemical (metalorganic) synthesis routes, which has the advantage of producing a large
number of identical particles, unlike magnetic clusters and nanoparticles, which are usu-
ally prepared by physical methods. An archetype of a magnetic molecule is Mn12-acetate
(Mn12-ac) which contains 12 Mn-ions in a partially antiferromagnetic coupling. The total
magnetic moment of the molecule is 20 NB (corresponding to a total spin of S  10). On
the one hand, magnetic molecules exhibit facinating properties such as the quantum tun-
neling of the magnetization [8]. On the other hand, they share a property with the nano-
particles a low magnetic ordering temperature. In principle, one may consider the same
trick discussed above and couple the magnetic molecule to another extended magnetic
system. The situation is different from above, however, in that the spin-carrying metal ion
centers are usually encaged by the ligands, which prevents a direct exchange-interaction
between the metal ions and the external magnet. Instead, the interaction will be mediated
via the ligands as has been demonstrated for iron porphyrin molecules adsorbed on thin
Co or Ni films [9]. The experiments revealed a clear ferromagnetic coupling of the iron
Figure 7: Magnetic domain walls centers to the ferromagnetic substrate. The Fe-porphyrin molecule contains a single
in magnetic thin film strips. Fe(II) ion which is connected through 4 nitrogen atoms to a cage of 8 ethyl-type ligands
(a) Transverse and (Figure 5). According to electronic structure calculations the magnetic moment of the Fe
(b) vortex wall. center is of the order of 2 NB and the coupling to the ferromagnetic substrate is mediated
via the nitrogen 2p orbitals through a 90n superexchange interaction. This illustrates that
magnetic molecules maybe embedded in a proper magnetic environment can in prin-
ciple offer interesting perspectives in storage and logic concepts.
436
Spin-Based Logics Principles and Concepts
19
2.3 Magnetic Domain Walls
A magnetic domain wall is the transition region between two domains D1(M1) and
D2(M2) and provides a continuous rotation of the magnetization vector from M1 and M2.
It plays a central role in magnetization reversal, as many reversal processes occur via
nucleation of domains and subsequent motion of domain walls. One distinguishes two
principal types of domain walls: the Bloch wall and the Nel wall (Figure 6). In a Bloch
wall the magnetization vector rotates within the wall plane, that is there is no magnetiza-
tion component perpendicular to the wall. By contrast, the magnetization vector in the
Nel wall rotates perpendicular to the wall plane. The size of a domain wall may range
from a few hundred nanometers down to a few nanometers and depends mainly on the
magnitude of the exchange interaction A and the anisotropy constant K, that is mate-
rial-dependent quantities. In the simplest case the domain wall width for a simple 180n
Bloch wall in a uniaxial material may be given by
A
dBW  Q (5)
Ku
This expression reflects the competition between the magnetic anisotropy energy
which favors a magnetization direction along the easy axes of the ferromagnet and the
exchange energy that wants to align neighboring spins parallel. Note that for the 180n
wall there is in principle no preference for the sense of rotation of the magnetization in
the wall. In this case a clockwise or counterclockwise rotation is energetically equivalent.
At the surface of a crystal the magnetization vector in the Bloch wall has a compo-
nent perpendicular to the surface, that is the wall creates magnetic surface charges which
are connected with a dipolar stray field. This becomes particularly important in systems
of reduced dimensions. Nel has shown in fact that in thin films a Bloch wall becomes
instable because of the large dipolar energy caused by the surface charges. Therefore, in
thin films often Nel walls are found with the wall width being given by

K N0 M S2 (6)
d NW  Q
A 2A
The rotation of the magnetization vector in the Nel wall creates magnetic volume
charges. Consequently, the expression for the domain wall width contains a term describ-
ing the dipolar energy. The wall is stable, however, because the volume charges have a
smaller stray field than surface charges. Comparing the expressions Eq. (5) and Eq. (6) it
becomes clear that for the same material parameters, Nel walls are narrower than Bloch
walls.
With respect to applications in magnetic memory and logics domain walls in mag-
netic nanowires have received considerable interest. These nanowires are artificially pat-
terned entities of about 10 nm thickness, 50100 nm width and several 10 m length. The
shape anisotropy of these wires creates a magnetic easy axis in the wire long axis direc-
tion that defines the stable orientations of magnetization. This yields the desired uniaxial
magnetic system that we need to define logical 1 and 0. In these nanowires there are
domains of opposite magnetization directions which are separated by a transition region
through which the magnetization must rotate by 180n (Figure 7). Several theoretical and
experimental investigations have shown that in such nanowires two distinct types of
domain walls can exist: the transverse wall and the vortex wall [10], [11]. The transverse
wall forms the basis for the domain wall logics discussed in Sect. 4.

2.4 Magnetic Vortices


A magnetic structure on a yet smaller length scale is the magnetic vortex, or more pre- Figure 8:
cisely the vortex core. Let us look at the magnetization configuration in a thin circular (a) Magnetic vortex in a circular thin platelet. The
disk of a soft magnetic material with in-plane anisotropy. In general, the system will inplane component of the magnetization
reduce the magnetostatic energy by the formation of domains. Below a certain size of the distribution (mx, my, indicated by the arrows)
rotates smoothly around a center region, in
disk, however, the energy associated with the creation of domain walls is too high and the
order to minimize the magnetic stray field
system switches to a configuration, in which the magnetization curls into a closed loop outside the element.
around the center of the disk a vortex (Figure 8). At each point along the circumference (b) Vortex core in the center of the platelet. The
of the disk, the magnetization vector has only a tangential component, thereby avoiding magnetization vector turns out of the plane in a
stray field components outside the disk. nanometer-sized region (mz v 0) due to
A particular situation occurs in the center of the disk, where the magnetization vector exchange interactions and can point up or down
would be forced to rotate on a nanometer length scale. Such a magnetic structure is coun- for the same sense of rotation of the in-plane
teracted by the exchange interaction which strongly favors a parallel orientation of neigh- component.
boring magnetic moments. In order to avoid a large exchange contribution to the total

437
III Logic Devices

energy, the magnetization vector in the center of the disk turns out of the film plane form-
ing the vortex core. For symmetry reasons the magnetization vector in this vortex core
can point either up or down, that is it has two stable states. The vortex core itself, of
course, causes a magnetic stray field. In order to confine this stray field, away from the
vortex core the magnetization turns continuously into the plane. As a consequence of
these competing interactions the vortex core measures only a few nanometers in width.
Experiments have proven that the vortex core is a very stable magnetic entity and rel-
atively high magnetic fields of a few 100 mT are needed to switch it quasistatically
between the two stable positions. It is important to note that the magnetization in the vor-
tex core does not depend on the in-plane rotation of the magnetization in the rest of the
disc. Due to these particular properties vortex cores have been proposed for applications
in magnetic memory and logics. As discussed above, however, the magnetic element con-
taining the vortex and vortex core cannot be made arbitrarily small, otherwise a transition
into a single-domain state will occur [3]. From a current perspective this puts some limits
to the packing density of vortex-core based devices.

3 Spinelectronic Building Blocks


In order to realize logic functions in a gate structure, we need to set and read back the
values of the state variables. This requirement leads us directly to more complex spin-
tronic elements, that is layer stacks, which exploit various spin transport and transfer pro-
cesses. In most cases, these layer stacks contain ferromagnetic elements the
magnetization direction of which can already be employed to represent a state variable.

3.1 Magnetic Tunnel Junctions


The charge transport through very thin insulating barriers via quantum mechanical tun-
neling may be spin-dependent. This phenomenon was discovered at low temperatures by
Jullire in 1975 [12]. It took until 1995, however, before a significant spin-dependent tun-
neling effect was successfully demonstrated at room temperature [13], [14]. These first
experiments employed a polycrystalline Al2O3 tunneling barrier and transition metal fer-
romagnets such as Fe and Co as magnetic electrodes. As discussed in Chapter 4 by Br-
gler and Grnberg the simple Jullire model relates the magnitude of the tunneling
magnetoresistance (TMR) in such a trilayer stack
%R RAP  RP
 (7)
RP RP
to the spin polarization of the density of states at the Fermi level for the left and right
electrode. The quantities RP and RAP denote the resistivity of the layer stack for parallel
(P) and antiparallel (AP) orientation of the layer magnetization vectors. Provided that the
P and AP configurations of this magnetic tunnel junction (MTJ) represent two magneti-
cally stable states they can be conveniently employed to represent the bits 0 and 1.
Note that this approach has a distinct advantage over the magnetic structures discussed
so far, as it provides a direct integrated electrical read-out of the magnetic state. For the
use in logic circuits the MTJ should have a high magnetic stability and a large TMR sig-
nal %RRP, which to some extent can be independently adjusted.
The simplest structure for a magnetic tunneling junction is a trilayer of the type
FM1/I/FM2, often also called pseudo spin valve (Figure 9a). Its functionality arises from
the fact that the coercive field HCFM2 of the FM2 layer is higher than that of FM1, that is
HCFM2  HCFM1. FM2 switches at higher magnetic fields and is therefore called the mag-
netically hard layer, whereas FM1 switches at lower magnetic fields and is termed soft
layer. In order to increase the stability of the hard layer which serves as a magnetic ref-
erence one often couples FM1 directly to an antiferromagnet exploiting the effect of
exchange biasing (Figure 9b) [7]. More advanced film structures introduce an additional
synthetic antiferromagnet (SAF). The SAF is composed of two Co-based ferromagnetic
layers separated by a very thin Ru interlayer, which establishes a strong antiferromagnetic
interlayer coupling (cf. Chapter 4). Usually one side of the SAF already forms the layer
FM1 of the magnetic tunneling junction (Figure 9c). The magnitude of the TMR signal
can be further increased by arranging two MTJs in series, that is a magnetic double tun-
neling junction whereby the central layer represents the soft layer (Figure 9d). By adjust-
ing the coercive fields of the individual magnetic components properly more than two
stable resistance states may be realized which opens the way to a multivalued logic.

438
Spin-Based Logics Principles and Concepts
19

Figure 9: Scheme of layer structures


forming magnetic tunneling junctions.
(a) pseudo spin valve;
(b) spin valve with antiferromagnetic
(AFM) exchange bias layer;
(c) spin valve with additional synthetic
antiferromagnet (SAF) sublayer;
(d) double magnetic tunneling junction.
The ferromagnetic electrodes are
magnetically soft (dark blue) or hard
(light blue).

The maximum TMR values obtained with amorphous or polycrystalline Al2O3 tun-
neling barriers reach a saturation around 70 % [15], [16]. A variety of other oxides was
tested over the years, but the breakthrough was initiated in 2001 by a theoretical prediction
of TMR values exceeding 1000 % for a single-crystalline MgO(100) barrier with Fe(100)
electrodes [17], [18]. The microscopic mechanism for this spin-dependent tunneling pro-
cess involves spin transport through selected symmetry-matched electronic states in the
metallic electrode and the insulating barrier. The experimental confirmation of TMR val-
ues in excess of 100 % at room temperature followed in 2004 [19], [20], [21]. This coher-
ent tunneling mechanism, which can be seen as a state symmetry-related half-metallicity,
has presently enabled TMR values exceeding  600 % in MgO/CoFeB-based magnetic
tunnel junctions [22].
In terms of their magnetic and electrical performance, the present generation of mag-
netic tunneling junctions is already well suited for applications in magnetic logics.

3.2 Spin Field Effect Transistor


Semiconductors provide powerful means to control the charge carrier density on small
length scales by means of electric fields statically and dynamically. This property is
directly exploited, for example, in field effect transistors (FET). On the other hand, most
semiconductor materials have only a small intrinsic spin-orbit coupling and therefore
possess long spin dephasing times, that is a spin-polarized charge carrier can travel over
long distances up to several 10 m without losing the spin information. It is therefore not
surprising that spin-based semiconductor devices were considered already in the early
days of spintronics. Maybe one of the first proposals for a spin-based semiconductor
device, a spin field-effect transistor, was made by Datta and Das in 1990 [23]. The struc-
ture of this spin FET features ferromagnetic source and drain electrodes which are con-
nected by quantum well containing a two-dimensional electron gas (2DEG), the
properties of which can be controlled by a gate (Figure 10).
During the operation of the spin FET, usually both charge and spin are affected. In a Figure 10: Rotation of the spin polarization
very simple picture, a voltage between source and drain drives spin-polarized electrons in a field-effect type device due to the
from the source contact into the quantum well. The direction of spin-polarization is Rashba effect (after [24]).
defined by the magnetization direction of the source electrode. Without a gate voltage the
electrons in the 2DEG travel to the drain contact at which they are more or less spin-scat-
tered depending on the orientation of the drain magnetization. The conductivity of the
device should therefore depend on the relative orientation of the source and drain mag-
netizations similar to the spin valves discussed above. If the gate voltage is switched
on, the channel may be opened and closed thereby increasing or decreasing the conduc-
tivity of the 2-dimensional electron gas. At the same time, however, the electric field built
up in the channel causes the spins to precess. The physical origin of this precession is a
breaking of the inversion symmetry by the presence of the electric field, which causes the
quantum well to be asymmetrically bounded. This structural inversion asymmetry gives
rise to a spin-orbit coupling-type term in the Hamiltonian denoted as Rashba-Bychkov
interaction [25]
H RB  B SO k q
z (8)
The parameter BSO describes the strength of the Rashba-Bychkov interaction and
depends on the spin-orbit coupling and the details of the crystal symmetry and the quan-
tum well structure, respectively. The direction of the symmetry breaking is given by z,
whereas k and T denote the electron wave vector and the Pauli spin matrix vector.

439
III Logic Devices

Being a rather simple device at first glance, a realization of the spin FET poses some
major challenges, which we are only starting to meet now. Its operation may be broken
down into three sequential steps: the injection of spin-polarized charge carriers from the
source into the semiconductor, the transfer of the spin-polarized electron ensemble
through the semiconductor, and the detection of the spin polarization by means of the
drain electrode. As it turns out the major obstacle for an efficient spin injection is the con-
ductivity mismatch between ferromagnetic metal and semiconductor, which strongly
reduces the effective spin polarization in the semiconductor in diffusive transport [26].
This problem can be overcome by a careful electronic design of the metal-semiconductor
interface or by inserting insulators as tunneling barriers [27], [28], [29]. Successful spin
injection at room temperature into silicon has been already demonstrated [30].
In a similar way as a MTJ a spin-FET may be employed to define a simple logical
bit by the parallel and antiparallel state of the source and drain magnetization directions,
at the same time providing an integrated read-out mechanism. The gate control of the cur-
rent spin polarization, however, may open a possibility for enhanced functionalities, that
is in multivalued logics.

3.3 Bipolar Spin Transistor


The magnetic bipolar transistor is a three-terminal device with spin-split carrier bands in
the emitter (E), collector (C), or basis (B) contacts and, in general, involves spin injection
between these contacts. The first ideas towards a magnetic bipolar transistor were related
to an all-metal device, operating as a kind of bipolar spin switch [31]. The functionality
of such a device is determined by the spin injection into and the spin accumulation in a
paramagnetic metal [32], which forms the base in a emitter-base-collector structure with
ferromagnetic emitter and collector contacts. In such a device, the charge carrier transport
is mainly of diffusive nature.
In an effort to make contact to semiconductor technology, alternative concepts
involving silicon-metal hybrid structures were developed starting with the spin-valve
transistor by Monsma et al. [33] or the SPICE (spin-polarized injection current emitter)
transistor [34]. In both configurations the aim is to modulate the current flow and to con-
trol the current amplification by the spin polarization and orientation, which can be set
via the magnetic electrode(s). An important conceptual difference to the all-metal
approach lies in the formation of Schottky barriers at the metal-semiconductor interfaces.
In order to overcome these Schottky barriers the charge carriers have to be excited and
enter the base as hot electrons, rendering the transport in part ballistic instead of diffusive.
In any case, the materials issues discussed in the context of the spin-FET, for example
conductivity mismatch, apply in the same way to the bipolar spin transistor. The intro-
duction of additional tunneling barriers, which was first suggested by Rashba [27] seems
to be a viable solution, which has enabled considerable progress in establishing a
Si-based hot electron spin transistor [29].
The concept of the bipolar spin transistor has been refined and its properties have
been modelled in more detail [35], [36], [37]. A scheme of an all-semiconductor spin
transistor is shown in Figure 11. The device can be thought of as consisting of two mag-
netic p-n junctions (emitter-basis E-B and basis-collector B-C) connected in series. The
E-B junction is driven in forward bias direction with a voltage VBE  0 lowering the
built-in potential Vbi , whereas the B-C junction is biased in reverse (VBC  0). Electrons
flow from E to B, where they either recombine with holes (dashed lines) or continue to
be swept by the electric field in the B-C depletion layer towards C. Holes contribute
mostly to the base current, IB, flowing to the emitter. This situation corresponds to the
so-called forward active regime, where the transistor can amplify currents. The current
amplification (gain) C  ICIB is typically _100 in practical (nonmagnetic) transistors.
Figure 11: Scheme of an n-p-n magnetic bipolar The magnetic base (e.g. a dilute magnetic semiconductor) has a spin-split (2q[ ) con-
transistor with magnetic base B, nonmagnetic duction band, which provides a sufficiently high equilibrium spin polarization
emitter E, and collector C. Conduction and PB0  tanh q[kB5
. While being non-magnetic, the emitter acquires a nonequilibrium
valence bands are separated by the energy gap spin polarization EPE from this spin source via spin injection. For simplicity, we consider
Eg. The conduction band has a spin splitting 2q[ ,
only the spin polarization of the electrons. In a regular transistor the gain C depends on
leading to equilibrium spin polarization
PB0  tanh q[kB5
. Depletion regions are
many factors, such as the doping densities, carrier lifetimes, diffusion coefficients, and
represented by shaded areas. Adapted from [35]. structure geometry. In a spin transistor C also depends on the spin splitting 2q[ and the
nonequilibrium spin polarization EPE in the emitter region [35]. This phenomenon is
called magnetoamplification [38]. In order to obtain effective control of C by the quantity
EPE it is necessary to efficiently inject the nonequilibrium spins at low bias all the way
from the emitter, through the base, to the collector. Once this goal is achieved the bipolar
spin transistor may be another spin-electronic device with multiple functionalities for the
control of charge and spin.

440
Spin-Based Logics Principles and Concepts
19
4 Magnetic Cellular Automata
The simplest structural approach to magnetic logics makes use of a two-dimensional geo-
metrical arrangement of magnetic clusters or nanodots and follows the principles of cel-
lular automata, hence they are called magnetic cellular automata MCA or sometimes also
magnetic (quantum) cellular automata MQCA. The size and shape of each entity is cho-
sen such as to provide a uniformly magnetized state with bistable magnetic switching
behaviour and sufficient thermal stability at room temperature. For circular Permalloy
(Ni80Fe20) nanodots, experimental investigations have shown that a uniform magnetiza-
tion state in thin film elements is indeed obtained if the size approaches the 100 nm length
scale [39]. In addition, a strong uniaxial anisotropy introduced by the elements shape
may improve the definition of the bistability. These conditions are fulfilled with thin
elliptical Permalloy elements on the 100 nm length scale.
The nanodots must be arranged into information conduits and logical gates in order
to set up functions for information processing. Such an information conduit may consist
of a linear chain of nanodots which are connected to each other by a well-defined mag-
netic interaction. Pioneering work by the Cowburn group has shown that circular mag-
netic platelets of 60 mm diameter can be efficiently coupled to each other by dipolar
fields, when the distance of the dots becomes of the order of or smaller than their lateral
extension [40].
The fundamental transfer of information on such a chain takes place in the following Figure 12: Linear chain of magnetic
manner. In the ground state all dots are magnetized in the same direction, for example, nanodots acting as an information conduit.
along the chain direction (Figure 12). This configuration may define the state 0. In order (a) Fully aligned dot magnetization;
to write the state 1 onto the chain, first the magnetization direction in a dedicated writing (b) reversal of the magnetization in an
injection pad at the end of the chain;
pad is reversed. The writing pad is coupled to the end of the chain by dipolar interactions
(c) by reversing the magnetization of the
(stray field). Due to the coupling, also the magnetization in the end dot of the chain is neighboring nanodot, a soliton (dotted
reversed, thereby propagating the information 1 into the chain. The information is thus line) is injected onto the chain;
effectively encoded in a magnetic soliton, which takes the form of a virtual domain wall (d) by applying an external field the
between the neighbouring dots. In order to propagate the information further along the soliton is driven along the conduit.
conduit, the soliton must be driven from one end of the chain to the other. This is achieved
by applying an appropriate field along the axis of the chain. In this way the entire chain
may be set to a state 1 by the soliton propagation [41]. If the chain is ending in a logical
gate structure, we can control the input of the gate by the state of the last dot in the chain.
In order for this principle to work, the magnetic switching fields and coupling
parameters in the dot system need to be carefully designed. An example for the case of
dipolar coupling between the elements is shown in Figure 15. The stable magnetization
direction is aligned with the long axis of the element. The bistable states are 1 w MR
(magnetization pointing to the right) and 0 w MR (magnetization pointing to the left).
As a next step a gate structure based on the nanodots has to be realized. A simple
type of gate is characterized by two input channels and one output channel. Nakatani
et al. have shown that a T-shape arrangement of four elliptical dots can be regarded as
a magnetic logic gate [42], [43]. Three of the dots serve as inputs (A, C, Z), whereas the
center fourth one (B) acts as the output. The resulting magnetic stray field generated by
the three input dots determines the magnetization direction and thus the logical state of
the output dot. The magnetic interplay of the four dots may be seen in Figure 13 which
reproduces the result of micromagnetic simulations. These simulations take into account
the realistic magnetization distribution in the dots which differs somewhat from a uni-
form magnetization. The dots were set to 40 nm q 80 nm in size, with 20 nm separation
in both the vertical and horizontal direction.
This gate has eight distinct magnetic configurations depending on the state of the
three input lines (Figure 13). In order to see the operation of the gate it is instructive to
consult the truth table (Table 1). We can see that the inputs A, C and the output B can be
used to represent either a NOR or a NAND operation, depending on the input on the line Table 1: Truth table for the
Z. In other words, the function of the gate can be toggled by the third input. device in Figure 13.

Figure 13: Simulation of a


magnetic gate constructed of
four elliptical dots and the
different input and output
states corresponding to a NOR
or NAND gate function
(after [43]).

441
First, we introduce definitions to describe the geometry scaling shown in Figure 15
vs. the minimum feature size F. The die size, ADS, is the total area of a memory chip
which has grown in the past, but is expected to grow only slightly during the coming
memory generations for economic reasons. Large DRAMs and processors in the year
2010 had die sizes in the range from 100 to 250 mm2. The fraction of the storage matrix
area on the chip is given by XMatrix . For the 1 Gb DRAM generation, the storage matrix
area is approx. 60 % of the total die area, i.e. XMatrix  0.6. During the next RAM gener-
ations, this value is likely to stay almost constant or to increase very slightly (Figure 15).
The area of an individual storage cell at a node of the matrix is denoted ACA, which is
given by the square of the feature size, F2, times the cell area factor, XCA, which describes
how many F 2 are needed to realize the cell:
ACA  X CA F 2 (8)
For example, on a typical 1 Gb DRAM chip a cell area factor XCA  6 is required to
realize a cell. According to the ITRS, it is expected that it will be possible to reduce XCA
to 4 in the long run (Figure 15). From these considerations one can calculate the total stor-
age capacity of a chip, i.e. the RAM capacity (bitschip) by
X Matrix ADS
RAM capacity  (9)
X CA F 2
Figure 15 indicates, that a feature size in the range of 5 to 8 nm is needed in order to
manufacture an 1 Terabit (Tb) memory within 1 cm2. This is true of all types of
matrix-based memories which are able to follow the extrapolations for XMatrix and XCA
sketched in the diagram. The storage density in bitscm2 shows the progress in technol-
ogy more clearly than the total RAM capacity.
X Matrix
RAM density  (10)
X CA F 2
In fact, the functional density of existing RAM technologies is scaled aggressively
up to the technological and physical limits. Also 3-D integration techniques (see
Chapter 34), i.e. vertical cells or multilayer stacks, as well as multilevel concepts, i.e.
several bits per cell (see, e.g., Chapter 26) are relevant which highly increase the RAM
density per projected area. For a better comparison, Figure 15 does not take 3-D concepts
and multilevel concepts into account.
In addition to the geometrical aspects, there are general trends for the electrical spec-
ifications which are independent of the specific type of memory. Since the overall perfor-
mance of a memory (energy per read or write operation, access times, etc.) are influenced
by the performance of both, the memory node (memory element plus selector) and the bit
and word lines of the array, we will briefly consider an empty array of just bit and word
lines first [21]. Assuming both the width of the interconnect lines and the separation
between neighbour lines have the same value F (Figure 16), one can easily obtain the fol-

Figure 14: Resistance-based RAM.


(a) Bit-line configuration showing the
memory cell at one node of the matrix
and the schematic current source and
the sense amplifier.
(b) Internal read-out scheme. The symbols
and signals are explained in the text.

Figure 15: Roadmap trends


of the chip and cell geometry.
The symbols are explained in
the text. The upper axis shows
the RAM density which
results from the calculations
given in the text.
Introduction to Part V
V
lowing useful parameters such as the cell area ACA, the length of the line to accommodate
a given number of memory cells, the resistance and capacitance of a line (for example,
BL) RBL and CBL. The dependence of these parameters on F is shown in Figure 17. Here
we use numbers from experimental data and extrapolations which are larger by a factor of
two to three compared to the idealistic case in Figure 16a, because the interconnect lines
on real chips are somewhat folded, and show non-square cross sections. For decreasing F
below approx. 40 nm, RBL deviates increasingly from the slope of 1 given by Ohms law
according to the FuchsSondheimer relation for ultrathin wires (Chapter 33). The bit line
capacity CBL decreases due to the shorter line for connecting the same number of cells.
The sense amplifier margin VS,min is expected to stay constant or to decrease slightly. The
product reveals the minimum charge QS which is needed to charge the bit line without
considering any additional charge which might be needed by the storage node
QS  VS,min CBL (11)
In order to reduce QS significantly, the BL may be divided into sub-BLs to reduce CBL
(at the expense of additional transistor to select the sub-BL). In addition, the operating
voltage VDD will continue to decrease for most of the memory technologies (Figure 17). Figure 16:
(a) Sketch of an empty memory crossbar array.
(b) An enlarged cross section of a bitlines BL
depicting origins of line capacitances. Modified
from Ref. [21], details are described in the
reference.

Figure 17: Overall scaling behaviour of


electrical specifications such as the BL
capacitance, the BL resistance, the
minimum signal voltage and the operating
voltage. The BL capacitance is shown for
128 storage cells per BL. Please note that
this number strongly depends on the chip
design. The voltage VDD is relevant for
DRAMs. The symbols are explained in the
text. The top scale includes the evolution of
the cell area factor given in Figure 15.

3 Physical Storage Principles of Mass Storage


Devices
This section provides a brief survey of the physical principles which have been and are used
typically for accessing the storage media. The development of the mechanically and magnet-
ically media is sketched and the basic information on optical disc based media is outlined.

3.1 Classifications
One of the most relevant classifications for the user of MSD systems concerns the write
procedure:
pre-written media are modulated during the fabrication process (such as standard
CDs, DVDs, BDs made by music and movie companies).
write-once media may be once written by the user. They are often called WORM
media (write once read many). A typical example is the BD-R (BluRay Recordable).
read/write (short: R/W media) can be re-written multiple times by the user. Magnetic
tapes and discs as well as magneto-optical and phase-change discs are common R/W
media.
A variety of physical access principles have been developed. The table in Figure 18 lists
some examples of MSD systems based on access by mechanical forces, by optical means,
by magnetic fields, and by electrical fields. Some of the systems will be described in
more detail below.
613
V Memory Devices and Storage Systems

Figure 18: Historical


and modern mass storage
devices and their
underlying physical
access principles.

Another classification concerns the exchange of media. In some cases, such as mag-
netic hard discs, the access heads and the media are manufactured as an integrated unit.
In the case of hard discs, this is required because head and media need to be mutually cal-
ibrated with extreme precision. In operation, the head is flying approximately ten nano-
meters above the disc surface. In addition, the disc has to be isolated from dust particles
by a perfectly sealed case. If dust is of lower concern and the operating distance between
the access head and medium is significantly larger, exchangeable media systems such as
floppy disc systems or CD/DVD/BD systems are built [23], [36].

3.2 Dimensionalities
The speed of access to an arbitrary position in a MSD depends on the dimensionality of
mutual motion of the access unit and the medium:
1-D access All tape-based media (magnetic tapes, punch tape) are lead in an 1-D
motion along the access head. Accessing a new position means winding the tape until
the position is reached.
2-D access Any modem disc (magnetic hard discs, optical discs) is rotated and, in
addition, the head is positioned in an radial direction. This represents a full 2-D access.
3-D access Information stored in a volume medium can be accessed optically, for
example by the depth of focus or the viewing angle. This approach is exploited in the
holographic systems described in Chapter 32.
This classification can only be regarded as a first approximation. In many cases, a kind
of fractioned dimensionality is realized. For example, the magnetic recording in the Dig-
ital Audio Type (DAT) and in video tape systems utilizes the width of the tape to expand
the area for information storage by inclined recording. Similarly, the high-density DVDs
and BDs have several layers which are accessed by different depths of focus.
Figure 19: The Jacquard loom using punched
cards to control the weaving of pattern into fabrics.
(a) drawing showing the loom with the Jacquard
system on top. 3.3 Early Mechanical Recording Media
(b) a more detailed view of the Jacquard system The first data storage media in history for direct process control were the punched cards
and the chain of punched cards [23], [24]. developed by Joseph-Marie Jacquard in 1805. The Jacquard system enabled looms to
produce fabrics with individual woven patterns (Figure 19). These patterns were encoded
on the exchangeable punched cards using designated perforation positions. Later in the
19th century, Charles Babbage developed a (never realized) plan for an Analytical
Engine, the forerunner of the modern digital computer, and he envisioned punched cards
for the input of instructions and data.
The electrical reading and sorting of punched cards was introduced by Herman Hollerith
in machines for automating the tabulation work of the census in the United States in 1890.
Hollerith founded the Tabulating Business Machine Company, to manufacture these
machines. This company later merged with other firms to become IBM in 1924. Punched
cards were used as a storage media for computers until the 1970s (Figure 20) [26]. They have
Figure 20: Picture of a punched card for feeding been complemented by punched (or perforated) paper tapes since the 1950s (Figure 21).
computer systems [25]. The total width of the card For many decades of the 20th century, record players were the most popular systems
is 185 mm. for sound reproduction. Record players consist of motor-driven turntables for carrying
614
Introduction to Part V
V
the record disc and the pick-up hold by a tone-arm. The analog sound information is
encoded in a groove impressed into the disc. It is read by moving the needle of the
pick-up as it is moving along the groove. The mechanical motions of the needle are elec-
tromagnetically or piezoelectrically converted into electric signals in the pick-up head
and passed on to the amplifier.
The scanning probe based concept (Chapter 31) can be regarded as a possible suc-
cessor of these early systems. It uses a digital coding similar to the punched cards and
tapes now on a nm-scale instead of a mm-scale, in order to obtain a very high density
data storage.

3.4 Magnetic Tapes and Discs


Compared to the mechanical storage principle, magnetic recording provided the inherent
advantage of a read/write system [28][30]. The principle of magnetic recording was first Figure 21: Perforated (or punched) tape [27]. The
demonstrated by Valdemar Poulsen in 1900, when he invented a machine that recorded width of the tape is 25 mm.
sound on a steel wire. Subsequent development led to a plastic film ribbon coated with
magnetic oxides or metal. A wide variety of magnetic tapes have been introduced for
recording sound (audio tapes), motion pictures (video tapes), and data (Figure 22).

(a) (b)

Figure 22: Magnetic tapes for data storage.


(a) Example for tape machines: Honeywell
system, 1963 [32].
(b) selection of tapes [33].

While most of the systems are based on analog recording, i.e. the magnitude of the
magnetization of the tape represents the amplitude of the signal, there is also a range of
digitally coded tape systems, such as the Digital Audio Tape (DAT) and digital video for-
mats. These systems were also used in data storage applications. Many TB of data are
stored in magnetic cartridge archives which can be accessed automatically through
robot systems (Figure 23).
Magnetic disc systems have been introduced for data storage in the 1950s. The
media are metal or polymer discs coated on one or both sides with magnetic material.
R/W heads fly over the disc surface at close distance. In hermetically sealed hard disc
drives (HDD) up to 20 discs are mounted on the spindle of a drive unit (Figure 24). In the
1970s, the floppy disc was introduced. The medium is a flexible disc and represents a
typical exchangeable medium. Because of the geometrical tolerances required by the
exchangeability and the lower protection against dust, the storage capacity is much less
than in hard discs. A HDD is mainly composed of [31]:
1) The slider carrying the magnetic read/write heads. Data are written onto the disk with Figure 23: At the Fermilab, access to huge
the inductive write element and can be read back with the MR or GMR read element. amounts of data is provided by robotic systems
The slider is mounted onto the end of a stainless steel gimbal-suspension, forming a retrieving magnetic tape cartridges [34].
so called head-gimbal assembly. The slider has a profiled surface facing the medium
that forms an air-bearing surface allowing the head to fly at a close distance above
the medium. In modern HDDs, the fly height is less than 10 nm.
2) The magnetic disks (up to 10). Todays disks are between 1 inch and 5.25 inches in
diameter. The disk substrates are made of aluminum or glass. Both surfaces are cov-
ered with thin sputtered magnetic layers. The disk typically rotates at 5,40015,000
RPM giving a relative velocity of the slider of more than 100 kmh.
3) Electronics for data detection and write circuit. A channel converts the digital data to
be stored into write currents and supplies them to the head coil and on the other hand
receives signals from the read-element and translates them to digital data.
4) Mechanical servo and control system including the spindle, actuator and voice coil
motor. It provides a means of moving the head/slider to the desired track and also fine Figure 24: Schematic drawing of a hard
adjustments to retain the head in the center of the track. disc drive (HDD) and its parts [31].

615
V Memory Devices and Storage Systems

Figure 25: Schematic illustration of the Figure 26: Geometrical format of the Compact Disc (CD).
perpendicular recording principle [31].

In modern HDD systems, perpendicular recording is used, i.e. the magnetic domain are ori-
ented perpendicular to the surface of the magnetic layer allowing for a very high bit density.
As illustrated in Figure 25 a narrow write gap is formed between P2 and a soft magnetic
under-layers which is serving as a flux return path towards the much wider P1 pole piece.

3.5 Compact Disc Based Systems


The Compact Disc (CD) was commercially introduced in the early 1980s by Philips as a
digitally coded medium for high-quality audio information. It is the root of a variety of
optical storage systems including the CD-ROM for data storage, the CD-Recordable
(CD-R) as a WORM medium, and also the rewriteable CD-R/W. To answer to the need
for higher data density the concept of optical data storage was carried on, leading to the
Digital Versatile Disc (DVD) in 1996 and to the Blu-ray Disc (BD) in 2006 [35][37].
The physical background of the CD-R/W, DVD-R/W and BlueRay-R/W concept based
on phase-change material is given in Chapter 29.
The standard CD disc is 120 mm in diameter and 1.2 mm thick. The geometry is
shown in Figure 26. The disc body is made from optical grade polycarbonate. In the data
area of the disc there is a single spiral track of pits which contain the digital information.
In the standard pre-recorded CD these pits are generated by molding the polycarbon-
ate onto an appropriate metal master. The vicinity of the pits is called land. The track dis-
tance is 1.6 m, the minimum pit or land distance along a track is 0.83 m, the maximum
distance is 3.3 m. A comparison of these spacings for the different generations of optical
storage media is given in Figure 27. A 50 to 100 nm thin layer of metal (Al, Au or Ag) is
deposited onto the modulated surface of the disc. This is followed by a polymer protec-
tion layer (10 to 30 m) and the screen-printed label.
The disc is read from the bottom by focussing a laser beam through the polycarbon-
ate body onto the track. By means of a focussing lens with a numerical aperture
NA  0.45 the incident spot entering the polycarbonate is focused from approx. 800 m
in diameter down to 1.7 m at the metal interface. It is a major advantage of the CD con-
cept that the large incident diameter greatly reduces the effect of dust and scratches on
the polycarbonate surface (Figure 28). The reading head of the CD drive consists of an
infrared AlGaAs laser with a wavelength of 780 nm (Figure 29). Due to the refractive
index n  1.55, the wavelength within the polycarbonate is reduced to approx. 500 nm.
The pits are fabricated to a quarter of this wavelength (approx. 125 nm). If the focused
laser beam hits a pit area, it will cover the pit and because its diameter is larger than the
pit width some of the surrounding land. The light reflected by the land is delayed
2 14  12 of a wavelength; i.e. it is out of phase with the light reflected by the pit
(Figure 28). These two waves interfere destructively and, hence, the total intensity of the
reflected light is significantly reduced compared to a region without a pit. The polarizer
shown in Figure 29, is needed to reflect the light into the photo detector on its way back.
Any change from pit to land and vice verse is interpreted as a logical 1, no change
means 0. In order to achieve a constant speed of reading data, the linear velocity is kept
constant at 1.3 ms. This means that the angular velocity is reduced from 500 rpm at the
lead-in to 200 rpm at the lead-out.
The read system requires at least two and at most eleven 0s between two 1s. For
this reason the byte (8 bit) data are expanded into a 14 bit code plus a 3 bit separator

616
Introduction to Part V
V

between the byte data. 24 of these 17-bit units together with three bytes for error detection
Figure 27: Track distances and pits sizes for
and correction plus some overhead are called a frame. Since the audio signal on a stan- the CD, the DVD, and the BluRay disc (BD).
dard CD is resolved by 16 bit, a frame contains six audio values per channel:
1 frame: 24 byte  6 audio values per channel
1 sector  98 frames: 6 98  588 values per section
1 second  75 sectors: 588 75  44100 values
This reveals the standard sampling rate of 44.1 kHz used for audio CD systems. In the
case of the CD-ROM, some of the data bytes per sector are used for an addition error-cor-
rection, in order to increase the data security.

Figure 28: Cross section of a CD showing the pit


and land area at the reflective metal layer and the
interaction of the laser spot.

Figure 29: Optical read system of a CD. The


polarising beam splitter leads to a linear
polarization of the light, the 14 wave plate turns
this into a circular polarization. On its way back
through the 14 wave plate the light gets linearly
polarized again, however, with a perpendicular
direction compared to the incident light. This leads
to a reflection into the photodetector array. The
autofocus system uses the cylindrical lens and the
four segments of the photodetector array.

617
V Memory Devices and Storage Systems

Figure 12: Typical current-voltage


characteristic of a Ag/Ag-Ge-Se/Pt
electrochemical metallization (ECM)
cell using a triangular voltage sweep
[42]. The ON conductance is limited
by a compliance current of 25 A.
The curve in the compliance current
state is shown as a dashed line
because the actual voltage does not
correspond to the voltage shown on
the axis. The insets A to E show the
different stages of the switching
procedure:
(A) OFF-state;
(B), (C) SET process;
(D) ON-state;
(E) RESET process.

In the initial high resistance state (OFF-state) of the cell, no electrodeposit of the
metal M is present on the inert CE (Figure 12A). Hence, in the OFF-state the cell has to
be considered as an electrochemical half cell comprising of the AE and the adjacent elec-
trolyte layer, a serial resistance representing the electrolyte film, and a serial capacitor
comprising of the CE and the adjacent electrolyte layer (Figure 13). Because of second-
ary reactions, this may turn into a complete cell which shows a dedicated open cell volt-
age (emf voltage). For more comprehensive reviews on ECM, the reader is referred to
Ref. [41][44].
Figure 13: Equivalent circuit diagram of an ECM During the SET operation (Figure 12B and -C), a positive voltage is applied at the
cell in the OFF-state [9]. ZF denotes the Faraday active electrode (here: the Ag electrode) and Ag is oxidized to Ag ions which drift
impedance of the active electrode, Ci,Ag and Ci,Pt are towards the CE because of the electric field. At the CE acting as a cathode, an electro-
the interface capacitances of the electrochemically chemical reduction and an electro-crystallization of Ag on the surface of the inert elec-
active and inert electrode, respectively. Re and Ce
trode takes place. This process results in the formation of a Ag filament, which grows
represent the resistance and capacitance of the
electrolyte. Rp denotes a parallel resistance based on
towards the active electrode until an electrical contact is established which defines the
the electronic leakage current through the cell due ON-state (Figure 12D) and where further filament growth is limited by a compliance cur-
to, for example, thermoionic emission and hopping rent Icc . To RESET the cell a voltage with the opposite polarity is applied which leads to
conduction, or tunnelling in case of very thin the dissolution of the filament (OFF-state, Figure 12A).
electrolytes.

5.2 Forming and SET Process


The electroforming process as well as the SET process is schematically sketched in
Figure 14. They involve the following consecutive steps:
1) Anodic oxidation and dissolution of M according to the reaction
M l M z ze (13)
z
where M represents the silver or copper cations in the solid-electrolyte thin film.
2) Migration of the Mz cations across the solid-electrolyte thin film under the action of the
high electric field; the migration of these relatively small cation seem to be highly facil-
itated by extended defects. A similar effect is known for Li ion conductors where the
conductivity is increased by many orders of magnitude if the system is changed from a
single crystal, via a normal and a nanocrystalline ceramics, to an amorphous state [45].
3) Reduction and electrocrystallization of M on the surface of the inert electrode CE
according to the cathodic deposition reaction
M z ze l M (14)
Figure 14: Overview of the processes which are The electrocrystallization process (14) is electric field-enhanced and leads to
relevant in ECM cells during electroforming and 4) the formation of a metal filament growing in the direction of the active electrode, lead-
SET. Details are explained in the text.
ing to an electric contact with a resistance RON limited by a compliance current Icc .
Please note that step 4 happens during the growth phase of step 3.
696
Redox-based Resistive Switching Memories
30
Figure 15:
(a) Schematic illustration of a lateral
ECM cell with Ag and Au electrodes
on Ag-photodoped As2S3 thin film on
a glass substrate.
(b) Optical micrograph of a Ag dendrite
grown from the ()Au electrode
towards to ( )Ag electrode [46]. The
original paper shows a sequence of
photos which illustrates different steps
of the dendrite growth.

The filamentary nature of the ON-state has been first reported for lateral As2S3 cells
(Figure 15) [46]. Typically only one filament will provide the contact for the ON-state,
although there may be several nuclei, because the voltage will drop immediately, when
the first filament made the contact and Icc is reached. In lateral cells, the filamentary
growth occurs always at the surface, since there the filament formation is energetically
favored compared to the mechanical stress energy required by the formation of a new
phase in a solid. In thin film MIM cells to be used as ReRAM devices, the filament must
grow through the I-layer during the electroforming and the SET operation. Here,
extended defects such as nanopores or grain boundaries are considered to facilitate the
filament formation by limiting the local mechanical stress and may be regarded as pre-
requisites for a proper ECM operation. The diameter of the filament and the strength of
the electrical contact between the filament and the AE are controlled by the level of the
compliance current Icc which is set for the operation.
As explained in Sec. 4.4, the RON value of ReRAM cells is controlled by Icc . The
range for ECM cells is particularly large [28]. From an application point of view, this
reflects excellent multilevel programming capabilities of ECM cells, since RON can be
established over several orders of magnitude. RON will be determined by the physical
nature of the filament and the contact at the AE. For low RON values, relative thick fila-
ments and a galvanic contact at the AE must be assumed. For high RON values, filaments
may have a thickness of few nanometers only and a tunnel gap may be present between
the filament tip and the AE.
We will now discuss the kinetics of the electroforming and the SET process and we
will try to identify the possible rate-limiting processes. As shown by the example given in
Figure 16a, at constant voltage sweep rate, the voltage VSET,form during the initial electro-
forming cycle is significantly higher than the SET voltage VSET for the subsequent switch-
ing cycles. Furthermore, VSET,form increases linearly with the thickness of the SiO2-film,
whereas the SET voltage for all subsequent switching events, VSET , is almost thickness
Figure 16: Currentvoltage characteristic of a
independent (Figure 16b). This behavior reveals that during the electroforming cycle, the Cu/SiO2 ECM cell showing the first
rate of the forming process (i.e. the 1st SET process) presumably is determined by an elec- (electroforming) and subsequent cycles.
tric field driven migration of Cu cations in the SiO2-film, i.e. step 2 might be the rate-lim- (a) Forming and subsequent switching cycles
iting step during this process. After the formation of the first Cu filament and its measured at a sweep rate 1 Vs on a Cu/SiO2/Pt
dissolution during the first RESET, the remaining structural template of the dissolved fil- cell with a 15 nm thick oxide layer.
ament in the film may act as a fast transport and growth path. In any case, the much lower (b) Oxide film thickness dependence of the SET
SET voltage VSET and its thickness independence observed during the subsequent switch- voltage for the electroforming, VSET,form , and
ing cycles can be explained by a path for fast ion transport and preferential filament growth subsequent switching cycles, VSET [14].
that is formed in the amorphous SiO2 film during the first electroforming cycle.
The kinetics of the SET process in the ECM cells is illustrated in Figure 17 which
shows a tSET vs. VSET plot of experimental data obtained from pulse experiments in the
ECM memory system Ag/GexSy/W. A clear exponential relationship between the switch-
ing time and the switching voltage is observed for VSET 2 0.4 V while for long switching
times a critical SET voltage seems to be approached. The pronounced exponential rela-
tionship and, in particular, a critical threshold voltage for the SET process explain, how
the voltagetime dilemma is overcome for the SET process in ECM cells. If we apply the
discussion in Section 4.3, then steps 1 to 3 may, in principle, be responsible for the non-
linear kinetics if they were the rate-limiting step. However, a detailed analysis renders
step 2 less likely to be rate-limiting [14]. This conclusion is further supported by the fact
that the SET voltage, VSET , is almost not thickness dependent as discussed above. In
step 3, the cathodic electron transfer reaction controlled by the Butler-Volmer
Equation (11) and the nucleation probability both show an exponential dependence on
the voltage and, thus, may represent the highly nonlinear rate-limiting step. Alternatively, Figure 17: Switching time versus SET voltage
this role may also be resumed by the electron transfer of the anodic oxidation step 1. It on a Ag/GexSy/W cell [47]. An exponential
should be noted that as soon as an electrical contact is made by the filament and a signif- relation is clearly observed at high voltages. For
icant current (I 2 3 A) flows, the further growth of the filament may be temperature long switching times the SET voltage seems to
assisted by Joule heating. saturate.
697
V Memory Devices and Storage Systems

The growth (and dissolution) rate r of the metallic filament according to step 4 can
be derived using Faradays law [48], [49] as:
MM
r  J M z (15)
ze &ASm,M
Here, J M z is the ionic current density, MM the atomic mass and Sm,M the mass den-
sity of the deposited metal. According to Eq. (15), the switching speed of the ECM cells
could also be determined by the growth rate of the filament. An estimation shows that a
Faradaic current of 1 A and an assumed (constant) filament diameter of 3 nm would
bridge an I-layer of 10 nm within  1 ns. For determining the resistance of very thin fil-
aments, mesoscopic effects have to be taken into account (Chapters 3 and 33).

5.3 RESET Process


The RESET mechanism will depend on the type of ON-state established during the SET
process before. As mentioned, low Icc are prone to form an ON-state in which there is still
a tunnelling gap between the tip of the filament and the AE, while larger Icc will have estab-
lished true galvanic contacts. If we start our discussion with the latter, we have two metal
electrodes bridged by one of the metals. At first glance it is not clear what processes may
lead to a dissolution of the filament and where along the filament (i.e. near which interface)
the rupture will occur. Detailed studies lead to distinguish the following consecutive steps:
1) electronic conduction in the metal filament will be accompanied by local Joule heating
with the hottest spot at the narrowest part, presumable close to the AE. Here, surface
Figure 18: Illustration of RESET process step (i)
tension at the metal surface (temperature assisted ad-atom diffusion leading to Ost-
and (ii) starting from an ON-state with a galvanic wald ripening, (see Sec. 7) may contribute to the rupture. At the surface of the filament
contact. Simulation result of the electrochemical in contact with the surrounding electrolyte electrochemical dissolution and redeposi-
dissolution of the filament is shown in (b). It occurs tion processes (Reactions (13) and (14)) take place, leading to dissolution of the (typ-
at the hottest spot along the filament due to the ically needle shaped) filament. The kinetics of the process may be strongly enhanced
strong thermal activation of the oxidation and at the hottest part of the filament (Figure 18) because of the temperature activation of
reduction process. The white lines correspond to the exchange current density (cf. Sec. 4.3) and of the drift/diffusion. The geometry
the ionic current path. Apparently, dissolution and (needle vs. plane) supports the rapture process [50].
redeposition take place at different parts of the
2) As soon as the rupture happened, the electrochemical process starts to dominate the
filament resulting in its rupture.
further RESET process, dissolving the remaining filament in contact with the CE.
While the gap is still small, considerable tunnel currents may flow in addition to the
Faradaic current [51].
3) In the last phase, there is (ideally) a complete dissolution of the rest of the filament and
of all other nuclei which might have formed on the CE during SET. By this step, a
well-defined OFF-state is re-established.
In principle, ECM would work also without step 3, i.e. with a remaining, disconnected
filament as the OFF-state. However, these cells are quite instable and show a consider-
ably lower endurance [28].
If we start from a relatively high-ohmic ON-state, which includes a tunnel gap
between the tip of the filament and the AE, the RESET process starts with step 2 and pro-
ceeds to step 3.

5.4 Variants
Numerous variants of ECM-type resistive switching systems have been reported since the
mid 1970s, some of which have only much later been identified to belong to this type.
Here we report upon the two most relevant variants.
One variant can be described as a mixed ionic-electronic conducting (MIEC) supply
system (see Figure 19). The electrochemically active electrode metal M is in intimate elec-
trochemically reversible contact with a MIEC phase where the ionic conduction is due to
Mz . The interface of this MIEC phase to the I-layer represents the active electrode in the
Figure 19: Illustration of a MIEC supply sense discussed above. Obvious the MIEC layer fulfils the purpose of a metal electrode act
ECM system. Here a Cu-based cell is shown. as a supply and reservoir for Mz ions and to provide an electronic contact. In addition, it
A mixed-ionic electronic conduction (MIEC) helps to preserve the morphology much better than a pure metal electrode, because it con-
layer is present between the electrochemically sists of additional components which are not consumed or re-precipitated while they sup-
active electrode (AE) and the resistive I-layer
port the MIEC activity. It is important to note that the electronic partial conductivity in the
of a standard ECM cell. The MIEC layer
contains the active CuE ions (compensated by MIEC system is high and any electric field will drop mainly across the I-layer while there
electrons) which are required to form the is almost no field in the MIEC layer. Ions in this layer are transported by diffusion.
metal filament during SET. Examples for A typical example of an organometallic MIEC supply ECM system is the Cu doped
MIEC layers are Cu:TCNQ, CuTex, and Cu2S. tetracyanoquinodimethane (Cu-TCNQ) MIEC layer, typically in a Cu/Cu:TCNQ device

698
Redox-based Resistive Switching Memories
30
structure with an Al counter electrode. The system has been known since the late 1970s
and it was originally believed that the resistive switching stems from an internal redox
reaction when Cu:TCNQ is formed. Later it was shown that due to the processing, an
inherent Al2O3 layer is formed on the Al electrode which is the true I-layer supporting
the switching operation [52], [53]. An inorganic variant of the MIEC supply system is
given by CuTex deposited on a doped Si electrode covered by a very thin Al2O3 I-layer
[54]. Also the traditional ECM systems based on the (mixed-conducting) Ag- or
Cu-doped GeSx and GeSex phases can be turned into a supply system by introducing a
thin GeO2 or SiO2 layer, which is much less electronically conducting and acts as an
I-layer. This measure has been used to reduce the SET/RESET currents considerably
while still obtaining stable switching.
Another important variant is called the gap-type atomic switch, which obtained its
name because of its ultimate scalabilty (Sec. 5.5). This variant also relies on a MIEC
layer forming an electrochemically reversible electrode, for example Ag/Ag2S, and an
inert counter electrode such as Pt. The original gap-type atomic switch was published by
the Aono group in 2005 [55]. It has been built from cross points of wires. During the ini-
tial processing and electroforming, a controlled vacuum nano-gap (_ 1 nm) between the
MIEC surface and the Pt is created. In a subsequent SET operation, a negative potential
is applied to the Pt electrode, which leads to electron tunnelling towards the Ag2S surface.
Ag ions in the surface are reduced and a Ag nucleus is formed, which grows towards the
Pt electrode until a metallic contact is achieved (Figure 20c). By reversing the polarity,
the device can be RESET. This type of switching can also be achieved using an STM tip
as top electrode, which is placed within tunnelling distance to a Cu2S thin film [56].
Please note that in contrast to the description of the standard ECM cell, the metallic fila-
ment grows in opposite direction, i.e. towards the inert electrode due to the presence of
the vacuum gap. The growth direction in all variants of ECM cells, in general, is predom-
inantly determined by the path which leads to the least mechanical stress when the new
metal phase is formed, in order to minimize the overall free energy of the system.

5.5 Scaling Prospects


In order to discuss the ultimate scaling limit of ECM, we consider a short chain of single
metal atoms (e.g. Ag atoms) between contacts as a cell in the ON-state. Although the Figure 20: Basics of the atomic switch as the
resistance of this system will depend on the exact atom arrangement, the Landauer quan- prominent example of a gap-type ECM cell.
tum resistance R0  h 2e2
 12.95 k serves as a useful first approximation if we (a) SEM image of the atomic switch. An atomic
assume an ideal transmission of electrons (Details are described in Chapter 3 and 33). If switch is formed at each crossing point of the
we remove just one atom from the chain in a Gedanken experiment, a barrier evolves 150 nm-wide Ag2S wire and the two Pt wires of
100 nm width [55].
which reduces the transmission coefficient (Figure 21). However, due to the image
(b) Schematic diagrams of the atomic switch.
charge effect, known as the Schottky effect, there is a very significant barrier lowering. As-deposited cell showing an Ag layer between
In addition, the gap is just one atom diameter (approx. 0.25 nm) wide. As a consequence, the Pt top electrode and the Ag2S; i.e. pristine
the tunnelling transmission probability is high and an ROFFRON  2 results. Two to three ON-state (top), switched-OFF-state after a first
atoms must be removed to obtain a sufficient ROFFRON [13]. electroforming cycle (middle), and
In search of the physical limit of the scalability of ECM cells on the experimental switched-ON-state after a SET process
side, Terabe et al. [55] have used gap-type atomic switches and they have been able to showing a Ag protrusion grown from the Ag2S
control the SET process to the level of quantized electron transmission channels (bottom); from Ref. [55] with modifications.
(c) Detailed sketch of the switching process.
described by discrete Landauer conductance levels, i.e. multiples of G0  1R0  2e2h. Tunneling electrons lead to a reduction of Ag
The theoretical calculation and the gap-type switches demonstrate, in principle, the ions on the surface of Ag2S layer. Nucleation
extreme scalability potential of ECM cells. On the practical side, one needs to consider leads to a Ag protrusion which grows towards
issues such as the electrochemical nucleation of a metal such as Ag or Cu on a different the Pt electrode. After contact, the growth
counter electrode material. In order to achieve reasonable retention times, a nucleus must continues via the sidewalls.
have a critical size. For example, a critical number of approximately 20 atoms has been
determined in a particular Ag based ECM system [58].
Another practical scaling limit is imposed by technology. Here, numerous prospects
emerge as described in Part II.
Figure 21: Estimate of the ultimate scaling limit of
ECM cells [13].
(a) atom arrangement of a short chain of metal atoms
and the contacts representing the ON-state. For
the OFF-state, atoms are removed from the chain.
(b) Barriers formed as a result of the removal of one,
two, and three atoms from a chain. Parameters for
Ag have been used, including the workfunction
G0  4.7 eV. Note that the results will change sig-
nificantly, if the switching takes place in a dielec-
tric instead of vacuum [57].

699
VI Data Transmission and Interfaces

Figure 10: Calculated filter characteristic


(without losses) of an 8-pole Chebyshev filter
(top) and a quasielliptic 8-pole filter with 4
negative cross coupling between non-adjacent
resonators (bottom) leading to two symmetric
damping poles on each side of the filter
characteristic. The quantities ]S21] and ]S11] (in dB)
represent the normalized transmitted and reflected
power, respectively, Xn frequency deviations from
the mid band frequency normalized to half of the
filter bandwidth. The damping poles results in a
significant increase of steepness of filter skirts.

Bandpass filters are composed of mutually coupled resonators. As an example, typ-


ical filters for satellite output multiplexers require steep filter skirts which can be
achieved for filters with at least four poles and one damping pole on each side (N  4 and
m  1). Figure 11 shows a possible arrangement of monomode dielectric resonators
(TE01E mode, see Figure 8). The input signal (in) from a waveguide excites the first res-
onator (Mode 1) via an overlap of waveguide fields and evanescent dielectric resonator
fields (in an equivalent circuit this would correspond to a capacitor Ckin between the input
port and a parallel LC resonance circuit composed of an inductance L0 and a capacitance
C0 with kin  Ck,inC0). Inter-resonator coupling between mode i and i 1 is accom-
plished by coupling holes or slits in the housing wall between resonator i (Mi ) and i 1
(Mi 1). This arrangement corresponds to a Chebyshev filter. The most simple way to
accomplish a damping pole in the characteristic of a four pole filter is given by an addi-
tional negative coupling between resonator 1 and 4. In Figure 11 a negative coupling is
realized by an additional waveguide segment connecting M1 and M2 via coupling holes
or slits. Negative coupling means that the field amplitude in this waveguide has to be of
opposite sign at the positions of the coupling hole, that is the length of the guide segment
has to be adjusted properly. This difficulty can be avoided by the use of dual-mode reso-
nators (HE11E mode, see Figure 8).

4.4 Acoustic resonator and MEMS/NEMS


The abbreviation MEMS stands for micro/nano-electromechanical systems, which in
Figure 11: Filter topology of spite of maturity for MEMS technology for switches represents an extensive field of
(a) a four-pole filter composed of monomode research and development [11]. For high-frequency applications there are two types of
resonators and MEMS/NEMS devices which are currently under development. At first, MEMS switches
(b) patented quasielliptic dielectric filter topology allow for fast switching of electromagnetic power passing through a planar microwave
developed at Jlich research centre [2], [3]. transmission line. Devices based on such switches are phase shifters, as for example used
in radar systems. Secondly, microbridge acoustic resonators based on piezoelectric thin
films (FBAR = frequency bulk acoustic resonators) have approached the Gigahertz fre-
quency range [4]. FBARs are considered to be used to build extremely miniaturized fil-
ters for mobile wireless communications units. In order to attain the outmost
miniaturization, submicron bridges (NEMS) can be used as resonator approaching giga-
hertz frequencies [5].
MEMS switches and switching devices: In Figure 12a, a cross section view (b) and an
equivalent circuit (c) of a capacitive MEMS shunt switch are shown. The most com-
monly used technology to fabricate such bridges with typical dimensions in the range of
several 100 mm is based on a sacrifical layer (typically photoresist). After deposition of
a metallic layer (e.g. gold or aluminium) on the patterned photoresist layer, the latter is
removed (sacrificial layer) by chemical solvents. The pull-down electrode consists of
a metal pad covered by a thin dielectric layer. This dielectric layer avoids a short circuit
between the bridge and the pull-down electrode. In this particular design, the dielectric
layer also defines the high-frequency capacitance in the down-state of the switch. There
exist other designs with separate switch contact and pull-down electrode (for a recent
review see [12]).
Switching of the microbridge from the up-state (as depicted in Figure 12 to the
down-state (membrane or cantilever in contact with the pull-down electrode) is provided
by the electrostatic force F due to a dc voltage V applied across the air-gap g of about 23
mm. In order to switch the microswitch to the down state, the electrostatic force has to be
larger than the repelling force of the spring k g g0
:
F0 AV 2
p k g  g0
(16)
2g 2

824
RF and Microwave Communication Systems, Circuits and Devices
36
In Eq. (16) A represents the area of the microbridge, g0 the equilibrium position at
zero voltage, and F0  8.85 1012 AsVm. The spring constant k depends on the bridge
dimensions and the Young modulus of the bridge material. Accordingly, the total force on
the spring (electrostatic force minus spring repelling force) has a minimum at g  2g03.
Consequently, the pull-down voltage Vp at which the bridge collapses is given by:

8kg 03
Vp  (17)
27F0 A
As an example, for g0  2.5 mm, A  100 mm2 and k  10 Nm the pull-down volt-
age comes out to be 23 V. Such values are typical for electrostatic microwave MEMS
switches. Since the electrostatic force increases upon decreasing the gap, the voltage to
keep the switch in the down state is lower than the switching voltage.
The fundamental mechanical resonance frequency of a double-clamped flexural
beam of length l and thickness d in direction of motion is given by the expression
1 k E d
fr   1.03 (18)
2Q m S l2
with E representing Youngs modulus and S the density of the beam material
(Au: E x 80 GPa, S  19280 kgm3). For typical dimensions of MEMS switches
(l  300 mm, d  2 mm) this value is in the order of 50 kHz. Typically, the resonance is
damped from typical Q-values in vacuum of about 501000 to values of only
0.3 b Q b 5 by operating the switch at atmospheric pressure (damping by removing the
air underneath the bridge). Due to the risk of contamination, pakkaging of the MEMS
switches has to be performed under clean room conditions. With such a design, switching
times in the order of 250 ms and several billions of switching cycles can be achieved.
Possible application areas of RF-MEMS are switched filter banks for wireless com-
munications systems (both for base stations and portable units), phase shifters for radar
systems switching networks, for example for communication satellites. Although MEMS
technology has reached a high level of maturity, reliability is still an issue. Current R&D
are addressing issues of packaging and how to avoid the sticking of the cantilever in the
down-position as a results of electrostatic charging [13].
FBARs (Film Bulk Acoustic Resonators) and NEMS resonators: Today, acoustic res- Figure 12: Picture of a capacitive MEMS
onators based on bulk single crystals of quartz are all-present as time defining (i.e. fre- switch (a), cross section view (b) and
quency stabilizing) element in almost any commercial electronic device. For the equivalent circuit (c) with Cu and Cd
microwave frequency range, surface rather than bulk acoustic resonators are most com- representing the value of the capacitance
monly used, that is as filters in mobile phones (SAW = surface acoustic wave devices) in the up and down state (from [15]).

Due to the possibilities associated with micromachining bulk acoustic resonators for
the microwave regime have become feasible, representing ultimate performance with
respect to their miniaturisation and on-chip integration potential. As an example, for sil-
icon a double-clamped flexural beam of l  10 mm and d  1 mm would resonate at
about 50100 MHz according to Eq. (18) (E  160 GPa and S  2330 kgm3 for silicon.
SiN and AlN are promising materials for microbridge resonators with Qs in the range of
several 10,000 [14]. Carbon nano tubes and, and in particular, single layers of graphite
( graphene), represent an extremely promising approach due to an extremely high
Young modulus of the order of 1 TPa, low density and high elasticity [5]. In order to
achieve frequencies in the GHz range submicron lithography is required. For such dimen-
sions the issues of resonance excitation, precise control of resonance frequency and small
values of resonant energy need to be addressed in order build devices for practical use in
telecommunication.
As an alternative, free-standing bridges of piezoelectric materials of 100 mm length
can be used to build bulk acoustic resonators for the GHz range [4]. Figure 13 shows the
schematic of an FBAR (Film Bulk Acoustic Resonator) structure consisting of ZnO (or
AlN) membrane with thin-film metallic electrodes (deposited on a silicon wafer with sub-
sequent underetching). The fundamental resonance occurs when the film thickness is half
the wavelength. For the fundamental mode being at f  2 GHz, the corresponding thick-
ness is 2.6 m (sound velocity of AlN: 10,400 ms).
FBAR filter devices for mobile phones are in use in mobile phones and have already
surpassed the performance of state-of-the art SAW devices. Similar to MEMS switches, Figure 13: Free standing bimetal bridge with a
the issue of packaging is rather crucial, since high Qs above 1000 can only be achieved combined thermal/electrostatic actuation scheme,
at reduced atmospheric pressure therefore, packaging is an important issue. fabricated by a sacrificial layer technology from a
Au/Nb bilayer (from [6]).

825
VI Data Transmission and Interfaces

5 System Impact of Nonlinearities


5.1 Nonlinearity Model
The noise sets the lower bound for the dynamic range of any communication circuit,
whereas the upper bound is dominated by the nonlinearities. A receiver typically deals
with very small signal voltages in the mV-range delivered by the antenna, therefore the
large signal characteristic of the bipolar differential stage shown in Figure 14 is devel-
oped into a Taylor series and truncated after the 3rd order component
v v3
vout x 2 RI EE in  in 3 (19)
2VT 24VT
This truncation provides a good approximation within the interval from 2VT to 2VT .
In a receiver it isnt sensible to consider more than a third order approximation for the
analysis of the basic mechanisms. As soon as the deviation from the linear behavior gets
significant the performance of the receiver will be degraded as shown later in this section.
In order to study the nonlinearitys influence on the transmission through the nonlin-
ear circuit, a sinusoidal test signal vin  v cos Xt is applied to the nonlinearity described
by
vout  B0 B1vin B2vin 2 B v3
3 in (20)
Using well known trigonometric identities the output voltage
B2 2 3 B B
Figure 14: Nonlinearity of typical transistor vout  B0 v B1 B3v 2 v cos Xt 2 v 2 cos 2Xt 3 v3 cos3Xt (21)
2
4 2 4
circuit. The differential pair is commonly used
basic circuit element. The large signal transfer consists of harmonic related sinusoidal components as well as a DC component. In
characteristic of a bipolar differential pair is given Figure 15 the nonlinearitys output spectrum due to the sinusoidal excitation vin  v cos Xt
by the tanh-type characteristic shown. is depicted. It is important to note that the amplitude v has influence on the fundamental
output frequency not only through the linear coefficient B1 but also through the third order
coefficient B3  0, which is negative for the nonlinearities considered. The resulting
amplitude B1 3 4
B3v 2
v of the fundamental output component decreases with
increasing input amplitude. A second noteworthy observation is the second order nonlin-
earity generating a DC component, which will influences the circuits operating condition.

5.2 Compression
Since the gain B1 3 4
B3v 2 for the sinusoidal component depends on the input ampli-
tude, the gain and the output amplitude will decrease at higher input power levels as
shown in Figure 16. Due to the RF measurement capabilities it is quite convenient to
characterize the power in dBm and the gain in dB. The circuits nonlinearity has to be
Figure 15: Output spectrum of the nonlinearity described is a figure of merit, which can be measured easily. The 1 dB compression point
due to a sinusoidal excitation. The color of the is one of these figures. Figure 16 illustrates the determination of the 1 dB input compres-
components indicated the order of the generating sion point, where either the output power or the gain is measured versus the input power.
nonlinearity. The 1 dB compression point is the input power level where the output power or the gain
diverts by 1 dB from the ideal linear behavior. The 1 dB compression point can be related
to ratio B1B3 of the nonlinearities Taylor series expansion
2 B 0.0725 B1
P1dB 
3Rin
1101/20
1 
B3 Rin B3
(22)

The above relation gives an easy way to model the compression behavior of an arbi-
trary nonlinearity for a system simulator or Hardware-Description-Language-based ver-
ification models.

Figure 16: Compression


of the output power and
the gain due to a 3rd
order nonlinearity. The
negative B3 term of the
nonlinearity results in
drop of the output power
for higher input power.

826
RF and Microwave Communication Systems, Circuits and Devices
36
The 1 dB compression point basically gives the upper bound for the linear behavior
of a circuit or subsystem. If the received signal contains any type of amplitude modula-
tion, the signal level has to be kept below the compression point with some margin. For
signal with frequency- or phase-modulation only the single level can exceed the compres-
sion point without any degradation of the RX quality.

Intermodulation
Mostly a receiver has to cope with multiple signals present at the input. An example is
given in Figure 17, where a small wanted signal is accompanied by two large signals on
adjacent frequencies. If the frequencies are equally spaced, than a frequency component
generated by a third order nonlinearity will fall into the bandwidth of the wanted signal
and degrade the RX quality severely.
The origin of this phenomenon will be studied by applying two sinusoidal
vin  v1 cos X1t v2 cos X2t to the input of the nonlinearity, which yields to
2 B v3
vout  B0 B1vin B2vin 3 in
 B0 B1v1 cos X1t B1v2 cos X2t Figure 17: Degradation mechanism
due to third order intermodulation. Toe

B2 v12 cos 2 X1t 2v1v2 cos X1t cos X2t v22 cos 2 X2t
(23) interfering signal at X1 and X2 are
generating an intermodulation product
v3 cos3 X1t 3v 2v2 cos 2 X1t cos X2t
at the frequency 2X2-X1, which may be
B3 1 1
3v1v22 cos X1t cos 2 X2t v23 cos3 X2t the frequency of the wanted RF signal.

Using trigonometric identities the coefficients of the spectral components given in


Figure 18 can be derived from Eq. (23).

Figure 18:
Spectrum due to
two tone
excitation.

The frequency components generated by the different order nonlinearities are visu-
alized in Figure 18. The high frequency components located around 2 X1 X2
2 and
3 X1 X2
2 can be filtered easily. The components located around the fundamental
X1 X2
2 cannot be filtered out and therefore are potentially degrading the RX quality.
They are generated by direct amplification of the two sinusoidal input signals and by third
order intermodulation. Last but not least the second order nonlinearity generates a DC
component consisting of two contributions due to the two input tones.
Under typical operating conditions of a wireless receiver intermodulation sets the lim-
its of the receivers capability to cope with interfering signals, which will yet be discussed.

5.3 Intercept Points


The characterization of the 3rd order intermodulation is based on a two tone measurement Figure 19: Characterization of 3rd order
as illustrated in Figure 19a. The two tones are provided to the input of the DUT and the intermodulation products.
output spectrum is measured using a spectrum analyzer. While sweeping the input power (a) Two input tones of equal power are
level of the two tones simultaneously as shown in the qualitative diagram Figure 19b the provided to the device under test (DUT).
The output spectrum is measured.
DUTs output power at one of the two tones and the power of one of the two generated
(b) The powers of the fundamental component
3rd order components are measured. For small input signal levels, typically just above the at X1 or X2 and the intermodulation product
spectrum analyzers noise floor, the linear term of the power of the fundamental compo- at X2-X1 or X1-X2 are drawn versus the
nent dominates. Thus, the slope in the logarithmic diagram is 1, whereas as the slope for input power.
the third order component is 3. The linear extrapolation of these slopes gives a point at
which the extrapolated powers of the fundamental and 3rd order components are equal.
This point is called the 3rd order intercept point and can be referred to either the input or
output of the DUT.
827
VI Data Transmission and Interfaces

Figure 20:
Geometrical
calculation of the 3rd
order intercept point.
The 3rd order intercept
point is given by the
interception of the
extrapolated
fundamental and 3rd
order component.

The value of the 3rd order input intercept point (IIP3) can be derived from the geo-
metric interpretation of Figure 20, which leads to
dB PX1
 dB P2X1X2

IIP3  dB Pin,X1
(24)
2
For a receiver chain it is required to calculate the overall intercept point in a similar
fashion as Frijs equation is needed to calculate the total noise. In [16] a more detailed
derivation of following equation can be found
n1

1 1 G G G
GP,i
 P,1 P,2 P,1 y i1 (25)
PIP3 PIP3,1 PIP3,2 PIP3,3 PIP3,n

Following the concepts presented a 2nd order intercept point is defined as well. The
measurement is slightly more complicated due to the fact that the output components gen-
Figure 21: Remodulation in a homodyne erated by the 2nd order are located at DC or at twice the input frequency. Therefore they
transmitter due to parasitic feedback of the might be attenuated heavily by the circuitry and all power levels have to be referred to
transmitted signal. The frequency of the the input. A detailed derivation of the IIP2 can be found in [17].
output signal and the oscillator differ due
to modulation. Therefore the parasitic
feedback of the output signal can cause a
Linearity Requirements of Devices and Circuits
degradation of the signal quality.
It is difficult to give a general recommendation concerning the linearity of a circuit,
because a lot of short comings of the device might be compensated by the creativity and
inspiration of the circuit and system designers. Nevertheless for a RF frontend there will
always be a LNA and mixer, which are going to set the upper edge of the dynamic range
by their linearity. A good compromise between noise, gain and linearity has to found for
the devices used in the RF frontend.
A good integrated LNA requires a high gain, a low noise factor and an input imped-
ance, which can easily be matched to the preceding filter. Whereas the linearity of the
LNA is not as import, because the LNA is the first active component in the receive chain
and there is no gain in front of the LNA. According to Eq. (25) the intercept point will be
reduced by the gain of the preceding stages. Typically the mixer is the last broad band
stage in front of the channel select filter resulting in the highest IP3 requirements.

6 Transmitter Architectures and Requirements


Historically heterodyne transmit architectures as shown in the block diagram Figure 1
have been used. Their major advantage is the frequency offset fIF of the local oscillator
Figure 22: Simplified block diagram of a
compared to the antenna output, which provides isolation and ensures therefore fre-
homodyne transmitter suited for integration. quency stability. In contrast the homodyne transmitter (see Figure 21, Figure 22) suffers
Integration allows the implementation of the from the fact that the instantaneous frequency fLO fm of the output signal is very close
voltage controlled oscillator (VCO) at to the local oscillator frequency fVCO  fLO , which results in disturbance of the VCOs
2n-times the output frequency, which steady state operation. As illustrated in Figure 21 the large output power level after the
increases the isolation due the frequency power amplifier and the radiation of the antenna requires high isolation in order to shield
offset referred to the output signal. the local oscillator. This phenomena caused by the high sensitivity of the VCO due to its

828
RF and Microwave Communication Systems, Circuits and Devices
36
tendency to lock to an external disturbance has been a major implementation burden for
quite a long time. Integration has solved this problem by shifting the VCO frequency
away from the output frequency once again, but this time the VCO has been moved to an
even multiple of the output frequency typically two or four. In the case of a GSM trans-
mitter the VCO operates at 3.6 GHz, which is twice the frequency of GSM 1800 and four
times GSM 900. The local oscillator requires a coil in order to reduce the unwanted emis-
sion caused by VCOs phase noise. At 3.6 GHz the coil is quite small and the quality fac-
tor can be optimized to meet the requirements. Moreover the small coil area reduces any
potential magnetic coupling as well. Finally the 90 phase shift for the quadrature upcon-
version is generated by a divide by two circuits as shown in Figure 22. This quadrature
Figure 23: Unwanted emission in a homodyne
generation assumes a 50 % duty cycle of the oscillators output signal, where the positive transmitter. All spurious tones as well as the
and negatives edges are used to trigger the divide-by-two-circuit. The good accuracy of broadband noise in the adjacent channels will be
the 90 phase shift generated by the frequency divider is another advantage of the high transmitted as there is no subsequent narrowband
integration level. filter.
The homodyne or direct upconversion transmitter has some inherent issues as well,
which cannot be solved by the integration level. In a heterodyne transmitter the modu-
lated IF signal can be filter by narrowband channel filter before the final upconversion to
the desired carrier frequency. Due to the direct conversion no additional filtering after the
quadrature modulator can be applied. Therefore spurious tones generated by the fre-
quency synthesizer stabilizing the VCO as well as the VCOs noise are present in the out-
put signal as shown in Figure 23. These components present in the output spectrum are
unwanted emissions in adjacent channels. From a system point of view they will result in
a reduction of the overall capacity because the frequency of the adjacent channel cannot
be reused in neighboring cells without degrading the SNR.
Figure 23 shows another fundamental limitation of quadrature modulators, which is
caused by the local oscillator feed trough. The modulator is typically based on two double
balanced multipliers implemented using Gilbert cells as shown in Figure 24. For an ideal Figure 24: Feed through of the local oscillator
fully differential operation the DC bias of the input voltages is eliminated signal in a Gilbert cell multiplier used as mixer.
Even neighboring transistors in a differential pair
vTX t
 vTX+ t
 vTX t

 k vLO+ t
 vLO t

vBB+ t
 vBB t

of transistors are different resulting in an offset,


(26) which causes the local oscillator feed through.
 k vLO t
vBB t

A small DC offset due to a mismatch of the transistors M1 and M2 results in an output


signal
vTX t
 k vLO t
vBB t
vOffset
 k vLO t
vBB t
k vLO t
vOffset (27)

The offset causes the component kvLO t


vOffset , which is basically the local oscillator feed
through. The Carrier-To-Interference ratio will be limited by the local oscillator freed
trough and does not depend on the baseband signal vBB t
. Gain control as required by
any CDMA system in order to allow a high resolution power control on the output signal
cannot be one by the baseband signal because the carrier-to-interference ratio has to be
kept above a threshold in order the maintain the data rate.
A polar transmitter as shown in Figure 25 offers a solution to the feed through
problem by replaying the quadrature modulator by a phase modulator K t

arctan Q t
I t

and an amplitude modulator A t


 I 2 t
Q2 t
. The A wideband phase
modulation can be achieved using a two point modulation scheme based on a fractional
N PLL [18]. The amplitude modulation can either be done in the power amplifier output
stage resulting in the so called large signal polar modulation or before the PA resulting in
the so called small signal polar modulation. A mismatch of the differential pair used in
the small signal multiplier will generate only a DC component at the output, which does
not cause any interference at the output.

Requirements for Devices and Circuits


The architectures of transmitters for digital communication systems have seen a strong
push towards digital RF due to the increasing speed of nanoscale CMOS nodes. Nev-
ertheless blocks are still required, which strongly depend on the devices performance. A
low phase noise oscillator will always require high Q components like coils, capacitors,
switches and varactors. The need for further integration will enforce efforts in circuit
techniques for high efficient CMOS power amplifiers. The overall partitioning of the Figure 25: Block diagram of a polar transmitter,
radio integration will have a strong influence on the future requirements. If the RF trans- where the phase is modulated through a PLL and
ceiver is separated from the digital signal processing optimization of the RF performance the amplitude by an amplitude modulator, for
of the passive device will be possible. If the RF transceiver goes together with the digital example multiplier. The polar transmitter can
SoC there is no room for special optimization due the strong cost requirements. handle signals with non constant envelope.

829
VI Data Transmission and Interfaces

7 Receiver Architectures and Requirements


RF integration has been the key enabling technology for the realization of the homodyne
receiver architecture illustrated in Figure 26. The local oscillator isolation as well as the
phase and amplitude accuracy required can only be achieved by integration, which pro-
vides superb matching properties, whereas the LO isolation is provided by running the
VCO at twice the frequency. Another advantage provided is the freedom in distributing
the gain, noise and linearity requirements throughout the receiver chain. During the late
nineties silicon- and SiGe BiCMOS have enabled the first commercial implementations
of homodyne receivers for the cellular standard GSM. The noise and gain requirements
of cellular systems are quite demanding, therefore plain CMOS could not be used for RF
integration in the technology nodes above 180 nm. The 130 nm node has been the first
real RF CMOS providing optimized devices and design kits for RF integration. RF SoC
integration has become state of the art since 2000, when the first Bluetooth SoCs have
been introduced. SoCs for high volume cellular applications are combing today multiple
systems like GSM, Bluetooth and FM-radio on a single die, where the major challenge is
cross talk due to the isolation provided by the common substrate.

7.1 Selectivity
The performance of A/D-converters has been improved quite a lot since 2000. CMOS
scaling has provided some gain with respect to the maximum available resolution. Never-
theless the major improvements are due to architectural choices or the use of new con-
Figure 26: Simplified block diagram cepts. Changing the architecture of an over-sampling 4%-A/D-converter from discrete
of a homodyne receiver suited for time processing to continuous time processing allows much higher sampling rates. The
integration. Integration allows a precise continuous time loop filter of the 4%-modulator eliminates the need of a dedicated
generation of the 90 required for the anti-aliasing filter and provides additional interference rejection for the adjacent channels.
down conversion. Moreover the
The channel selection as well as the 4%-modulators loop filter are implemented as RC-fil-
amplitude can be matched in the two
orthogonal baseband signal paths. ters sharing the same calibration circuitry. The increased A/D-resolution covers today the
fully dynamic range requirement of a GSM receiver, which can be built therefore without
any high gain amplification at baseband. Thus the influence of DC offsets in the front end
reduced and the remaining influence can be compensated in the digital domain easily.
Despite all the advantages provided by the increasing integration level there are still
fundamental mechanism which can only be handled by the pure analog performance of
the devices and circuits. One example is the spectral purity of the local oscillator signal
used for the down conversion of the received signal. A VCO or more general a complete
synthesizer does not generate a pure sinusoidal. The output signal will always exhibit
spurious tones, 1f-noise as well as broadband noise, which lead to a limitation of the
receivers selectivity. Figure 27 illustrates this selectivity limitation considering only the
influence of LO spurs for the time being. The mixer convolutes the spectrum of the RF
input signals with the LO signal spectrum. Large interferes present at the input may be
converted to the same output frequency as the wanted signal. At this intermediate fre-
quency IF, which is zero for a homodyne receiver, the channel select filter will remove
the interfering components in adjacent channels. As the LO spurs may convert an inter-
ferer into the passband of the channel filter this component cannot be removed and will
therefore act as a co-channel signal limiting the receivers selectivity. The 1f-noise and
the broadband noise present in the LO spectrum will generate a noise contribution within
the channel filters passband. The mechanism describes the purity requirements for the
LO signal, which might be quite demanding if large interferes are present. Two mobiles
operating in close proximity at the edge of a cell should not limit the sensitivity of each
other. Thus GSM calls for 162 dBcHz phase noise in 20 MHz offset, which is the fre-
quency separation between the lowest RC channel and the highest TX channel of this half
duplex FDD system.

Figure 27: Limitation of


selectivity due to local oscillator
spurs. A large interfering signal
can be down converted into the
intermediate frequency if there
is a spurious tone at the right
frequency offset present in the
local oscillator signal.

830
RF and Microwave Communication Systems, Circuits and Devices
36

7.2 Challenges 3G and Beyond Figure 28: State of the art


Modern smartphone are increasing the demand for mobile data by enabling online access multi-standard multi-band RF
everywhere. Unfortunately the spectrum availability is not harmonized worldwide. The subsystem. A highly miniaturized
3gpp specification [19] lists today more than 23 frequency bands used for GSM, UMTS frontend module hosts the required
and LTE in different locations worldwide, in the range from 700 MHz to 3 GHz. The duplexers and SAW filter for a
quad-band GSM/EDGE and
multi-standard operation required to cover the different communication standards from dual-band UMTS phone.
2 G to 4 G can be achieved quite easily by reconfiguring the baseband analog and digital
processing. In contrast the RF front end requires dedicated filters or duplexers for each
frequency as indicated in the simplified block diagram of the multi-band multi-standard
RF subsystem Figure 28. The two 3 G UMTS bands are operating in full duplex mode,
which requires simultaneous transmission and reception. Assuming the transmitter oper-
ates at full power of 24 dBm while receiving a signal of 96 dBm requires the transmit
noise to be below 184 dBmHz in duplex distance as illustrated in Figure 29a. Thus the
noise has to be in the range of 208 dBcHz requiring more than 60 dB isolation pro-
vided by the combined attenuation of the interstage and duplex filter. Current research is
focused on removing the TX interstage filter between the transceiver IC and the power
amplifier. Figure 29b shows the motivation for the RX interstage filter, which is needed
due to the TX leakage. The TX leaks trough the duplexer assuming an attenuation of
46 dBm the power level at the receivers input will be 20 dBm. The modulated TX sig-
nal will generate a second order intermodulation component at DC, which may cause the
RX chain to saturate. With reference to the RF input the generated IM2 component has
to be 10 dB below the integrated noise of 108 dBm within the 4 MHz wide channel. The
resulting intermodulation distance (20 118) dB requires a second order input intercept
point of 98 dBm. The easiest way to achieve this requirement is the use of an interstage
SAW filter after the LNA. For SAW-less operation see References [20], [21], [22].

Figure 29: Interstage filter relax RF


requirements for TX and RX. The
broadband output noise of the
transmitter is attenuated by the
duplexers TX-RX isolation. As a
result the TX noise does not degrade
the receivers sensitivity.

831
Order Information

Please pass orders to your local bookseller

or to (Germany):
Wiley-VCH, Customer Service Department
P.O. Box 101161
69451 Weinheim, Germany
Tel.: +49 (0) 62 01-60 64 00
Fax: +49 (0) 62 01-60 61 84
E-mail: service@wiley-vch.de
www.wiley-vch.de

or to (North, Central and South America)


John Wiley & Sons Inc
Tel.: 800 245 62 17
E-mail: cs-books@wiley.com
www.wiley.com

or to (Europe, Middle East, Asia and Africa)


John Wiley & Sons Ltd
Tel.: +44 (0) 12 43 84 32 94
E-mail: cs-books@wiley.co.uk
www.wiley.com

85,*
US$ 115,*
* Prices are subject to change.

Rainer Waser (Ed.)


Nanoelectronics and Information Technology
for advanced students
Advanced Electronic Materials and Novel Devices
(3rd year or higher)
2012 Wiley-VCH
ISBN: 978-3-527-40927-3 of physics, electrical engineering
Approx. 85,* / US$ 115,* and information technology, and related fields

1000 pages full-size about 1200 figures full-color


Contents
I Fundamentals V Memory Devices and Storage Systems
 z
1 Dielectric, Ferroelectric, and Optical Properties z
26 Flash Memories

z
2 Electronic Properties and Quantum Effects z
27 Capacitor-Based Random Access Memories

z
3 Mesoscopic Electron Transport z
28 Magnetic Random Access Memories

z
4 Spintransfer Phenomena in Layered Structures z
29 Information Storage Based on
Phase Change Materials
z
5 Organic Molecules Structures
and Electronic Properties z
30 Redox-Based Resistive Random Access Memories

z
6 Neurons The Molecular Basis of
Their Electrical Excitability
z
31 Scanning Probe Storage
z
32 Holographic Data Storage
z
7 Logic Gates and Digital Circuits
VI Data Transmission and Interfaces
II Technology and Analysis
z
33 Metal Interconnects
z8 Advanced Optical and Particle Beam Lithography
z
34 Three-Dimensional Integration
z9 Nanoimprint Lithography
z
35 Photonic Networks
z
10 Structural and Chemical Characterization
on the Nanoscale z
36 RF and Microwave Communication
Systems, Circuits and Devices
z
11 Scanning Probe Analysis
z
37 From RFID Tags to Nanomorphic Systems
z
12 Atomic and Molecular Manipulation
z
38 Neuroelectronic Interfacing with
z
13 Bottom-Up Approaches by Self-
Organization Techniques
Semiconductor Chips

VII Sensor Arrays and Imaging Systems


III Logic Devices
z
39 Optical 3-D Time-of-Flight Imaging Systems
z
14 Metal-Oxide-Semiconductor Field-
Effect Transistors: Novel Materials z
40 Infrared Imaging by Uncooled Systems
and Alternative Concepts z
41 Electronic Noses and Tongues

z
15 Nanoelectromechanical Logic Gates z
42 2-D Tactile Sensors and Tactile Sensor Arrays

z
16 Single-Electron Devices for Logic Applications
VIII Displays
z
17 Electron Waveguide Devices
z
43 Liquid Crystal Displays
z
18 Superconductor Digital Electronics
z
44 Organic Light Emitting Diode Displays
z
19 Spin-Based Logics Principles and Concepts
z
45 Plasma Displays
z
20 Carbon Nanotubes and Graphene
for Data Processing z
46 Micro-Mirror Displays

z
21 Molecular Electronics Functions and Features z
47 Electronic Paper
Arising from Tailor-Made Molecules

IV Computational Concepts and Systems


z
22 Minimal Turing Machine and
von Neumann Type Processor Systems
z
23 Reconfigurable Nano-Crossbar Architectures
z
New chapter
z
24 Cognitive Computing
z
Strongly revised, partially new chapter
z
25 Quantum Computing Fundamentals
and Solid-State Realizations
z
Revised chapter

Comments About the First Edition ... an absolutely masterful volume


James Meindl, Georgia Tech, Atlanta
Nanoelectronics and Information Technology by Rainer Waser
and his colleagues is an outstanding compendium of information Congratulations on a tremendous achievement! The editor has done
about an exciting new field. Owing to its high quality and complete the entire field of nanoelectronics a huge favor by creating such a
coverage of the many topics in this area, this well referenced book comprehensive, up to date and high quality text. I am sure it will
will have a long and very useful life as a primary text for students advance the entire field by years. Since its publication in 1986, the
experienced and new in nanoelectronics. It is a very impressive Feynman Lectures on Computing has been the bible of our research
book. group. The new text will likely be our bible for the next ten years.
Richard W. Siegel, Principal coordinator of the US National Nano-Initiative Stanley Williams, Hewlett-Packard Research Labs, Palo Alto
The Authors: The Editor:
K. Akarvardar, Stanford University, USA K. Machida, Tokyo Institute of Technology, Yokohama, Rainer Waser is Professor at
P. Atkins, University of Oxford, Great Britain Japan
the faculty for Electrical
P. Bachmann, Philips Lumileds Development Center S. Mantl, JARA-FIT, Forschungszentrum Jlich,
Aachen, Germany Germany Engineering and Information
A. Baumann, Forschungszentrum Jlich, Germany M. Mayor, University of Basel, Switzerland, and Technology at the RWTH
Karlsruhe Institute of Technology, Germany
H. Bechtel, Philips Lumileds Development Center Aachen University and director
Aachen, Germany S. Menzel, JARA-FIT, RWTH Aachen University,
Germany at the Peter Grnberg Institute
T. Bieringer, Bayer AG, Germany
G. Meyer, IBM Research Zrich, Switzerland
U. Bttger, JARA-FIT, RWTH Aachen University, at the Forschungszentrum
Germany T. Mikolajick, Technische Universitt Dresden,
Germany Jlich (FZJ), Germany.
D. Bruhaus, AIXTRON SE, Herzogenrath, Germany
J. Moers, JARA-FIT, Forschungszentrum Jlich, In 1984, he received his PhD in
W. Brockherde, Duisburg, Germany
Germany
R. Bruchhaus, JCNS Garching, Germany
P. Muralt, EPFL Lausanne, Switzerland physical chemistry from the University of Darmstadt,
C. Buchal, JARA-FIT, Forschungszentrum Jlich, and worked at the Philips Research Laboratory, Aachen,
T. Noll, RWTH Aachen University, Germany
Germany
J.-P. Nozieres, SPINTEC, CEA / CNRS / UJF, Grenoble, until he was appointed professor in 1992. His research
D. Brgler, JARA-FIT, Forschungszentrum Jlich,
France
Germany group is focused on fundamental aspects of electronic
S. G. Odoulov, National Academy of Sciences, Kiev,
M. Calame, University of Basel, Switzerland
H.-D. Carstanjen, MPI for Intelligent Systems,
Ukraine materials and on such integrated devices as nonvolatile
A. Offenhusser, JARA-FIT and ICS, Forschungs-
Stuttgart, Germany
zentrum Jlich, Germany memories, logic devices, sensors and actuators.
R. K. Cavin, Semiconductor Research Corporation, Throughout, he has collaborated with major semi-
S. Okazaki, Gigaphoton Inc., Japan
Durham, USA
B. Dieny, SPINTEC, CEA / CNRS / UJF, Grenoble, France
M. Pfeiffer, Heliatek GmbH, Dresden, Germany conductor industries in Europe, the US, and the Far East.
I. L. Prejbeanu, Crocus Technology, Grenoble, France
D. DiVincenzo, JARA-FIT, Forschungszentrum Jlich He has organized international conferences and has
& RWTH Aachen University, Germany S. Raoux, IBM T. J. Watson Research Center, Yorktown
Heights, USA published about 500 technical papers. Since 2003, he
M. Dolle, Inneon Technologies, Munich, Germany
P. Ebert, JARA-FIT, Forschungszentrum Jlich, Germany
O. Redon, LETI, MINATEC, Grenoble, France has been the coordinator of the research program on
S. Reitzenstein, Universitt Wrzburg, Germany
S. Eick, Aesculap AG, Tuttlingen, Germany nanoelectronic systems within the Germany national
J. Repp, Universitt Regensburg, Germany
E. Eleftheriou, IBM Research Zurich, Switzerland research centres in the Helmholtz Association. In
D. Richter, JCNS & ICS, Forschungszentrum Jlich,
S. Feste, Purdue University Birck, Nanotechnology
Center, USA
Germany 2007, he has been co-founder of the Jlich-Aachen
A. K. Roelofs, Argonne National Laboratory, USA
S. N. Filimonov, Tomsk State University, Russia Research Alliance, section Fundamentals of Future
M. Rscher, Daimler AG, Stuttgart, Germany
Stephen R. Forrest, Princeton University, USA Information Technology (JARA-FIT).
E. Scheer, University of Konstanz, Germany
P. Fromherz, MPI for Biochemistry, Germany
H. Schenk, Fraunhofer IPMS, Dresden, Germany
L. Gross, IBM Research Zrich, Switzerland
C. M. Schneider, JARA-FIT, Forschungszentrum Jlich,
P. Grnberg, JARA-FIT, Forschungszentrum Jlich,
and, Universitt Duisburg-Essen, Germany
Germany
L. R. Schreiber, Delft University of Technology,
S. Heinen, RWTH Aachen University, Germany
The Netherlands
T. Heinzel, Heinrich-Heine-Universitt Dsseldorf,
H. Schroeder, JARA-FIT, Forschungszentrum Jlich,
Germany
Germany
M. Hidaka, ISTEC/SRL, AIST, Tsukuba Central, Japan
U. Schrder, Technische Universitt Dresden, Germany
S. Hoffmann-Eifert, JARA-FIT, Forschungszentrum
O. H. Seeck, Forschungszentrum Jlich, and DESY,
Jlich, Germany
Hamburg, Germany
B. Hofmann, Aesculap AG, Tuttlingen, Germany
M. Siegel, Karlsruhe Institute of Technology, Germany
M. Hofmann, Massachusetts Institute of Technology,
G. Snider, Hewlett-Packard Labs, Palo Alto, USA The Book:
USA
R. Sousa, SPINTEC, CEA / CNRS / UJF, Grenoble, France
S. Hofmann, MPI for Intelligent Systems, Stuttgart, Providing an introduction to electronic materials
Germany G. Spekowius, Philips Lumileds Development Center
Aachen, Germany and device concepts for the major areas of current
L. J. Hornbeck, Texas Instruments, Dallas, USA
A. Stierle, University of Siegen, Germany
B. Hosticka, Fraunhofer IMS, Duisburg, Germany and future information technology, the value of this
D. B. Strukov, University of Santa Barbara, USA
C. S. Hwang, Seoul National University, Korea book lies in its focus on the underlying principles.
S. Summerfelt, Texas Instruments, Dallas, USA
M. Imlau, University of Osnabrck, Germany
W. H. Joyner, Semiconductor Research Corporation,
K. Szot, & JARA-FIT, Forschungszentrum Jlich, Illustrated by contemporary examples, these basic
Germany
Durham, USA principles will hold for many years, despite the rapid
S. L. Tait, Indiana University, USA
H. Kalisch, JARA-FIT, RWTH Aachen University, developments in this eld. There is hardly any other
Germany S. Tiwari, Cornell University, USA
S. Karthuser, JARA-FIT, Forschungszentrum Jlich, Z. Tokei, IMEC, Belgium eld where the links between basic science and
Germany S. Trolier-McKinstry, Pennsylvania State University, USA application are tighter than in nanoelectronics and
U. B. Kaupp, Forschungszentrum caesar, Bonn, K. Uchida, Tokyo Institute of Technology, Japan
Germany A. V. Ustinov, Karlsruhe Institute of Technology,
information technology. As an example, the design
J. Kent, TE Touch Solutions, Menlo Park, USA Germany of tunneling eld effect transistors, single electron
A. Kingon, Brown University, USA L. M. K. Vandersypen, Delft University of Technology, devices or molecular electronic structures is simply
P. Kirsch, Merck KGaA, Darmstadt, Germany The Netherlands
N. Klein, Imperial College London, Great Britain B. Voigtlnder, JARA-FIT, Forschungszentrum Jlich, inconceivable without delving deep into quantum
Germany mechanics. This textbook is primarily aimed at
J. Knoch, RWTH Aachen University, Germany
R. Waser, JARA-FIT, Forschungszentrum Jlich and
C.-D. Kohl, Justus Liebig University Giessen, Germany
RWTH Aachen University, Germany students of physics, electrical engineering and
J. Kong, Massachusetts Institute of Technology, USA information technology, as well as material science
T. Woike, University of Cologne, Germany
M. A. Lantz, IBM Research Zurich, Switzerland
H.-S. Philip Wong, Stanford University, USA in their 3rd year and higher. It is equally of interest
M. C. Lemme, KTH Royal Institute of Technology,
L. Worschech, Universitt Wrzburg, Germany
Sweden to professionals wanting a broader overview of this
Shin-Tson Wu, University of Central Florida Orlando,
J. Leuthold, Karlsruhe Institute of Technology, Germany hot topic.
Florida, USA
K. K. Likharev, Stony Brook University, USA
W. Wu, Hewlett-Packard Labs, Palo Alto, USA
N. Lindenmann, Karlsruhe Institute of Technology,
M. Wuttig, JARA-FIT, RWTH Aachen University, Germany
Germany
E. Linn, JARA-FIT, RWTH Aachen University, Germany
V. Zhirnov, Semiconductor Research Corporation, ISBN 978-3-527-40927-3
Durham, USA
J. Lisenfeld, Karlsruhe Institute of Technology, Germany
R. Zorn, JCNS & ICS, Forschungszentrum Jlich,
H. Lth, JARA-FIT, Forschungszentrum Jlich, Germany Germany www.wiley-vch.de
9 783527 409273

You might also like