You are on page 1of 8

entity TurnosLaborales is

port (

A: in bit_vector(7 downto 0);

D1: out bit_vector(6 downto 0);

D2: out bit_vector(6 downto 0);

D3: out bit_vector(6 downto 0);

D4: out bit_vector(6 downto 0);

D5: out bit_vector(6 downto 0);

D6: out bit_vector(6 downto 0);

D7: out bit_vector(6 downto 0));

end TurnosLaborales;

architecture display of TurnosLaborales is

begin

with A select

D1<=

"1000000" when "00000000", -- 00

"1000000" when "00000001", -- 01

"1000000" when "00000010", -- 02

"1000000" when "00000011", -- 03

"1000000" when "00000100", -- 04

"1000000" when "00000101", -- 05

"1000000" when "00000110", -- 06

"1000000" when "00000111", -- 07

"1000000" when "00001000", -- 08

"1000000" when "00001001", -- 09

"1111001" when "00010000", -- 10

"1111001" when "00010001", -- 11


"1111001" when "00010010", -- 12

"1111001" when "00010011", -- 13

"1111001" when "00010100", -- 14

"1111001" when "00010101", -- 15

"1111001" when "00010110", -- 16

"1111001" when "00010111", -- 17

"1111001" when "00011000", -- 18

"1111001" when "00011001", -- 19

"0100100" when "00100000", -- 20

"0100100" when "00100001", -- 21

"0100100" when "00100010", -- 22

"0100100" when "00100011", -- 23

"0100100" when "00100100", -- 24

"1111111" when others;

with A select

D2<=

"1000000" when "00000000", -- 00

"1111001" when "00000001", -- 01

"0100100" when "00000010", -- 02

"0110000" when "00000011", -- 03

"0011001" when "00000100", -- 04

"0010010" when "00000101", -- 05

"0000010" when "00000110", -- 06

"1111000" when "00000111", -- 07

"0000000" when "00001000", -- 08

"0011000" when "00001001", -- 09

"1000000" when "00010000", -- 10

"1111001" when "00010001", -- 11

"0100100" when "00010010", -- 12


"0110000" when "00010011", -- 13

"0011001" when "00010100", -- 14

"0010010" when "00010101", -- 15

"0000010" when "00010110", -- 16

"1111000" when "00010111", -- 17

"0000000" when "00011000", -- 18

"0011000" when "00011001", -- 19

"1000000" when "00100000", -- 20

"1111001" when "00100001", -- 21

"0100100" when "00100010", -- 22

"0110000" when "00100011", -- 23

"0011001" when "00100100", -- 24

"1111111" when others;

with A select

D3<=

"1000110" when "00000000", -- 00

"1000110" when "00000001", -- 01

"1000110" when "00000010", -- 02

"1000110" when "00000011", -- 03

"1000110" when "00000100", -- 04

"1000110" when "00000101", -- 05

"1000110" when "00000110", -- 06

"1000110" when "00000111", -- 07

"1111001" when "00001000", -- 08

"1111001" when "00001001", -- 09

"1111001" when "00010000", -- 10

"1111001" when "00010001", -- 11

"1111001" when "00010010", -- 12


"0001100" when "00010011", -- 13

"0100100" when "00010100", -- 14

"0100100" when "00010101", -- 15

"0100100" when "00010110", -- 16

"0100100" when "00010111", -- 17

"0100100" when "00011000", -- 18

"1000110" when "00011001", -- 19

"1000110" when "00100000", -- 20

"1000110" when "00100001", -- 21

"1000110" when "00100010", -- 22

"1000110" when "00100011", -- 23

"1000110" when "00100100", -- 24

"1111111" when others;

with A select

D4<=

"1000111" when "00000000", -- 00

"1000111" when "00000001", -- 01

"1000111" when "00000010", -- 02

"1000111" when "00000011", -- 03

"1000111" when "00000100", -- 04

"1000111" when "00000101", -- 05

"1000111" when "00000110", -- 06

"1000111" when "00000111", -- 07

"0001001" when "00001000", -- 08

"0001001" when "00001001", -- 09

"0001001" when "00010000", -- 10

"0001001" when "00010001", -- 11


"0001001" when "00010010", -- 12

"0001000" when "00010011", -- 13

"0001001" when "00010100", -- 14

"0001001" when "00010101", -- 15

"0001001" when "00010110", -- 16

"0001001" when "00010111", -- 17

"0001001" when "00011000", -- 18

"1000111" when "00011001", -- 19

"1000111" when "00100000", -- 20

"1000111" when "00100001", -- 21

"1000111" when "00100010", -- 22

"1000111" when "00100011", -- 23

"1000111" when "00100100", -- 24

"1111111" when others;

with A select

D5<=

"1000000" when "00000000", -- 00

"1000000" when "00000001", -- 01

"1000000" when "00000010", -- 02

"1000000" when "00000011", -- 03

"1000000" when "00000100", -- 04

"1000000" when "00000101", -- 05

"1000000" when "00000110", -- 06

"1000000" when "00000111", -- 07

"0001000" when "00001000", -- 08

"0001000" when "00001001", -- 09


"0001000" when "00010000", -- 10

"0001000" when "00010001", -- 11

"0001000" when "00010010", -- 12

"1000001" when "00010011", -- 13

"0001000" when "00010100", -- 14

"0001000" when "00010101", -- 15

"0001000" when "00010110", -- 16

"0001000" when "00010111", -- 17

"0001000" when "00011000", -- 18

"1000000" when "00011001", -- 19

"1000000" when "00100000", -- 20

"1000000" when "00100001", -- 21

"1000000" when "00100010", -- 22

"1000000" when "00100011", -- 23

"1000000" when "00100100", -- 24

"1111111" when others;

with A select

D6<=

"0010010" when "00000000", -- 00

"0010010" when "00000001", -- 01

"0010010" when "00000010", -- 02

"0010010" when "00000011", -- 03

"0010010" when "00000100", -- 04

"0010010" when "00000101", -- 05

"0010010" when "00000110", -- 06

"0010010" when "00000111", -- 07


"1000111" when "00001000", -- 08

"1000111" when "00001001", -- 09

"1000111" when "00010000", -- 10

"1000111" when "00010001", -- 11

"1000111" when "00010010", -- 12

"0010010" when "00010011", -- 13

"1000111" when "00010100", -- 14

"1000111" when "00010101", -- 15

"1000111" when "00010110", -- 16

"1000111" when "00010111", -- 17

"1000111" when "00011000", -- 18

"0010010" when "00011001", -- 19

"0010010" when "00100000", -- 20

"0010010" when "00100001", -- 21

"0010010" when "00100010", -- 22

"0010010" when "00100011", -- 23

"0010010" when "00100100", -- 24

"1111111" when others;

with A select

D7<=

"0000110" when "00000000", -- 00

"0000110" when "00000001", -- 01

"0000110" when "00000010", -- 02

"0000110" when "00000011", -- 03

"0000110" when "00000100", -- 04

"0000110" when "00000101", -- 05

"0000110" when "00000110", -- 06


"0000110" when "00000111", -- 07

"0001110" when "00001000", -- 08

"0001110" when "00001001", -- 09

"0001110" when "00010000", -- 10

"0001110" when "00010001", -- 11

"0001110" when "00010010", -- 12

"0000110" when "00010011", -- 13

"0001110" when "00010100", -- 14

"0001110" when "00010101", -- 15

"0001110" when "00010110", -- 16

"0001110" when "00010111", -- 17

"0001110" when "00011000", -- 18

"0000110" when "00011001", -- 19

"0000110" when "00100000", -- 20

"0000110" when "00100001", -- 21

"0000110" when "00100010", -- 22

"0000110" when "00100011", -- 23

"0000110" when "00100100", -- 24

"1111111" when others;

end display;

You might also like