You are on page 1of 12

Đo Lường 2

Mô phỏng hoạt động của D Flip Flop

Mở Xilinx ISE Designgiao điện phần mềm

Tạo Project mới


Chọn Filenew project.

1
Đo Lường 2

Đặt tên cho project là:D_FF_my

2
Đo Lường 2

Nhấn Next,next, Finish

Tạo đoạn code mới

Chọn VHDL Module rồi đặt tên cho tên Soure là:D_FF_top.nhấn Next

3
Đo Lường 2

Đặt tên cho các Port của D_FF:Sơ đò khối của D_FF như sau

4
Đo Lường 2

Nhấn Next và Finish

5
Đo Lường 2

Để tìm source code của D_Flip flop trong ISE ta chọn Language Templates trên
thanh công cụ

6
Đo Lường 2

Chọn VHDLSynthesic ConstructsCoding ExamplesFlip FlopsD-Flip


FlopPosedge

7
Đo Lường 2

Bên tay phải sẽ là đoạn chương trình VHDL cho D Flip Flop tác động ở sườn lên,ta copy
và paste vào trong file D_FF_top.vhd. như hình vẽ dưới
Chọn tab D_FF_top.vhd

8
Đo Lường 2

Tiếp theo ta sửa đổi các tín hiệu trong đoạn VHDL của D flip Flop trong thư viện
của ISE sao cho giống với các Port D_Flip Flop mà ta đặt.
Ví dụ như:
process (<clock>, <reset>) ta sửa là process(CLK,RST)

clock ta đặt tên là CLK,reset ta đặt là RST theo sơ đồ khối của D Flip Flop ở trên

Xem thêm trong Slide của Thầy Sâm


Tương tự với các phần còn lại.đoạn mã VHDL hoản chỉnh sau khi sửa như hình vẽ

Sau khi xong ở bên tay trái cửa sổ process ta chọn Implement Design để kiểm tra lỗi

9
Đo Lường 2

Kích đúp vào Check Syntax để kiểm tra lỗi trong đoạn VHDL

Thông báo không có lỗi

10
Đo Lường 2

Cũng trong mục này ta có thể click vào View RTL Schematic
Để xem Sơ đồ khối D_FF do chúng ta mô tả

Chúng ta có thể click trực tiếp vào sơ đồ để xem chi tiết:

11
Đo Lường 2

12

You might also like