You are on page 1of 9

IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 8, NO.

6, NOVEMBER 2009 749

Junction Field Effect Transistors for Nanoelectronics


Justin B. Jackson, Student Member, IEEE, Divesh Kapoor, Student Member, IEEE, and Mark S. Miller, Member, IEEE

Abstract—The gate leakage currents of MOSFETs increase ex-


ponentially with downward scaling, while the gate currents of
enhancement-mode JFETs for complementary logic decrease with
scaling. In principle, a crossover point could exist below which the
JFET may be the preferred device for some large-scale ICs. This
paper first examines the crossover point with a simple scaling anal-
ysis that suggests it lies in the neighborhood of a 25 nm gate length,
depending on the supply voltages and the gate insulator used for
the MOSFET. Other JFET electrical properties compare favorably
with those of MOSFETs and exhibit similar scaling behaviors. Nu-
merical simulations of a simple 25 nm gate length JFET show
electrical properties better than the conservative scaling analysis
and comparable to reported 25 nm MOSFETs, with, for example,
a gate current density of 12 A/cm2 at 0.7 V and a drain current
ON-to-OFF ratio of 3.5 × 10 . A self-aligned polycrystalline silicon
3

gate and some straightforward performance enhancements pro-


posed for the 25 nm device may allow it essentially to stand in for
the geometrically similar 25 nm MOSFET in some circumstances.
Additional device engineering outlined in this paper should further
allow the silicon JFET to scale down to 10 nm gate lengths, where
source–drain tunneling becomes important. Ten-nanometer-scale
JFETs share many of the fabrication challenges of corresponding Fig. 1. Scaling models for JFET and MOSFET comparison. The dimensions
MOSFETs, and many of the well-developed concepts for MOS- scale by κ > 1, and for the doping scales as ∼κ 2 .
FETs, such as double gates and strain engineering, should be easily
adapted to JFETs. These devices also lend themselves to a subse-
quent transition to III–V semiconductors—for heterostructures, junction saturation currents; and logic levels are decreasing into
performance increases, and scaling below 10 nm—without the ox-
the range of p-n junction turn-on voltages. In principle, there
ide interface problems MOSFETs would face. Overall, JFETs ap-
pear to be of interest for the next one to two silicon technology could be a crossover point in scale below which complementary
nodes, and perhaps beyond. JFET circuitry has lower gate leakage and may become prefer-
able. Whether the crossover point happens at a technologically
Index Terms—JFETs, MOSFETs, nanotechnology.
relevant size will depend on the details of where it occurs with
respect to MOSFET scaling, and how well JFETs function at
I. INTRODUCTION that scale and below.
S THE dimensions of MOSFETs have scaled downward The largest tolerable transistor gate current density, which im-
A to increase density and performance, gate leakage due to
tunneling through thin gate oxides has become a major design
poses a minimum gate length L for MOSFETs, depends on the
application the circuit is designed for [1]. For comparison pur-
constraint. The gate leakage current density increases approx- poses, the present paper considers gate lengths for single-gate,
imately exponentially with decreasing oxide thickness. While bulk devices as depicted in Fig. 1. For moderate-performance
thicker gate insulators with higher dielectric constants have mit- ICs, for example those used in desktop applications, a conser-
igated this problem, subsequent scaling still encounters this ex- vative limit on gate current density is 1 A/cm2 , though some
ponential dependence. For complementary logic, JFETs need to authors have argued for and demonstrated a higher practical
be enhancement mode devices, turning ON with forward-biased maximum of perhaps 100 A/cm2 [2]–[4]. For a MOSFET with
gate p-n junctions. Such currents, and the consequent restric- a silicon dioxide gate insulator and a gate potential of 1 V,
tions on gate voltages, have historically made these transistors the leakage reaches 1 A/cm2 when the oxide thickness scales to
noncompetitive for large-scale ICs. However, scaling a JFET to about 1.7 nm [3], [5]. This silicon dioxide thickness corresponds
smaller dimensions can actually decrease the gate current some- to a transistor length of L ≈ 70 nm [6]. Current production tech-
what, because the scaled-up doping concentrations reduce the nologies at the 65 nm technology node use silicon oxynitride
gate insulators, with one example having a 35 nm gate length,
Manuscript received August 7, 2007; revised April 29, 2008. First published though its 1.2 nm insulator was not scaled from the preceding
December 22, 2008; current version published November 11, 2009. The review 90 nm technology node because of gate leakage [7]. An exam-
of this paper was arranged by Associate Editor T. Hiramoto.
The authors are with the Department of Electrical and Computer Engineering, ple of an emerging 45 nm technology takes advantage of the
University of Utah, Salt Lake City, UT 84112 USA (e-mail: mark.miller@ yet higher dielectric constant of a hafnia-based gate insulator,
utah.edu). though its physical gate length remained at 35 nm [8].
Color versions of one or more of the figures in this paper are available online
at http://ieeexplore.ieee.org. The scaling properties of enhancement mode JFETs and the
Digital Object Identifier 10.1109/TNANO.2008.2011383 consequences for complementary logic have received much less
1536-125X/$26.00 © 2009 IEEE

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
750 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 8, NO. 6, NOVEMBER 2009

attention in the literature. Electrically, JFETs behave similarly of scalings available for MESFETs, depending on the figure-
to corresponding MOSFETs, and they also benefit from lower of-merit of interest [11], also applies to JFETs. In addition to
input capacitance and lower noise. Because of these proper- gate currents, the properties scaled and compared next include
ties, some applications have favored JFETs over MOSFETs, for the drain current, transconductance, and subthreshold current,
example, as the low-noise front ends for charge sensing ampli- estimated under the gradual channel approximation, as well as
fiers. For ICs, complementary enhancement mode silicon JFETs the gate capacitance and band-to-band tunneling. Discussions
have been investigated because of their inherently greater resis- of the principal 2-D and short-channel effects, which are largely
tance to radiation damage [9], [10]. The scaling behaviors of the similar for JFETs and MOSFETs, are given with the numerical
closely related MESFET have been studied and reported [11], as simulation results of Section III.
have complementary enhancement mode silicon MESFET tech-
nologies [12]. However, the Schottky-contact gates have much A. JFET Scaling
larger saturation current densities than p-n junction gates, and
Fig. 1 depicts the n-channel bulk JFET scaling model. All
an upper limit on channel doping to avoid gate tunneling cur-
dimensions scale by κ > 1, including the gate length L/κ and
rents prevents these devices from scaling to smaller dimensions.
width W/κ. An oxide defines the bottom of the channel, which
For JFETs, a simple, one-sided diode model can give an initial
has a metallurgical thickness of a/κ, and h/κ is the depletion
gate current density estimate. Considering a 30-nm-thick n-type
thickness into the channel. To reduce 2-D and short-channel
neutral layer doped to 5 × 1018 cm−3 at a forward bias of 0.7 V
effects, the channel will be conservatively constrained to L =
yields a current density of the order of 1 A/cm2 . This suggests
2a [14]. For numerical comparisons, the reference design has
that, at the sub-one-volt supplies planned for the near future,
a gate length of L = 50 nm, channel doping of Nd = 1.6 ×
JFET gate current densities may compete with MOSFET gate
1018 cm−3 , and gate doping of Na = 1.6 × 1019 cm−3 , which
current densities.
has a threshold voltage of approximately Vt = 250 mV. These
Section II presents a scaling analysis of enhancement mode
parameters were chosen, in part, to enable scaling to a viable
JFETs for complementary logic. A comparison to the scaling be-
10 nm gate length device with minor modifications, as discussed
havior of MOSFETs shows that the gate leakage crossover point
in Section IV.
lies somewhere between gate lengths of 20–35 nm, depending
For scaling, threshold will be defined here to occur
on the supply voltages and gate insulator. The numerical simula-
when the depletion edge coincides with the channel bottom,
tions reported in Section III examine the behavior of a simple 25
with the drain–source potential Vds = 0. Taking the gate–
nm gate length design and find electrical properties that validate
channel junction to be one-sided, this happens at h = a =

the scaling analysis and compare favorably with reported MOS-
2s (Vbi − Vg )/qNd , where Vg is the gate potential, s the
FETs. Section IV discusses the implications and opportunities
semiconductor permittivity, and q the elementary charge. The
for JFET engineering, including many of the modifications de-
built-in potential Vbi will be larger than the nonscaled applied
veloped for MOSFETs that should be applicable to JFETs, and
potentials for the enhancement mode devices under consider-
the prospects for scaling JFETs to 10 nm and below. The final
ation, and Vbi will vary only logarithmically with doping—
section summarizes the findings and conclusions of this paper.
or even more slowly for degenerately doped material. Conse-
quently, the channel doping will need to scale as ∼κ2 to ac-
II. SCALING ANALYSIS commodate the scaling of a and h. With these assumptions and
scalings, the threshold voltage
The scaling analysis presented here correlates the JFET gate
current with the gate length. This allows for comparison with qa2 Nd
corresponding MOSFET gate currents, as well as with other Vt = Vbi − (1)
2s
properties, under scaling. A good deal of MESFET scaling
analysis applies to JFETs [11]. However, the literature does will also change slowly with scaling. Designing a particular Vt
not appear to include MESFET analyses suitable for examin- entails changing both the channel thickness and doping.
ing a JFET–MOSFET gate leakage crossover point. The simple The forward gate current density depends on the doping and
JFET scaling discussed later varies the structural dimensions thus the gate length. A 1-D ideal diode model illustrates this,
and dopings under an approximate constant voltage regime. A giving a gate current density of
constant voltage scheme applies to these enhancement mode  
1 Dn Dp
devices because forward diode currents limit supply voltages Jg = qn2i + (eV g /V t h − 1) (2)
κ N a Wp N d Wn
on the high end, and at the low end, OFF-state drain currents,
Ioff , limit the minimum practical values of the threshold volt- where ni is the intrinsic carrier concentration, Dn and Dp
age Vt . The voltages used in a given setting will depend on the the minority carrier diffusivities, Wn and Wp the neutral layer
figures-of-merit needed for that application. This conservative widths, and V the thermal potential. Consequently, in this model,
scaling scheme has the largest, and most problematic, dopant and neglecting that diffusivity decreases with doping, the for-
and field increases. A constant voltage scaling for the MOSFET ward gate current scales as Ig ∼ 1/κ3 . Such a 1-D model should
is also used for comparison. Other scaling regimes are possible overestimate the gate current density along the channel because
for both transistors. For example, a two-parameter generalized not all of the gate–channel interface sees the full gate volt-
scaling is common for MOSFETs [13], and the wider variety age, analogous with current crowding seen at the base–emitter

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
JACKSON et al.: JUNCTION FIELD EFFECT TRANSISTORS FOR NANOELECTRONICS 751

where µn is the channel electron mobility. For the short-channel


JFETs under consideration here, electron velocity saturation at
vsat will generally be important, for which the saturation drain
current approximately becomes
s vsat W
Idsat ≈ (Vg − Vt ). (4)
a
With velocity saturation, the transconductance in saturation,
gm sat ≈ s vsat W/a, does not decrease with downward scaling.
For the reference design in saturation with vsat = 107 cm/s, the
transconductance per gate width is 420 mS/mm.
The intrinsic gate capacitance can have contributions from
the depletion layer capacitance and, when the gate is forward-
biased, from diffusion capacitance. The diffusion capacitance
per area due to both electron and hole injection scales is
 
Fig. 2. Gate current density scaling of MOSFETs and JFETs versus gate 1 qn2i Wn Wp
length. The silicon JFET curves (solid black lines), plotted for 0.6, 0.7, and
diff.
Cg = 3 + eV g /V t h . (5)
κ 2Vth Nd Na
0.8 V gate biases, and the GaAs JFET curves (solid blue lines) for 0.9 and
1 V gate biases, come from 1-D models with bandgap narrowing. The 1 V The junction capacitance per area scales as
tunneling data [18] for MOSFET gate current densities through pure SiO2 (red
open diamonds) and pure HfO2 (red open triangles) are correlated with gate s s
lengths using the international technology roadmap for semiconductors (ITRS)
Cgdep. = κ ≈ κ . (6)
h a
road map [6] and fit with exponential dependences (red dash-dotted lines). The
silicon JFET band-to-band tunneling current density scaling estimate (green Estimating their contributions in an L = 25 nm device gives
dashed line) is for an abrupt junction and a 0.7 V drain-to-gate bias. The points Cgdep. = 8.4 × 10−7 F/cm2 and a much smaller Cgdiff. = 1.2 ×
plotted from the 25 nm JFET simulation include the gate current density (black
solid squares) at 0.6 and 0.7 V gate biases and band-to-band tunneling (green 10−8 F/cm2 , using a gate bias of Vg = 0.8 V and including
solid circle) at 0.7 V. bandgap narrowing for the diffusion capacitance. These values
and the respective scaling behaviors show that the diffusion
capacitance should be negligible with respect to the depletion
capacitance over the scales and biases of interest.
junction in bipolar transistors. The largest gate currents should The subthreshold drain current given by a 1-D channel barrier
flow for small drain–source biases. Under the present constant model is
potential scaling, with the diffusion current densities in the 
1 π W  
quasi-neutral p and n regions determining the forward gate cur- Ids = µn CD Vth2 e−(V g s −V t )/V t h 1 − e−V d s /V t h (7)
rents, such 2-D effects should have the same scaling behavior κ 2 L
as the 1-D model. where CD is the extrinsic Debye capacitance for the n-type
However, at the smaller dimensions of interest here, the higher channel doping [20]. Neglecting changes in mobility, in this
doping levels will make bandgap narrowing important, reducing model the subthreshold current will vary with CD , which con-
the gate–channel barrier and increasing the saturation current tributes the ∼κ scaling because of the changing doping. This
density. Fig. 2 plots a 1-D diode model for the gate current den- expression gives an inverse subthreshold slope of
sity versus gate length for the scaled reference design, including
bandgap narrowing [15], at gate potentials of Vg = 0.6, 0.7, and S = log10 (e)Vth ≈ 60 mV/decade. (8)
0.8 V. The neutral layer thicknesses for the reference design in For most practical devices, though, the inverse subthreshold
this 1-D model are Wn = Wp = L/2, and the minority carrier slope will be larger due to 2-D effects and to some potential
diffusivities are Dp = 4 cm2 /s [16] and Dn = 6.2 cm2/s [17]. drop across an underlying oxide.
The gate current densities trend upward with decreasing gate At the smallest dimensions, and thus largest doping con-
length due to bandgap narrowing, instead of following the be- centrations, band-to-band tunneling in the reverse-biased gate–
havior of (2). Significantly, throughout the 10–50 nm gate length drain junction can contribute significantly to leakage. The
range plotted, the gate current density for Vg = 0.6 V is on the tunneling is largest when the device is turned off with the source–
order of 1 A/cm2 , and the 0.7 V curve lies close to 100 A/cm2 , drain potential equal to the voltage supply. The tunneling cur-
which spans the range important for medium-performance ap- rent density depends sensitively on the local electric field. Fig. 2
plications [1]. includes an estimate of the band-to-band tunneling current den-
The typical JFET drain current expressions obtained in the sity scaling based on a phenomenological fit [1] of experimental
gradual channel approximation can be cast into a second-order data from Fair and Wivell [21] and from Stork and Isaac [22],
MOSFET-like form for enhancement mode devices [19]. In sat- corresponding to the JFET turned off and a 0.7 V potential on
uration, the drain current scales as the drain. The maximum electric field used for plotting comes
from the conservative assumption of an abrupt p-n junction.
1 s µn W  2 With this estimate, the band-to-band tunneling current becomes
Idsat = Vg − Vt (3) larger than the forward gate current density for L <
κ 2a L ∼ 20 nm.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
752 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 8, NO. 6, NOVEMBER 2009

A more realistic, graded junction significantly reduces the max- TABLE I


SCALING OF JFET AND MOSFET PARAMETERS AND PROPERTIES
imum electric field and the tunneling current, though making
such an estimate requires more device design assumptions than
those of Fig. 1. Solomon et al. [23] reported on extensive mea-
surements of the tunneling currents in heavily doped p-n junc-
tions and found an empirical fit based on the effective tunneling
distance between bands. While that empirical fit is not well
suited to the present scaling analysis, because of its effective
tunneling distance dependence on doping profiles, data from
particular measured devices can give some guidance for actual
junctions with graded compositions. For example, a p+ n diode
with n-doping of 6.2 × 1018 cm−3 (wafer PN-BF2 , Q2 [23]),
which here corresponds to the 25 nm JFET, had a tunneling
current of 1.5 × 10−3 A/cm2 at a reverse bias of 0.7 V, which
lies a factor of 100 below our estimate in Fig. 2. Some simple
design changes and tunneling estimates discussed in Section IV
indicate that prohibitively large band-to-band tunneling leakage
can be avoided down to 10 nm gate lengths in silicon devices.
Fig. 3. Model for 25 nm JFET simulation and PADRE potential solutions with
B. MOSFET Scaling Comparisons V d s = V g s = 0 V and 0.8 V.

The sharpest distinction between JFETs and MOSFETs un-


der scaling occurs for their gate currents. The MOSFET gate threshold voltage according to (1), though at the expense of
current leakage due to tunneling through the oxide varies ap- increasing band-to-band tunneling in the smallest devices.
proximately as e−α t o x /κ , where α is a material-dependent poten- Conversely, the JFET has an advantage of lower gate capaci-
tial barrier parameter. Fig. 2 plots estimates of MOSFET gate tance. The ratio of JFET to MOSFET intrinsic gate capacitances
current densities versus gate length for two limiting cases of is
gate oxide materials, assuming either SiO2 or pure, high dielec- Cg s tox
tric constant HfO2 . Experimental current densities for tunnel- = . (10)
Cox ox a
ing through SiO2 layers from Yeo et al. [18] at a gate potential
of 1 V are correlated with gate lengths using the 2005 ITRS Using the same parameter estimates as for the drain currents
roadmap [6] and fit with an exponential dependence in Fig. 2. gives a JFET capacitance that is approximately 1/3 that of a
Similarly, tunneling data at 1 V for HfO2 layers [18] are plotted similar MOSFET.
using their equivalent SiO2 thickness and fit with an exponen- Table I compiles the JFET scaling results and their counter-
tial dependence. The curves in Fig. 2 indicate that the crossover parts from a constant potential scaling of MOSFETs. Apart from
point from MOSFET to JFET gate current densities occurs at the dramatic difference in gate current scalings, JFETs behave
roughly a 20 nm gate length for pure hafnia and 35 nm for sili- quite similarly to MOSFETs under scaling.
con dioxide, assuming a 0.7 V JFET supply voltage. Generally,
the oxide tunneling will lie in between the fitted curves for SiO2 III. 25 nm JFET Simulation
and HfO2 , either because silicon oxynitride is used or because The properties of a simple n-channel, enhancement mode
pure hafnia will not be used. Additionally, oxide tunneling data JFET model with a gate length of L = 25 nm were investigated
for 0.7 V would shift the MOSFET curves leftward, but still using the PADRE semiconductor device simulation tool [24].
retain the exponential dependences. Fig. 3 depicts the model geometry, where the 12.5-nm-deep
A disadvantage of the JFET with respect to a similarly sized channel is doped to Nd = 5.0 × 1018 cm−3 , and the 25-nm-
MOSFET is that the drain current can be somewhat lower. Com- long gate that extends 10 nm into the substrate is doped to
paring the JFET drain current in saturation of (3) with an anal- p+ = 1.0 × 1020 cm−3 . The source and drain contacts are doped
ogous MOSFET square law model that includes the body effect to n+ = 1.0 × 1020 cm−3 and have symmetric setbacks from the
coefficient m [15] gives gate of 10 nm. The simulated device width was W = 100 nm.
JFET
Ids µn s tox The back side contact to the 77.5 nm silicon dioxide was de-
MOS
=m . (9) fined as p-type silicon and its potential was held at zero. The
Ids µeff ox a
simulations presented here included field- and concentration-
Here, the MOSFET inversion layer effective electron mobility dependent mobilities, bandgap narrowing from heavy doping,
is µeff , and the gate oxide permittivity and thickness are ox and band-to-band tunneling, Shockley–Reed–Hall recombination,
tox . For m = 1.4, tox /a = 1/10, s /ox = 3, and equal mobili- and Fermi–Dirac statistics.
ties, the idealized JFET drain current is approximately 2/5 the Adjusting the channel doping to the value given earlier gave
magnitude of a similarly sized MOSFET. This can be improved a threshold voltage of approximately 150 mV and the output
by making a smaller, while increasing Nd to maintain a given characteristics of Fig. 4. The electric potential solutions given

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
JACKSON et al.: JUNCTION FIELD EFFECT TRANSISTORS FOR NANOELECTRONICS 753

Fig. 4. Simulated forward drain characteristics for a 25 nm JFET. The gate Fig. 5. Simulated gate current versus gate voltage for a 25 nm JFET at V d s =
potential increases in steps of 0.1 V from 0 to 0.8 V. At V g = V d s = 0.7 V, 0.0 and 0.7 V. For V d s = 0.7 V, model runs with and without band-to-band
gm = 690 mS/mm, and gd s = 84 mS/mm. tunneling turned on show the relative contribution from tunneling.

in Fig. 3 correspond to the equilibrium solution and to the solu-


tion for Vg = Vds = 0.8 V in the characteristics of Fig. 4. The
drain current at Vg = Vds = 0.7 V, which will be taken here
as ION for comparison purposes, is around 200 µA/µm, at a
forward gate current density of 12 A/cm2 . This ON current com-
pares well with the drain current of approximately 300 µA/µm
at 0.7 V measured by Krivopavic et al. [25] for an experimental
MOSFET with L = 25 nm—though that MOSFET drive cur-
rent increased to approximately 1 mA/µm at 1.5 V; and the
gate current density through its nitridated silicon dioxide gate
dielectric was 25 A/cm2 at 0.9 V. Doris et al. [26] reported a a
drive current of approximately 300 µA/µm for an experimental
MOSFET with L = 21 nm at 0.7 V, which increased to 1 mA/µm
at 1.5 V; however, the corresponding gate current density was
Fig. 6. Simulated band-to-band tunneling in the reverse-biased gate–drain
not reported. Bai et al. [7] reported a drive current of approxi- junction. Tunneling currents were estimated by taking the difference between
mately 520 µA/µm for a production MOSFET with L = 35 nm model runs with and without band-to-band tunneling turned ON.
at 0.7 V, which increased to 1 mA/µm at 1.2 V. The aforemen-
tioned JFET Ion is slightly better than, but consistent with, what
would be expected from the JFET/MOSFET ratios of saturation neutral lengths in the 2-D simulation being larger than the 1-D
currents given by the ratio in (9). Optimizing the simple 25 nm model lengths, and possibly the differing model minority carrier
JFET design with some of the techniques proposed in Section IV diffusivities. The two curves for the simulated gate current with
could well narrow this performance gap. The transconductance Vds = 0.7 V correspond to two model runs, with and without
at Vg = Vds = 0.7 V is approximately gm = 690 mS/mm, and band-to-band tunneling turned ON. These runs allow the band-
the output conductance gds = 84 mS/mm. These values give a to-band tunneling at Vg = 0 V to be also plotted on the scaling
ratio of gm /gds = 8.2, which would be suitable for many digital axes of Fig. 2, again using the gate area to estimate the current
logic applications. density. The simulated tunneling current density is close to the
Fig. 5 plots the gate current versus the gate potential for analytic estimate. Taking the difference of the curves with and
two values of the drain–source potential, Vds = 0.0 and 0.7 V. without band-to-band tunneling gives the curve of Fig. 6. The
Allocating the resulting gate currents to the gate area, W L, point at 0.7 V gives a tunneling current of 60 pA per micrometer
gives the two points plotted in Fig. 2 for Vg = 0.6 and 0.7 V of gate length.
with Vds = 0.0 V. These two values lie below the predictions of Fig. 7 gives the transfer characteristics for the 25 nm JFET
the scaling model of Section II. For comparison, Solomon et al. model. At Vds = 0.7 V, Ioff = 60 nA/µm. This OFF current is
reported a forward diode current density of 50 A/cm2 at a bias of much larger than the band-to-band tunneling current, indicating
0.7 V for a p+ n diode with n-doping of 6.2 × 1018 cm−3 (wafer that the OFF current is a subthreshold diffusion current. Impor-
PN-BF2 , Q2), corresponding to the 25 nm JFET gate-channel tantly, because the band-to-band tunneling current in this model
junction [23]. The discrepancy may be due to a combination of device is a factor of 103 less than the diffusion current, a larger
the effective area being much less than the gate area, the effective channel doping concentration for an increased drive current

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
754 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 8, NO. 6, NOVEMBER 2009

should be meaningful. However, the abrupt junction will give


a noticeably higher maximum electric field, which will lead
to an overestimate of the reverse-bias band-to-band tunneling
leakage.

IV. DISCUSSION: NANOELECTRONIC JFET ENGINEERING


The scaling analysis and simulations of the preceding sec-
tions strongly suggest that complementary silicon JFETs may
compete with MOSFETs, at gate lengths below approximately
25 nm. The first section that follows discusses optimizing and
scaling the simulated 25 nm device. Optimizing the design may
enable it essentially to stand in for a 25 nm MOSFET that has
a hafnia-based dielectric at lower supply voltages. Addition-
ally, the great geometric similarity of the two devices’ layouts,
Fig. 7. Simulated transfer characteristics for a 25 nm JFET, on both semiloga-
rithmic and linear axes. The upper curves on a logarithmic current scale exhibit
and the materials and fabrication process compatibilities, should
inverse subthreshold slopes of 90–100 mV/decade and DIBL of approximately confer an advantage to the JFETs over some other devices under
115 mV/V. The lower curves on a linear current scale show threshold currents consideration for MOSFET replacement, as the impacts on the
of V t = 175 mV and 100 mV for V d s = 50 mV and 0.7 V, respectively.
system architecture would likely be modest. Scaling the opti-
mized 25 nm design to near 10 nm would benefit in part from
some techniques already developed for MOSFETs. In this scale
could be used while tolerating its larger band-to-band tunneling
range, the primary device engineering challenge will likely be
leakage. The simulated 25 nm JFET ION /IOFF ratio of 3.5 × 103
the leakage from band-to-band tunneling in the reverse-biased
at 0.7 V compares well with the measured MOSFET ratios at
gate–drain junction. The second section that follows discusses
0.7 V for the devices cited earlier with ION /IOFF = 5.2 × 103 at
the prospects for replacing silicon with III–V semiconductors
L = 35 nm [7], 2.1 × 103 at L = 25 nm [25], and 2.1 × 103 at
in JFETs to increase channel mobilities, for example, and to
L = 21 nm [26]. Those MOSFET ratios increased with supply
expand the range of possible heterostructures. Similar mate-
potential to, respectively, 1.46 × 104 at 1.2 V [7], 7.2 × 103 at
rial changes under consideration for MOSFETs would require
1.5 V [25], and 7.2 × 103 at 1.5 V [26]. The simulated JFET
identifying suitable gate insulators [31]. The third section that
shows a 90–100 mV/decade inverse subthreshold slope. This
follows discusses how JFETs might effectively scale below the
slope is slightly less than than the 115 mV/decade simulated for
10 nm barrier, imposed by leakage from source-to-drain tun-
a 25 nm MOSFET by Taur et al. [27] and comparable to the
neling [1]. Such scaling may require wraparound gates, to con-
95 mV/decade measurement reported by Krivokapic et al. [25].
trol short-channel effects, as well as source and drain material
The simulated transfer characteristics of Fig. 7 exhibit the short-
changes, to control source–drain tunneling.
channel effect of drain-induced barrier lowering (DIBL). Using
the threshold voltage shift between 0.05 V and 0.7 V rail volt-
ages of approximately 0.075 V, gives a DIBL of approximately A. Optimizing and Scaling Silicon-Based JFETs
115 mV/V. This value compares favorably with a measured Some straightforward improvements to the simple 25 nm de-
DIBL of 146 mV/V reported by Krivokapic et al. [25] but more sign could increase the ON drain current, reduce the leakage
than double the simulated value of 50 mV/V reported by Frank currents, and reduce the footprint. Referring to (3) for guidance,
et al. [1] for a 25 nm MOSFET. decreasing the channel thickness a increases the ON current,
While the abrupt junction model used here greatly simplifies and the threshold voltage can remain the same provided Nd in-
the doping profiles expected from fabrication, its concentra- creases to keep the product a2 Nd constant. Fig. 5 shows that
tions and depletion width are representative of reported junc- the greatest gate current flows for large gate bias and small
tions and should reasonably capture most behaviors of an actual source–drain potential, as would be found in an inverter with
JFET without introducing additional arbitrary model structure a high input. About half of this current flows through the end
parameters to describe doping implant profiles. For the 25 nm faces of the p-gate region simulated in Section III. Forming
JFET, relevant experimental p-n junction examples include those the gate instead by depositing a heavily doped polycrystalline
demonstrated by Park et al. [28] and the implant profiles reported silicon gate directly on the channel, using techniques similar
by Walther et al. [29]. Orlowski [30] reviewed some research to those used for bipolar junction transistor emitters, would re-
underway to develop just such shallow, high-concentration junc- duce the junction area and current. Such a raised gate would
tions that the ITRS roadmap [6] calls for by the end of the decade similarly reduce band-to-band tunneling at the drain end of
for MOSFETs. The abrupt junction JFET model should give the gate and reduce gate–source and gate–drain capacitances.
quantitatively similar results to a graded junction, with graded Importantly, the polycrystalline-silicon-raised gate would facil-
junctions improving the transconductance linearity [14]. Irre- itate a self-aligned gate process directly analogous to that used
spective of profile, the threshold voltage and channel current for MOSFETs. Reducing the source and drain contact setbacks
are determined by the designed channel charge and depth, and can reduce the device footprint, until band-to-band tunneling
thus quantitative comparisons with the abrupt junction model at the gate–drain junction becomes prohibitive. Engineering the

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
JACKSON et al.: JUNCTION FIELD EFFECT TRANSISTORS FOR NANOELECTRONICS 755

lateral doping gradient between the gate and drain can reduce to decrease gate leakage. For example, Fig. 2 includes gate cur-
the maximum electric field, and consequently, the tunneling, al- rent density estimates for enhancement mode GaAs JFETs using
lowing a shorter setback, and the setbacks can be asymmetric, a 1-D diode model. The curves in Fig. 2 use constant values for
with a smaller source–gate setback. the product of minority carrier diffusivity and effective intrin-
The basic enhancement mode JFET can benefit from tech- sic concentration, with nie D of 1013 cm−4 /s for electrons and
nologies developed to scale MOSFET performance without 2 × 1015 cm−4 /s for holes, because for GaAs at very high dop-
changing the gate length [32]. The raised gate can serve as ing levels, bandgap narrowing is counterbalanced by a widening
an ion implantation mask for a halo implant to reduce short- due to degeneracy effects [41]. At a given forward bias, these
channel effects. Uniaxial strain can improve mobility [33], [34]. calculations give several orders of magnitude decease in gate
Fabricating a p-channel transistor on (1 1 0) silicon can decrease current density compared to silicon devices. This suggests that
the effective mass, and thus, increase the hole current. The JFET GaAs-based JFETs could be the preferred devices for low-power
also lends itself to using higher mobility silicon germanium al- applications, which otherwise impose a minimum gate length of
loys in the channel, though material with smaller bandgaps can approximately 35 nm for silicon MOSFETs [1]. Additionally,
greatly increase the band-to-band tunneling leakage. the larger bandgap of GaAs would greatly reduce leakage from
Band-to-band tunneling in the reverse-biased gate–drain junc- band-to-band tunneling. The mobility of the channel can be
tion presents a design challenge in scaling the 25 nm device to increased by defining the channel bottom with a heterobarrier
10 nm. This problem is directly analogous, and of a similar mag- and using “modulation” or “remote” doping. Using a smaller
nitude, to the well-studied drain-to-body tunneling problem in bandgap gate could significantly reduce gate injection into the
MOSFETs [1]. For the JFET, the constant potential scaling of JFET channel, though a larger bandgap in the gate depletion
Section II indicates that the channel thickness should decrease field would still be desirable to avoid band-to-band tunneling.
from 12.5 nm to 5 nm, and the channel doping should increase to Further modifications can include using high-mobility quantum
Nd = 4.0 × 1019 cm−3 . Using the 1-D, one-sided abrupt junc- wells to define the channel, which have been shown to lead to
tion model plotted in Fig. 2, with a 0.7 V reverse bias, band-to- large transconductances [42].
band tunneling would be of the order of 100 kA/cm2 . If, instead,
a linearly graded junction model is used, then the estimate is C. Nanowire JFETs and the 10 nm Barrier
of the order of 1 kA/cm2 [21]. The tunneling measurements
Double gate and wraparound gate geometries have been in-
from Solomon et al. include data from two p+ n junctions with
vestigated for MOSFETs to control short-channel effects [32],
n dopings of Nd = 2.21 × 1019 and 9.05 × 1019 cm−3 (wafer
[43]. JFETs lend themselves to similar modifications, which
PN-BF2 Q5 and Q8) that gave tunneling currents of 3 A/cm2 and
may be necessary for scaling below the 10 nm barrier. A cylin-
70 A/cm−2 , respectively. Those data show both that the tunnel-
drical geometry has the best scaling properties for controlling
ing estimates for the JFET are conservative and that the tun-
short-channel effects [44]. Nanowires grown with a catalyst nat-
neling leakage at 10 nm may well be manageable. Generally,
urally have this geometry. They allow much greater composition
a too large leakage could in part be reduced by using a less
modulation than planar structures because the strain constraint
conservative L/a ≈ 1.5, enabled by a halo implant to control
is relaxed, and thus a greater range of electronic structure en-
short-channel effects, and allowing for a lower channel doping.
gineering [45]–[48]. Well-defined heterostructures in both the
Further reducing the doping gradient at the metallurgical junc-
radial and axial direction have been reported [48], [49]. Radial
tion also helps. For example, in the idealized limit of an undoped
heterostructures can, in principle, be used to engineer the gate
10 nm layer between the gate and channel dopings, giving an
leakage, and axial heterostructures to control the source–drain
electric field of approximately 1.7 MV/cm, the tunneling current
tunneling. Epitaxial III–V nanowires have been grown directly
would be on the order of 1 A/cm2 [1]. This dopant gradient could
on silicon [50]. Nanowire MOSFETs have been made both in
be approximated by having the peak of the channel doping occur
silicon and III–V semiconductors [51]–[54]. Schottky contacts
at the bottom of the channel, by not fully scaling the gate–drain
for the source and drain can be utilized to reduce the source
setback, and by having the gate–channel metallurgical junction
to drain leakage current, with nickel disilicide contacts to sili-
occur slightly up in the gate.
con nanowire reported [55]. However, practical nanowire JFETs
present great challenges in growth and doping control.
B. Compound Semiconductors and Heterostructures
Changing the JFET semiconductor from silicon to a III–V V. CONCLUSION
compound semiconductor can improve some device properties This paper has examined the implications of a gate leakage
and allow for a wider range of heterostructures for device en- crossover point from MOSFETs to JFETs under scaling. A sim-
gineering. The semiconductors used for JFETs include Si, SiC, ple scaling analysis suggests that a practical crossover point
GaAs, InP, GaP, and InGaAs/InP [35]–[38]. Enhancement mode lies in the vicinity of 25 nm, depending on the supply voltage
GaAs JFETs have been studied for ICs [39]. A similar semicon- and the MOSFET gate insulator being considered. Otherwise,
ductor change for MOSFETs entails developing suitable di- the analysis indicates that while the JFET drain current can be
electrics, greatly complicated by the ubiquitous interface states somewhat smaller than a similar MOSFET, the gate capacitance
at the III–V semiconductor–oxide interface [40]. The perfor- is also smaller, and other properties scale similarly to those of
mance benefits can include larger mobilities and larger bandgaps MOSFETs. Numerical simulations of a 25 nm JFET showed

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
756 IEEE TRANSACTIONS ON NANOTECHNOLOGY, VOL. 8, NO. 6, NOVEMBER 2009

behavior and performance comparable to reported 25 nm MOS- [11] G. V. Ram and M. I. Elmasry, “On the scaling of Si-MESFETs,” IEEE
FETs at the same lower supply voltage and consistent with Electron Device Lett., vol. EDL-1, no. 12, pp. 259–262, Dec. 1980.
[12] K. P. MacWilliams and J. D. Plummer, “Device physics and tech-
the JFET scaling analysis. A number of modifications to the nology of complementary silicon MESFETâs for VLSI applications,”
idealized 25 nm model to increase its performance, including IEEE Trans. Electron Devices., vol. 38, no. 12, pp. 2619–2631, Dec.
a self-aligned gate proposal and the application of techniques 1991.
[13] G. Baccarani, M. R. Wordeman, and R. H. Dennard, “Generalized scaling
already developed for MOSFETs, were outlined that may en- theory and its application to a micrometer MOSFET design,” IEEE Trans.
able it to stand in for 25 nm MOSFETs in some circumstances, Electron Devices., vol. ED-31, no. 4, pp. 452–462, Apr. 1984.
and subsequently, be scaled to the 10 nm range. If III–V semi- [14] S. M. Sze, Physics of Semiconductor Devices. New York: Wiley, 1981.
[15] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. Cam-
conductors replace silicon in future 10-nm-scale technologies, bridge, U.K.: Cambridge Univ. Press, 2002.
JFETs have a natural advantage over MOSFETs because of the [16] C. H. Wang, K. Misiakos, and A. Neugroschel, “Minority-carrier transport
great challenge of developing a high-quality insulator for III–V parameters in n-type silicon,” IEEE Trans. Electron Devices, vol. 37, no. 5,
pp. 1314–1322, May 1990.
semiconductors. Because of the geometrical and electrical sim- [17] S. E. Swirhun, Y.-H. Kwark, and R. M. Swanson, “Measurement of elec-
ilarities of the JFET and the MOSFET, the consequences for the tron lifetime, electron mobility and band-gap narrowing in heavily doped
system architecture may well be modest, especially compared to p-type silicon,” in Proc. IEDM, 1986, vol. 86, pp. 24–27.
[18] Y.-C. Yeo, T.-J. King, and C. Hu, “Direct tunneling leakage current and
the architectural changes that would be needed for other devices scalability of alternative gate dielectrics,” Appl. Phys. Lett., vol. 81, no. 11,
under development for MOSFET replacements. Taken together, pp. 2091–2093, Sep. 2002.
the results presented here suggest that the crossover point oc- [19] D. A. Neaman, in Semiconductor Physics and Devices, K. Butcher, Ed.
New York: McGraw-Hill, 2003.
curs at a technologically relevant point between 20 and 30 nm [20] R. J. Brewer, “The ‘barrier mode’ behaviour of a junction FET at low
for silicon devices, and that JFETs may well be of interest for drain currents,” Solid State Electron., vol. 18, pp. 1013–1017, 1975.
upcoming silicon technology nodes, and perhaps beyond. [21] R. B. Fair and H. W. Wivell, “Zener and avalanche breakdown in As-
implanted low-voltage Si n-p junctions,” IEEE Trans. Electron Devices,
vol. ED-23, no. 5, pp. 512–518, May 1976.
REFERENCES [22] J. M. C. Stork and R. D. Isaac, “Tunneling in base-emitter junctions,”
IEEE Trans. Electron Devices, vol. ED-30, no. 11, pp. 1527–1534, Nov.
[1] D. J. Frank, “Power-constrained CMOS scaling limits,” IBM J. Res. 1983.
Develop., vol. 46, no. 2/3, pp. 235–244, Mar./May 2002. [23] P. M. Solomon, J. Jopling, D. J. Frank, C. D’Emic, O. Dokumaci,
[2] D. J. Frank, R. H. Dennard, E. Nowak, Y. T. Paul, M. Solomon, and P. Ronsheim, and W. E. Haensch, “Universal tunneling behavior in tech-
H.-S. P. Wong, “Device scaling limits of Si MOSFETs and their appli- nologically relevant P/N junction diodes,” J. Appl. Phys., vol. 95, no. 10,
cation dependencies,” Proc. IEEE, vol. 89, no. 3, pp. 259–288, Mar. pp. 5800–5812, 2004.
2001. [24] [Online]. Available: Simulations were performed by PADRE on
[3] J. D. Plummer and P. B. Griffin, “Material and process limits in silicon http://nanohub.org
VLSI technology,” Proc. IEEE, vol. 89, no. 3, pp. 240–258, Mar. 2001. [25] Z. Krivokapic, W. Maszara, F. Arasnia, E. Paton, Y. Kim, L. W. Marathe,
[4] T. Ghani, K. Mistry, P. Packan, S. Thompson, M. Stealer, S. Tyagi, and and M.-R. Lin, “High performance 25 nm FDSOI devices with extremely
M. Bohr, “Scaling challenges and device design requirements for high thin silicon channel,” in Proc. 2003 Symp. VLSl Technol. Dig. Tech. Papers,
performance sub-50 nm gate length planar CMOS transistors,” in Proc. pp. 131–132.
2000 Symp. VLSI Technol. Dig. Tech. Papers, 2000, pp. 174–175. [26] B. Doris, M. Ieong, T. Kanarsky, Y. Zhang, R. Roy, O. Dokumaci, Z. Ren,
[5] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, “Quantum-mechanical F.-F. Jamin, L. Shi, W. Natzle, H.-J. Huang, J. Mezzapelle, A. Mocuta,
modeling of electron tunneling current from the inversion layer of ultra- S. Womack, M. Gribelyuk, E. Jones, R. Miller, H.-S. Wong, and
thin-oxide nMOSFETs,” IEEE Electron Device Lett., vol. 18, no. 5, W. Haensch, “Extreme scaling with ultra-thin Si channel MOSFETs,” in
pp. 209–211, May 1997. Proc. Electron Devices Meeting, 2002, IEDM ’02. Digest. Int., pp. 267–
[6] SIA, “International technology roadmap for semiconductors,” Semicon- 270.
ductor Industry Association (SIA), Semiconductor Industry Association, [27] Y. Taur, C. H. Wann, and D. J. Frank, “25 nm CMOS design considera-
San Jose, CA, 2005. tions,” in Proc. IEDM, 1998, vol. 29, pp. 789–792.
[7] P. Bai, C. Auth, S. Balakrishnan, M. Bost, R. Brain, R. H. V. Chikarmane, [28] H.-S. Park, K. Jeong, H.-W. Suh, H.-J. Jung, and W.-K. Choi, “5.6-nm p+/n
M. Hussein, J. Hwang, D. Ingerly, R. James, C. K. J. Jeong, E. Lee, junction formation for sub-0.05-µm PMOSFETs by using low-energy
S.-H. Lee, N. Lindert, M. Liu, Z. Ma, T. Marieb, A. Murthy, R. Nagisetty, B1 0 H1 4 ion implantation,” J. Korean Phys. Soc., vol. 44, no. 6, pp. 1594–
S. Natarajan, J. Neirynck, A. Ott, J. S. C. Parker, R. Shaheed, S. Sivakumar, 1597, 2004.
C. Weber, B. Woolery, A. Yeoh, K. Zhang, and M. Bohr, “A 65 nm logic [29] S. Walther, L. Godet, T. Büyüklimanli, and J. Weeman, “Plasma implanted
technology featuring 35 nm gate lengths, enhanced channel strain, 8 Cu ultra shallow junction boron depth profiles: Effect of plasma chemistry
interconnect layers, low-k ILD and 0.57 um2 SRAM cell,” in Proc. 2004 and sheath conditions,” J. Vac. Sci. Techol. B, Microelectron., vol. 24,
Int. Electron Devices Meeting, pp. 657–660. no. 1, pp. 489–493, 2006.
[8] K. Mistry, C. Allen, C. Auth, B. Beattie, D. Bergstrom, M. Bost, [30] M. Orlowski, “CMOS challenges of keeping up with Moore’s law,” in
M. Brazier, M. Buehler, A. Cappellani, R. Chau, C.-H. Choi, G. Ding, Proc. Adv. Thermal Process. Semicond. 2005, 13th IEEE Int. Conf., p. 19.
K. Fischer, T. Ghani, R. Grover, W. Han, D. Hanken, M. Hattendorf, [31] R. Chau, S. Datta, M. Doczy, B. Doyle, B. Jin, J. Kavalieros, A. Majumdar,
J. He, J. Hicks, R. Heussner, D. Ingerly, P. Jain, R. James, L. Jong, M. Metz, and M. Radosavljevic, “Benchmarking nanotechnology for high-
S. Joshi, C. Kenyon, K. Kuhn, K. Lee, H. Liu, J. Maiz, B. McIntyre, performance and low-power logic transistor applications,” IEEE Trans.
P. Moon, J. Neirynck, S. Pae, C. Parker, D. Parsons, C. Prasad, L. Pipes, Nanotechnol., vol. 4, no. 2, pp. 153–157, Mar. 2005.
M. Prince, P. Ranade, T. Reynolds, J. Sandford, L. Shifren, J. Sebastian, [32] H. S. P. Wong, “Beyond the conventional transistor,” IBM J. Res. Develop.,
J. Seiple, D. Simon, S. Sivakumar, P. Smith, C. Thomas, T. Troeger, vol. 46, no. 2/3, pp. 133–168, Mar./May 2002.
P. Vandervoorn, S. Williams, and K. Zawadzki, “A 45 nm logic technol- [33] C. S. Smith, “Piezoresistance effect in germanium and silicon,” Phys.
ogy with high-k+metal gate transistors, strained silicon, 9 Cu interconnect Rev., vol. 94, pp. 42–49, 1954.
layers, 193 nm dry patterning, and 100% Pb-free packaging,” in Proc. [34] B. M. Haugerud, L. A. Bosworth, and R. E. Belford, “Mechanically in-
Electron Devices Meeting 2007, IEDM Tech. Dig., pp. 247–250. duced strain enhancement of metaloxidesemiconductor field effect tran-
[9] B.-Y. Tsaur and H. K. Choi, “Radiation-hardened silicon-on-insulator sistors,” J. Appl. Phys., vol. 94, no. 6, pp. 4102–4107, Sep. 2003.
complementary junction field-effect transistors,” IEEE Electron Device [35] J. McGarrity, F. McLean, W. DeLancey, J. Palmour, C. Carter, J. Edmond,
Lett., vol. EDL-7, no. 5, pp. 324–326, May 1986. and R. Oakley, “Silicon carbide JFET radiation response,” IEEE Trans.
[10] L. F. Halle and J. F. Knudsen, “JFET SOS devices-Part I: Transistor Nucl. Sci., vol. 39, no. 6, pp. 1974–1981, Dec. 1992.
characteristics and modeling results,” IEEE Trans. Electron Devices, [36] C. A. Liechti, “Microwave field-effect transistors-1976,” IEEE Trans.
vol. 35, no. 3, pp. 353–358, Mar. 1988. Microw. Theory, vol. MTT-24, no. 6, pp. 279–300, Jun. 1976.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.
JACKSON et al.: JUNCTION FIELD EFFECT TRANSISTORS FOR NANOELECTRONICS 757

[37] A. Chena and J. M. Woodall, “Field-effect transistors on molecular beam [48] L. J. Lauhon, M. S. Gudiksen, D. Wang, and C. M. Lieber, “Epitaxial core-
epitaxy GaP,” Appl. Phys. Lett., vol. 90, pp. 103 509-1–103 509-3, 2007. shell and core-multishell nanowire heterostructures,” Nature, vol. 420,
[38] J. G. Bauer, H. Albrecht, L. Hoffmann, D. Romer, and J. W. Walter, pp. 57–61, Nov. 2002.
“Locally ion-implanted JFET in an InGaAs/InP p-i-n photodiode layer [49] Y. Wu, R. Fan, and P. Yang, “Block-by-block growth of single-crystalline
structure for a monolithically planar integrated receiver OEIC,” IEEE Si/SiGe superlattice nanowires,” Nano Lett., vol. 2, no. 2, pp. 83–86, Jan.
Photon. Techol. Lett., vol. 4, no. 3, pp. 253–255, Mar. 1992. 2002.
[39] R. Zuleeg, J. K. Notthoff, and K. Lehovec, “Femtojoule high-speed planar [50] T. Martensson, C. P. T. Svensson, B. A. Wacaser, M. W. Larsson, W. Seifert,
GaAs E-JFET logic,” IEEE Trans. Electron Devices, vol. ED-25, no. 6, K. Deppert, A. Gustafsson, L. R. Wallenberg, and L. Samuelson, “Epitax-
pp. 628–639, Jun. 1978. ial III-V nanowires on silicon,” Nanoletters, vol. 4, no. 10, pp. 1987–1990,
[40] C. Wilmsen, Physics and Chemistry of III-V Compound Semiconductor 2004.
Interfaces Plenum, C. Wilmsen, Ed. New York: Plenum, 1985. [51] Q. Tang, T. I. Kamins, X. Liu, D. E. Grupp, and J. S. Harrisa, “In situ p-n
[41] E. S. Harmon, M. R. Melloch, and M. S. Lundstrom, “Effective band-gap junctions and gated devices in titanium-silicide nucleated Si nanowires,”
shrinkage in GaAs,” Appl. Phys. Lett., vol. 64, no. 4, pp. 502–504, Jan. Electrochem. Solid State Lett., vol. 8, no. 8, pp. G204–G208, Apr. 2005.
1994. [52] J. Goldberger, A. I. Hochbaum, R. Fan, and P. Yang, “Silicon vertically
[42] W. C. B. Peatman, H. Park, and M. Shur, “Two-dimensional metal- integrated nanowire field effect transistors,” Nano Lett., vol. 6, no. 5,
semiconductor field effect transistor for ultra low power circuit appli- pp. 973–977, Feb. 2006.
cations,” IEEE Electron. Device Lett., vol. 15, no. 7, pp. 245–247, Jul. [53] M. T. Bjork, O. Hayden, H. Schmid, H. Riel, and W. Riess, “Vertical
1994. surround-gated silicon nanowire impact ionization field-effect transistors,”
[43] M. Ieong, B. Doris, J. Kedzierski, K. Rim, and M. Yang, “Silicon device Appl. Phys. Lett., vol. 90, pp. 142 110-1–142 110-3, Jan. 2007.
scaling to the sub-10-nm regime,” Science, vol. 306, no. 17, pp. 2057– [54] T. Martensson, P. Carlberg, M. Borgstrom, W. S. Lars Montelius, and
2060, Dec. 2004. L. Samuelson, “Nanowire arrays defined by nanoimprint lithography,”
[44] C. P. Auth and J. D. Plummer, “Scaling theory for cylindrical, fully- Nano Lett., vol. 4, no. 4, pp. 699–702, 2004.
depleted, surrounding-gate MOSFETs,” IEEE Electron. Device Lett., [55] Y. Wu, J. Xiang, C. Wang, W. Lu, and C. M. Lieber, “Single-crystal
vol. 18, no. 2, pp. 74–76, Feb. 1997. metallic nanowires and metal/semiconductor nanowire heterostructures,”
[45] Y. Wo, R. Fan, and P. Yang, “Block-by-block growth of single-crystal Nature, vol. 430, pp. 61–65, Jul. 2004.
Si/SiGe superlattice nanowires,” Nano Lett., vol. 2, no. 2, pp. 83–89,
2002.
[46] M. S. Gudiksen, L. J. Lauhon, J. Wang, D. C. Smith, and C. M. Lieber,
“Growth of nanowire superlattice structures for nanoscale photonics and
electronics,” Nature, vol. 415, pp. 617–619, Feb. 2002.
[47] M. Law, J. Goldberger, and P. Yang, “Semiconductor nanowires and nan-
otubes,” Annu. Rev. Mater. Res., vol. 34, pp. 83–122, Feb. 2004. Authors’ photographs and biographies not available at the time of publication.

Authorized licensed use limited to: K.S. Institute of Technology. Downloaded on January 30, 2010 at 10:54 from IEEE Xplore. Restrictions apply.

You might also like