You are on page 1of 9

Phụ lục

Hướng dẫn sử dụng phần mềm Active-HDL


Kích đúp vào biểu tượng Active-HDL trên Destop
Tạo Workspace kích vào tùy chọn [Create new workspace] nhấn [OK]

Sau đó gõ vào tên Workspace và vị trí lưu thư mục chọn [OK]
Tạo thiết kế chọn [Creat an Empty Design]
Nhấn Next

Tùy chọn nhà cung cấp, công nghệ và ngôn ngữ


Nhập vào tên của thiết kế

Nhấn Next
Kiểm tra lại thông tin xem có sai sót gì không nếu có nhấn phím Back quay lại để
sửa chữa, nếu không nhấn Finish
Thêm các file mã nguồn VHDL
Kích chuột phải vào Add new file Chọn new chọn VHDL Source

Đánh dấu vào [Add the generated file to the design] chọn next

Gõ vào tên thực thể muốn thiết kế các giá trị dưới thường để giá trị mặc định sau
đó ấn phím next
Active HDL hỗ trợ cho việc khai báo các cổng vào, ra và các kiểu dữ liệu sẽ được
tự động sinh ra sau khai báo ở cửa sổ dưới. Trong ví dụ dưới ta thấy có 3 tín hiệu vào là
d, clk và Rst và một tín hiệu đầu ra là q. Khi khai báo các cổng vào ra xong thì chương
trình sẽ tự động sinh ra cho chúng ta đoạn mã

Nhấn Finish ta được đoạn mã sau:


Nếu ta cần dùng thêm các thư viện nào thì ta phải gõ bổ xung thêm vào.
Ví dụ DFF
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_UNSIGNED.all; -- Thư viện cần dùng được gõ bổ xung
vào trong chương trình.
entity DFF is
port(
d : in STD_LOGIC;
clk : in STD_LOGIC;
Rst : in STD_LOGIC;
q : out STD_LOGIC
);
end DFF;
--}} End of automatically maintained section
architecture DFF of DFF is
begin
Process(clk,d,Rst)
Begin
If (Rst= '1') then
q<='0';
Elsif (clk'event and clk='1') then
q<=0;
End if;
End Process;
end DFF;
Sau khi viết xong mã VHDL la cần biên dịch để kiểm tra xem đoạn mã chúng ta
viết có lỗi hay không bằng cách ấn phím [F11] hoặc làm theo cách ở hình vẽ.
Nếu chương trình của chúng ta có lỗi thì nó sẽ báo cho chúng ta biết vị trí lỗi xảy
ra để cho chúng ta dễ dàng chỉnh sửa.
Khi chương trình của chúng ta viết không còn lỗi chúng ta cần tiến hành mô phỏng
chức năng của chương trình, kiểm tra chương trình của chúng ta đã hoạt động đúng theo
yêu cầu thiết kế hay chưa.
Ta thêm dạng sóng vào chương trình dùng để mô phỏng như sau:

Ta sẽ được màn hình dạng sóng như sau. Chương trình cho phép ta thêm các dạng
sóng để thực hiện quá trình mô phỏng.
Ta cũng có thể thêm dạng sóng vào chương trình dùng để mô phỏng bằng cách
kích vào nút thêm dạng sóng trên thanh công cụ.

Khi đó cửa sổ dạng sóng của chúng ta chưa có một tín hiệu nào. Nếu muốn bổ
xung thêm tín hiệu ta cần kéo và thả các tín hiệu từ cửa sổ thiết kế sang cửa sổ dạng sóng.
Giả sử trong hình dưới chúng ta mới kéo 2 tín hiệu là d và clk.

Tiếp theo chúng ta cần thêm dạng cho tín hiệu cần mô phỏng.
Sau đó căn cứ vào yêu cầu của tín hiệu vào ta chọn loại tín hiệu tương ứng trong
dãy tín hiệu.

Sau khi đã hoàn thành các tín hiệu cần mô phỏng chúng ta tiến hành chạy mô
phỏng. Thông thường chúng ta thường chọn một khoảng thời gian mô phỏng để thuận
tiện cho quá trình theo dõi và chỉnh sửa chương trình.
Trong ví dụ dưới chúng ta chọn thời gian mô phỏng là 1000ns.

Xem xét dạng sóng của tín hiệu chúng ta có thể kết luận là mạch của chúng ta đã hoạt
động chính xác hay chưa.

You might also like