You are on page 1of 275

TRUNG TM TH NGHIM THC HNH IN T

B MN IN T CNG NGHIP

T
T

I
I
L
L
I
I

U
U
H
H

N
N
G
G
D
D

N
N

T
T
H
H

N
N
G
G
H
H
I
I

M
M
-
-
T
T
H
H

C
C
H
H

N
N
H
H




B BI I N N S SO O N N: : P PH H M M Q QU UA AN NG G T TR R

TP. H CH MINH
11 - 2005
MC LC

CHNG 1: CU HNH CA M HNH TH NGHIM VI IU KHIN. ...................................................1

1.1 Gii thiu: ..............................................................................................................................................................1
1.2 Cu hnh ca m hnh th nghim vi iu khin: ............................................................................................. .....2
1.2.1 Khi lp trnh vi iu khin: .....................................................................................................................2
1.2.2 Khi vi iu khin:....................................................................................................................................4
1.2.3 Khi LED im:........................................................................................................................................6
1.2.4 Khi LED 7 on: .....................................................................................................................................7
1.2.5 Khi LED ma trn:..................................................................................................................................11
1.2.6 Khi LCD: ..............................................................................................................................................13
1.2.7 Khi cng tc: .........................................................................................................................................14
1.2.8 Khi nt nhn:.........................................................................................................................................15
1.2.9 Khi bn phm:........................................................................................................................................16
1.2.10 Khi relay: ..............................................................................................................................................17
1.2.11 Khi to xung:.........................................................................................................................................18
1.2.12 Khi to p thay i:...............................................................................................................................19
1.2.13 Khi iu khin ng c bc:...............................................................................................................20
1.2.14 Khi Serial EEPROM: ............................................................................................................................21
1.2.15 Khi cm bin nhit: ...............................................................................................................................22
1.2.16 Khi m d liu: ...................................................................................................................................24
1.2.17 Khi gii m:...........................................................................................................................................26
1.2.18 Khi ADC: ..............................................................................................................................................28
1.2.19 Khi DAC: ..............................................................................................................................................29
1.2.20 Khi RTC:...............................................................................................................................................31
1.2.21 Khi thanh ghi dch:................................................................................................................................32
1.2.22 Khi m rng port I/O: ...........................................................................................................................34
1.2.23 Khi giao tip PC:...................................................................................................................................37
1.2.24 Khi m rng bus: ..................................................................................................................................39

CHNG 2: HNG DN S DNG PHN MM MCU PROGRAM LOADER. .....................................40
2.1 Gii thiu: ............................................................................................................................................................40
2.2 Hng dn s dng phn mm MCU Program Loader: ......................................................................................41

CHNG 3: H THNG CC BI THC HNH VI IU KHIN.............................................................47
Mt s lu khi vit chng trnh v kt ni mch ............................................................................................47
A. H thng iu khin LED n....................................................................................................................48
Mc ch: ................................................................................................................................................48
Yu cu: ..................................................................................................................................................48
Bi 1: Chng trnh iu khin 8 LED c ni vi Port0 sng tt. .....................................................48
Bi 2: Chng trnh iu khin m ln nh phn 8 bit v hin th trn 8 LED c ni vi Port0......51
Bi 3: Chng trnh iu khin 8 LED c ni vi Port0 sng dn v tt ht. ...................................52
Bi 4: Chng trnh iu khin 8 LED c ni vi Port0 sng ui. ..................................................54
Bi 5: Chng trnh iu khin 8 LED c ni vi Port0 sng dn. ...................................................56
Bi 6: Chng trnh iu khin 8 LED c ni vi Port0 hot ng bng cch tng hp cc phng
php iu khin thc tp....................................................................................................................58
Bi 7: Chng trnh con iu khin to thi gian tr 200s, 20ms, 2s s dng Timer. .........................60
B. H thng iu khin LED 7 on. ..............................................................................................................62
Mc ch: ................................................................................................................................................62
Yu cu: ..................................................................................................................................................62
B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD. 62
o Bi 1: Chng trnh iu khin hin th s 7 trn LED3. ................................................................62
o Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED3...................................64
o Bi 3: Chng trnh iu khin hin th s 35 trn hai LED. ..........................................................66
o Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................67
o Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED. .....................................................68
o Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. .................69
B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on.
70
o Bi 1: Chng trnh iu khin hin th s 7 trn LED3. ................................................................70
o Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED3...................................71
o Bi 3: Chng trnh iu khin hin th s 35 trn hai LED. ..........................................................73
o Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................74
o Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED. .....................................................75
o Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. .................76
B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng
dng vi mch gii a hp bn ngoi). .....................................................................................................78
o Bi 1: Chng trnh iu khin hin th s 1 trn LED7. ................................................................78
o Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7...................................79
o Bi 3: Chng trnh iu khin hin th s 12 trn hai LED. ..........................................................81
o Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................84
o Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED. .....................................................86
o Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. .................87
o Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. .............................................89
o Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED...91
B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng
dng vi mch gii a hp bn ngoi). .....................................................................................................93
o Bi 1: Chng trnh iu khin hin th s 1 trn LED7. ................................................................93
o Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7...................................94
o Bi 3: Chng trnh iu khin hin th s 12 trn hai LED. ..........................................................96
o Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED............................99
o Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED. ...................................................101
o Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. ...............102
o Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. ...........................................105
o Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED. 107
B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi
mch gii a hp bn ngoi). ................................................................................................................110
o Bi 1: Chng trnh iu khin hin th s 1 trn LED7. ..............................................................110
o Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7.................................111
o Bi 3: Chng trnh iu khin hin th s 12 trn hai LED. ........................................................113
o Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED..........................116
o Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED. ...................................................118
o Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. ...............121
o Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. ...........................................122
o Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED. 123
B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng
vi mch gii a hp bn ngoi). ............................................................................................................125
o Bi 1: Chng trnh iu khin hin th s 1 trn LED7. ..............................................................125
o Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7.................................126
o Bi 3: Chng trnh iu khin hin th s 12 trn hai LED. ........................................................128
o Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED..........................131
o Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED. ...................................................133
o Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED. ...............134
o Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED. ...........................................137
o Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED. 139
ng dng iu khin LED 7 on tng hp. ........................................................................................142
o Bi 1: Chng trnh iu khin hin th m GI PHT GIY trn su LED. ......................142
o Bi 2: Chng trnh iu khin hin th chui k t -HA-NOI- trn tm LED..........................145
o Bi 3: Chng trnh iu khin hin th v chp tt chui k t -HA-NOI- trn tm LED. ....147
o Bi 4: Chng trnh iu khin hin th ln lt cc chui k t -HA-NOI, -DA-LAT-, -
SAIGON- trn tm LED. Mi chui hin th cch nhau 1 giy....................................................149
o Bi 5: Chng trnh iu khin hin th v dch chuyn chui k t -HA-NOI-SAI-GON- trn
tm LED t phi sang tri. .............................................................................................................151
C. H thng iu khin LED ma trn...........................................................................................................153
Mc ch: ..............................................................................................................................................153
Yu cu: ................................................................................................................................................153
Bi 1: Chng trnh iu khin hin th ch A mu trn LED ma trn...........................................154
Bi 2: Chng trnh iu khin hin th ch S mu trn LED ma trn sng tt .............................157
Bi 3: Chng trnh iu khin hin th ln lt cc ch A, B, C, a, b, c mu trn LED ma trn........
...............................................................................................................................................................159
Bi 4: Chng trnh iu khin hin th chui k t WELLCOME mu trn LED ma trn dch
chuyn t phi sang tri........................................................................................................................161
D. H thng iu khin bn phm. ................................................................................................................163
Mc ch: ..............................................................................................................................................163
Yu cu: ................................................................................................................................................163
Bi 1: Chng trnh iu khin bn phm v hin th m ca phm nhn trn 8 LED di dng s BIN
...............................................................................................................................................................164
Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc
phm c nhn.....................................................................................................................................166
E. H thng iu khin LCD. ........................................................................................................................171
Mc ch: ..............................................................................................................................................171
Yu cu: ................................................................................................................................................171
Bi 1: Chng trnh iu khin LCD hin th hai dng ch MICRO-CONTROLLER v
DESIGNED BY: PQT. ng yn trn hai dng ca mn hnh LCD.................................................172
Bi 2: Chng trnh iu khin LCD hin th hai dng ch WELLCOME TO MICROCONTROLLER
SYSTEM 51 v DESIGNED BY: PQT. trn hai dng ca mn hnh LCD vi yu cu: dng ch
th nht s dch chuyn lin tc t phi sang tri, dng ch th hai ng yn. ..................................174
Bi 3: Chng trnh iu khin LCD hin th hai dng ch PULSE = v DESIGNED BY PHAM
QUANG TRI ELECTRIC TRAINING CENTER - HO CHI MINH UNIVERSITY OF INDUSTRY trn
hai dng ca mn hnh LCD vi yu cu: s lng xung m c (00 99) ti chn P3.0 s c
hin th trn dng th nht tip pha sau dng ch PULSE =, dng ch th hai s dch chuyn t
phi sang tri. Xung c to ra bng cch nhn nt nhn KEY0. .....................................................177
F. H thng iu khin nt nhn. .................................................................................................................181
Mc ch: ..............................................................................................................................................181
Yu cu: ................................................................................................................................................181
Bi 1: Chng trnh iu khin nt nhn, khi ta nhn nt no trong 8 nt th LED tng ng s sng
ln v ngc li.....................................................................................................................................182
Bi 2: Chng trnh iu khin nt nhn, khi ta nhn nt KEY0 th 8 LED s chp tt vi tn s 5 Hz
v ngc li khi ta nh nt KEY0 th 8 LED s chp tt vi tn s 20 Hz...........................................184
G. H thng iu khin cng tc....................................................................................................................186
Mc ch: ..............................................................................................................................................186
Yu cu: ................................................................................................................................................186
Bi tp: Chng trnh iu khin cng tc v hin th ln tm LED mc logic hin ti (LED sng =
mc cao, LED tt = mc thp) ca tm cng tc gt...........................................................................187
H. H thng iu khin relay. ........................................................................................................................189
Mc ch: ..............................................................................................................................................189
Yu cu: ................................................................................................................................................189
Bi tp: Chng trnh iu khin RELAY1 v RELAY2 ng ngt tun t v lin tc. Thi gian gia
hai ln ng ngt l 1s..........................................................................................................................190
I. H thng iu khin motor bc..............................................................................................................192
Mc ch: ..............................................................................................................................................192
Yu cu: ................................................................................................................................................192
Bi 1: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h .........................................193
Bi 2: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h mt vng ri dng li............
...............................................................................................................................................................195
Bi 3: Chng trnh iu khin STEPPER1 quay bng cch nhn nt KEY0: quay thun, KEY1: quay
ngc, KEY2: dng...............................................................................................................................196
J. H thng iu khin ngt (Interrupt). .....................................................................................................198
Mc ch: ..............................................................................................................................................198
Yu cu: ................................................................................................................................................198
Bi 1: Chng trnh iu khin t?o sng vung tun hon c tn s 10 Hz (s dng ngt Timer) ti
chn P0.0 v hin th mc logic ti chn ny ln LED0.......................................................................199
Bi 2: Chng trnh iu khin m s xung t?i chn INT0 (s dng ngt ngoi) v hin th s xung
ny (ti a l 255 ln) ln ba LED 7 on ...........................................................................................200
K. H thng iu khin Timer/Counter........................................................................................................203
Mc ch: ..............................................................................................................................................203
Yu cu: ................................................................................................................................................203
Bi 1: Chng trnh iu khin m lin tc s lng xung (0000 9999) c a vo chn T1 ca vi
iu khin v hin th s lng xung ny ln cc LED 7 on.............................................................204
Bi 2: Chng trnh iu khin o tn s ca xung (0000 9999, n v l Hz) c a vo chn T1
ca vi iu khin v hin th tn s ca xung ny ln cc LED 7 on................................................207
Bi 3: Chng trnh iu khin o rng ca xung (n v l ms) c a vo chn INT0 ca vi
iu khin v hin th rng ca xung ny ln cc LED 7 on........................................................210
L. H thng iu khin thu pht d liu dng ni tip. ..............................................................................212
Mc ch: ..............................................................................................................................................212
Yu cu: ................................................................................................................................................212
Bi 1: Chng trnh iu khin (ng dng m rng port xut) xut lin tc cc gi tr 00H, 01H, 03H,
07H, 0FH, 1FH, 3FH, 7FH v FFH ra 8 LED thng qua port ni tip v s dng vi mch 4094, mi
ln xut cch nhau 1s............................................................................................................................213
Bi 2: Chng trnh iu khin (ng dng m rng port nhp) thc hin lin tc vic nhp d liu t 8
cng tc thng qua port ni tip v s dng vi mch 74165, d liu nhp vo ny s c xut ra 8
LED. ......................................................................................................................................................215
Bi 3: Chng trnh iu khin (ng dng m rng thu pht ni tip) to mt bng d liu gm 9 bytes
(00H, 01H, 03H, 07H, 0FH, 1FH, 3FH, 7FH, FFH). Thc hin vic xut tng byte ca bng ny ra
port ni tip (chn TXD) ri thu vo port ni tip (chn RXD) v ct vo RAM ni c a ch bt u
l 40H. Vic xut d liu c iu khin bng nt nhn KEY0, mi ln xut/nhp mt byte. D liu
sau khi nhp vo c xut ra 8 LED (c s dng b m o) ng thi vi vic ghi vo RAM ni.
...............................................................................................................................................................216
M. H thng iu khin port I/O (iu khin xut/nhp qua cc thit b ngoi vi). .......................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi 1: Chng trnh iu khin Port I/O, lm cho 8 LED m ln nh phn 8 bit. S dng c ch b
nh ngoi. ...................................................................................................................................................
Bi 2: Chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v
hin th mc logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED.
S dng c ch b nh ngoi......................................................................................................................
Bi 3: Chng trnh iu khin Port I/O, lm cho 8 LED m ln nh phn 8 bit. S dng c ch b
nh ngoi. ...................................................................................................................................................
Bi 4: Chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v
hin th mc logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED.
S dng c ch b nh ngoi......................................................................................................................
N. H thng iu khin ADC. ..............................................................................................................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi 1: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo
(knh IN0) ln hai LED 7 on (LED1 v LED0; di dng s HEX t 00H -> FFH). S dng c ch
b nh ngoi. ..............................................................................................................................................
Bi 2: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo
(knh IN0) ln ba LED 7 on (LED2, LED1 v LED0; di dng s DEC t 0 -> 255). S dng c
ch b nh ngoi.................................................................................................................................
Bi 3: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr in p ca knh
ng vo (knh IN0) ln bn LED 7 on (LED3: hng n v; LED2, LED1 v LED0: ba s phn thp
phn). S dng c ch b nh ngoi...........................................................................................................
Bi 3: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh ng
vo (knh IN0 v IN1) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 ->
255), LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt
KEY0. S dng c ch b nh ngoi...........................................................................................................
O. H thng iu khin DAC. ..............................................................................................................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi 1: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin bin i gi tr lu
trong thanh ghi R0 thnh in p tng t. Gi tr trong thanh ghi R0 thay i lin tc 00H, 40H, 80H,
C0H v FFH, mi ln cch nhau 2 giy. ....................................................................................................
Bi 2: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin bin i gi tr lu
trong thanh ghi R0 thnh in p tng t. Gi tr trong thanh ghi R0 thay i lin tc t 00H ->
FFH, mi ln cch nhau 2 giy v c hin th ln ba LED 7 on (LED2, LED1 v LED0; di
dng s thp phn t 0 -> 255). .................................................................................................................
Bi 3: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin to sng sin c tn s
bt k ti ng ra. .........................................................................................................................................
P. H thng iu khin o nhit .....................................................................................................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi tp: Chng trnh o nhit v hin th gi tr ln bn LED 7 on (mt LED hin th phn thp
phn). ..........................................................................................................................................................
Q. H thng iu khin motor DC. .....................................................................................................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi 1:
R. H thng iu khin Serial EEPROM. ..........................................................................................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi 1:
S. H thng iu khin RTC. ..............................................................................................................................
Mc ch: ....................................................................................................................................................
Yu cu: ......................................................................................................................................................
Bi 1:

TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H







Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 8 Bin son: Phm Quang Tr
1.1 Gii thiu:

Sau khi c hc, nghin cu v tm hiu v vi iu khin phn l thuyt. Chng ta c th bt u tin
hnh thc hin cc bi th nghim i vi vi iu khin nhm mc ch gip chng ta hiu mt cch tng tn hn
v nhng g m ta c hc trong phn l thuyt cng nh cch thc vn dng n vo trong thc t.

Trong thc t, cc ng dng ca vi iu khin th rt a dng v phong ph. T nhng ng dng n gin ch
c vi thit b ngoi vi cho n nhng h thng vi iu khin phc tp. Tuy nhin, trong phm vi c gii hn ca
gio trnh v nhm mc ch phc v cho cng vic hc tp v t nghin cu ca sinh vin. Cho nn m hnh th
nghim vi iu khin ny c thit k vi tng i y cc yu cu phn cng v c rt nhiu chng trnh
iu khin mu cng nh cc bi tp thc hnh t n gin n phc tp c th gip cho sinh vin thc hnh, th
nghim v t nghin cu, t hc mn hc ny.

M hnh th nghim vi iu khin ny h tr cho vic th nghim:

Th nghim cc loi vi iu khin nh: 89C1051, 89C2051, 89C4051, 89C51, 89LV51, 89C52,
89LV52, 89C55, 89LV55, 89C55WD, 89S51, 89LS51, 89S52, 89LS52, 89S53, 89LS53, 89S8252,
89LS8252.
Th nghim cc thit b ngoi vi nh: LED im, LED ma trn, LED 7 on, LCD, ADC, DAC, cng
tc, nt nhn, bn phm, relay, b nh ni tip, xut nhp d liu ni tip v song song, to xung, cm
bin nhit, ng h thi gian thc (RTC),
Th nghim cc chun giao tip nh: RS232, LPT, USB, PS2.

Phn mm s dng cho m hnh th nghim vi iu khin ny l phn mm m phng Topview v MCU
Program Loader. Phn mm m phng Topview cho php bn m phng v chy th cc chng trnh iu khin
trn my tnh vi mt s module thit b ngoi vi c sn tng t nh trn m hnh th nghim vi iu khin ngoi
thc t, phn mm ny cn cho bn kh nng son tho v bin dch chng trnh theo ngn ng Assembler. Phn
mm MCU Program Loader cho php bn kh nng np chng trnh cho cc loi vi iu khin nu trn t my
tnh. Cc bn c th tm hiu thm v hai phn mm ny trong cc phn sau ca gio trnh (phn mm m phng
Topview xem trong ti liu Hng dn s dng phn mm m phng MCS-51 Topview Simulator).

Cc chng tip theo s trnh by chi tit hn v cu hnh ca m hnh th nghim vi iu khin, cch thc s
dng phn mm v cung cp cc bi th nghim thc hnh mu cng nh cc bi tp m rng c th phc v rt tt
cho vic t hc ca cc bn.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 9 Bin son: Phm Quang Tr
1.2 Cu hnh ca m hnh th nghim vi iu khin:
1.2.1 Khi lp trnh vi iu khin:

S nguyn l:

D0
R111
1K2
A12
P1.1
VPP
D39
5V6
C48
1000u
VDD
D5
R117
3K3
D1
D3
R109
100/2W
D4
VCC
VPC 5V/6.5V
A9
P1.7
D42
1N4007
P1.7
R108
10K
A12
P1.2
VPP 5V/6.5V
D6
R120
4K7
D35
5V6
D4
Y3
11.0592MHz
P1.7
A5 D5
P1.0
A13
SW18
POWER SW
VDD
U35 74573
2
3
4
5
6
7
8
9
11
1
19
18
17
16
15
14
13
12
10
20
D0
D1
D2
D3
D4
D5
D6
D7
LE
OE
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
GND
VCC
Q23
C1815
VPC ON/OFF
VCC
D2
VCC
D2
A8
VCC
P1.6
VCC
P1.2
VPC A9
A13
C41
104
D6
R121
4K7
D36
1N4007
D1
A10
R122
22/2W
15. KHOI LAP TRNH VI IEU KHIEN
A7
D4
P1.6
A6
R116
100/2W
D3 D3
VCC
D3
R115
10K
D43
1N4007
R123
1K2
D7
D37
1N4007
U36 SLAVE 40PIN
29
30
40
20
31
19
18
9
39
38
37
36
35
34
33
32
1
2
3
4
5
6
7
8
21
22
23
24
25
26
27
28
10
11
12
13
14
15
16
17
PSEN
ALE
VCC
GND
EA
X1
X2
RST
P0.0/AD0
P0.1/AD1
P0.2/AD2
P0.3/AD3
P0.4/AD4
P0.5/AD5
P0.6/AD6
P0.7/AD7
P1.0
P1.1
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
P2.0/A8
P2.1/A9
P2.2/A10
P2.3/A11
P2.4/A12
P2.5/A13
P2.6/A14
P2.7/A15
P3.0/RXD
P3.1/TXD
P3.2/INT0
P3.3/INT1
P3.4/T0
P3.5/T1
P3.6/WR
P3.7/RD
VCC
Q19
C1815
P1.3
VCC
C44
33p
VPP
A4
P1.6
Q24
C1815
R112
1K2
A14
A8
P1.3
C50
100u
D4
P1.5
A11
C51
104
C49
100u
A3
C45
104
D1
D34
6V7
D0
A1
P3.7
R110
3K3
D6
R118
1K2
VPP ON/OFF
VCC
VCC
P1.1
VCC
VPP
D2
R107 10K
1 2 3 4 5 6 7 8 9
A2
P1.5
A10
P1.2
D7
D38
13V
U33
MAX232
1 3
4 5
16
1
5
2
6
12
9
11
10
13
8
14
7
C
1
+
C
1
-
C
2
+
C
2
-
VCC
G
N
D
V
+
V
-
R1OUT
R2OUT
T1IN
T2IN
R1IN
R2IN
T1OUT
T2OUT
D1
R113
4K7
C35
10u
D0
D2
P1.0
VCC
A0
P1.4
VPC
D5
P1.5
C46
104
VCC
A14
P3.7 Q20
C1815
VCC VDD
D7
D6
P1.1
U34 89C52
29
30
40
20
31
19
18
9
39
38
37
36
35
34
33
32
1
2
3
4
5
6
7
8
21
22
23
24
25
26
27
28
10
11
12
13
14
15
16
17
PSEN
ALE
VCC
GND
EA
X1
X2
RST
P0.0/AD0
P0.1/AD1
P0.2/AD2
P0.3/AD3
P0.4/AD4
P0.5/AD5
P0.6/AD6
P0.7/AD7
P1.0
P1.1
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
P2.0/A8
P2.1/A9
P2.2/A10
P2.3/A11
P2.4/A12
P2.5/A13
P2.6/A14
P2.7/A15
P3.0/RXD
P3.1/TXD
P3.2/INT0
P3.3/INT1
P3.4/T0
P3.5/T1
P3.6/WR
P3.7/RD
VCC
C43
33p
C42
10u VPC
C38
104
P3
TO PC
5
9
4
8
3
7
2
6
1
A11
C37
104
Q21
C1815
P1.4
R119
1K2
J90A
DC 16V
1
2
C47
104
C40
10u
D7
R114
4K7
D40
1N4007
D0
C36
10u
U37 SLAVE 20PIN
12
13
14
15
16
17
18
19
4
5
20
10 1
2
3
6
7
8
9
11
P1.0/AIN0
P1.1/AIN1
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
X2
X1
VCC
GND RST/VPP
P3.0/RXD
P3.1/TXD
P3.2/INT0
P3.3/INT1
P3.4/T0
P3.5/T1
P3.7
D41
LED
C39
10u
U38 7805
1 3
2
VIN VOUT
G
N
D
D5
P1.4
Q22
C1815


S b tr linh kin:



Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 10 Bin son: Phm Quang Tr
Gii thiu chung:

Cc thnh phn chnh ca b lp trnh l port ni tip, ngun cung cp v b vi iu khin trung tm. D liu
ni tip c gi v nhn t cng COM 9 chn v chuyn i t mc logic TTL sang mc tn hiu RS232 hoc
chuyn i t mc tn hiu RS232 sang mc logic TTL bng vi mch MAX232. Mt si cp port ni tip c
dng ni cng COM ca khi lp trnh vi iu khin vi cng COM ca my tnh (cng RS232).

Ngun cung cp 16 VDC c cung cp cho khi lp trnh thng qua u ni J90A v cng tc SW18
(POWER SW). Cc diode D36, D37, D40, D42 lm nhim v chnh lu in p v chng hin tng sai cc tnh
ngun khi ta dng ngun DC cung cp cho khi (Lu : ta c th s dng ngun DC 16V hoc AC 12V cung
cp cho khi). in p ny l in p cha c n p v c gi l VDD. VDD c dng to ra ba mc in
p khc nhau l VCC, VPP v VPC. in p VCC c mc in p l 5V c to ra t vi mch n p LM7805
cung cp cho b vi iu khin trung tm U34 hot ng. in p VPP c mc in p l 0V, 5V hoc 12V theo s
iu khin ca b vi iu khin trung tm. in p VPC c mc in p l 0V, 5V hoc 6.5V theo s iu khin
ca b vi iu khin trung tm. Cc loi in p khc nhau ny c yu cu trong sut qu trnh lp trnh cho cc
chip vi iu khin.

Trung tm ca khi lp trnh ny l b vi iu khin trung tm U34 v phn mm iu khin ca n. Phn mm
ny c kh nng nhn dng chip vi iu khin c a vo mch thng qua mt trong hai socket ZIF l SLAVE
40 PIN v SLAVE 20 PIN. Cc thng tin ny c sang phn mm MCU Program Loader trn my tnh xc lp
cc thng s hot ng iu khin. Khi mt tp tin chng trnh c gi i t my tnh, cc thng tin ny s c
b vi iu khin trung tm ti n chip vi iu khin cn lp trnh bng cc tn hiu a ch, d liu v iu khin
tng thch. Sau khi vic lp trnh hon tt th cc d liu c ghi vo ny s c gi ngc tr li my
tnh kim tra li trong qu trnh np chip, t a ra thng bo qu trnh lp trnh thnh cng hay c li.

Bn cn phi ch n mt im rt quan trng l lun lun phi tt ngun cung cp cho khi lp trnh vi
iu khin trc khi tin hnh tho/gn chip vi iu khin vo socket nhm trnh gy hng chip vi iu khin
ny.

ng dng:

Khi lp trnh vi iu khin ny kt hp vi phn mm MCU Program Loader trn my tnh c kh nng lp
trnh cho cc loi chip sau:

o Loi chip 40 chn (c gn vo socket SLAVE 40 PIN): AT89C51, AT89LV51, AT89C52,
AT89LV52, AT89C55, AT89LV55, AT89C55WD, AT89S51, AT89LS51, AT89S52, AT89LS52,
AT89S53, AT89LS53, AT89S8252, AT89LS8252.
o Loi chip 20 chn (c gn vo socket SLAVE 20 PIN): AT89C1051, AT89C2051, AT89C4051.
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 11 Bin son: Phm Quang Tr
1.2.2 Khi vi iu khin:

S nguyn l:

U24B 74393
13
12
11
10
9
8
7
CLK
CLR
QA
QB
QC
QD G
N
D
A14
D5
COM
P1.6
DATABUS
J39
PORT 2
1
2
3
4
5
6
7
8
C20
104
12 MHz
P2.7
CLK12
T0
C19
10u
P0.7
P1.0
P3.5
VCC
VCC
D5
LOWADDRESSBUS
9. KHOI VI IEU KHIEN
D4
C23
33p
D2
8000H- 9FFFH
C13
104
U24A 74393
1
2
3
4
5
6
1
4
CLK
CLR
QA
QB
QC
QD
V
C
C
R99
100
0000H- 1FFFH
P3.3
P1.3
D2
J36
INT/EXT
1
2
3
Y1
12MHz
P3.0
T1
A1
P1.2
P1.0
D4
C22
10u
A1
6000H- 7FFFH
A3 P3.3
P3.2
A13
P1.3
P1.4
VCC
D1
A2
RST
A10
A7
C17
104
VCC
WR
P1.2
P1.7
P0.5
J38
PORT 1
1
2
3
4
5
6
7
8
VCC
A9
P1.5
RD
C16
104
SW33
POWER SW
D0
P1.7
D4
D6
D24
1N4148
U19 SOCKET 40PIN
29
30
40
20 31
19
18
9
39
38
37
36
35
34
33
32
1
2
3
4
5
6
7
8
21
22
23
24
25
26
27
28
10
11
12
13
14
15
16
17
PSEN
ALE
VCC
GND EA
X1
X2
RST
P0.0/AD0
P0.1/AD1
P0.2/AD2
P0.3/AD3
P0.4/AD4
P0.5/AD5
P0.6/AD6
P0.7/AD7
P1.0/T2
P1.1/T2EX
P1.2/ECI
P1.3/CEX0
P1.4/CEX1
P1.5/CEX2
P1.6/CEX3
P1.7/CEX4
P2.0/A8
P2.1/A9
P2.2/A10
P2.3/A11
P2.4/A12
P2.5/A13
P2.6/A14
P2.7/A15
P3.0/RXD
P3.1/TXD
P3.2/INT0
P3.3/INT1
P3.4/T0
P3.5/T1
P3.6/WR
P3.7/RD
188 KHz
VCC
A12
D6
J37
PORT 0
1
2
3
4
5
6
7
8
R135
1K2
RXD
A3
P0.2
D1
P0.6
WR
RD
P1.6
J44
PORT 3
1
2
3
4
5
6
7
8
A15
P1.1
T0
RXD
A14
P2.2
P3.5
WR
A5
D7
P1.6
TXD
A11
P2.3
1.5 MHz
INT1
D23
1N4148
R100
8K2
D46
LED
A9
P3.6
P3.2
P1.4
VCC
INT
P2.6
D7
P1.5
VCC
T1
INT0
A13
A6
U25 SOCKET 20PIN
12
13
14
15
16
17
18
19
4
5
20
10 1
2
3
6
7
8
9
11
P1.0/AIN0
P1.1/AIN1
P1.2
P1.3
P1.4
P1.5
P1.6
P1.7
X2
X1
VCC
GND RST/VPP
P3.0/RXD
P3.1/TXD
P3.2/INT0
P3.3/INT1
P3.4/T0
P3.5/T1
P3.7
VCC
D0
TXD
P1.2
C14
104
HIGHADDRESSBUS
P2.1
P3.7
RD
P1.5
VCC
D6
D5
P2.5
INT1
J41
A0-A7 BUS
1
2
3
4
5
6
7
8
D3
R98
1K
2000H- 3FFFH
C12
104
P2.0
P1.5
RST
P1.4
R101
8K2
P2.4
VCC
A14
C18
33p
INT0
A8
C15
104
P1.2
U20 74573
2
3
4
5
6
7
8
9
11
1
19
18
17
16
15
14
13
12
10
20
D0
D1
D2
D3
D4
D5
D6
D7
LE
OE
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
GND
VCC
J40
PORT 3
1
2
3
4
5
6
7
8
A0
6264
P1.7
P1.1
CLK750
P3.4
Y2
12MHz
VCC
A13
D3
D25
1N4148
P0.1
J42
CLOCK OUT
1
2
3
4
5
6
7
8
P1.1
J901A
DC 5V
1
2
P1.4
6 MHz
P3.1
3 MHz
D1
BT1
3V6
J35
SELECT CHIP
1
2
3
4
5
6
7
8
A2
P1.3
P1.3
VCC
P0.0
CLK12
A11
A7
EXT
P3.0
VCC
D2
6264
D3
375 KHz
750 KHz
P1.0
A4
P1.0
4000H- 5FFFH
VCC
A5
P1.6
A15
P3.4
A8
D7
P0.4
P1.7
A0
A6
VCC
U22 6264
10
9
8
7
6
5
4
3
25
24
21
23
2
11
12
13
15
16
17
18
19
28
14
22
27
20
26
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
D0
D1
D2
D3
D4
D5
D6
D7
VCC
GND
OE
WE
CS1
CS2
A10
C24
33p
A15
E000H- FFFFH
P3.1
94 KHz
VCC
R102
8K2
C21
33p
P0.3
U23 74138
1
2
3
15
14
13
12
11
10
9
7
16
8
6
4
5
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
VCC
GND
G1
G2A
G2B A4
J43
PORT 1
1
2
3
4
5
6
7
8
SW17
RESET
U21 2764
10
9
8
7
6
5
4
3
25
24
21
23
2
11
12
13
15
16
17
18
19
28
14
22
27
1
20
A0
A1
A2
A3
A4
A5
A6
A7
A8
A9
A10
A11
A12
O0
O1
O2
O3
O4
O5
O6
O7
VCC
GND
OE
PGM
VPP
CE
CLK12
P1.1
VCC
P3.7
A000H- BFFFH
D0
R97 10K
1
2
3
4
5
6
7
8
9
C000H- DFFFH
A12
J34
CS6264
1
2
P3.6


S b tr linh kin:


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 12 Bin son: Phm Quang Tr
Gii thiu chung:

Khi vi iu khin c thit k cho php ngi s dng thun tin trong vic tin hnh th nghim i vi
cc loi vi iu khin h 89 ca hng Atmel mi ch lm vic kh thi, gm cc loi vi iu khin 40 chn v
cc vi iu khin 20 chn.

o Th nghim vi iu khin 20 chn: vi iu khin cn th nghim s c gn vo socket U25
(SOCKET 20PIN), tn s hot ng ca chip do Y2 quyt nh, cc port xut nhp ca chip J43
(PORT 1): Port 1 (trong P3.0 v P3.1 l ng vo ca b ADC trong chip); J44 (PORT 3): Port 3
(trong P3.6 khng s dng). reset chip bn s dng nt nhn SW17 (RESET).
o Th nghim vi iu khin 40 chn: vi iu khin cn th nghim s c gn vo socket U19
(SOCKET 40PIN), tn s hot ng ca chip do Y1 quyt nh, cc port xut nhp ca chip J37
(PORT 0): Port 0 (ngoi ra cn l bus d liu D0..D7 gii a hp); J38 (PORT 1): Port 1; J39
(PORT 2): Port 2 (ngoi ra cn l bus a ch byte cao A8..A15); J40 (PORT 3): Port 3 (ngoi ra
cn l bus tn hiu iu khin c bit). u ni J41 (A0-A7 BUS): bus a ch byte thp A0..A7.
reset chip bn s dng nt nhn SW17 (RESET). Trong trng hp th nghim ny, trn m
hnh c thit k sn cc b nh ROM/RAM bn ngoi (ROM 2764: 8 KB v RAM 6264: 8 KB
c ngun back-up BT1) nhm mc ch gip cho ngi s dng thun tin hn trong vic thit k
v th nghim h thng vi iu khin s dng b nh trong hoc s dng b nh ngoi. thc
hin vic chuyn i b nh s dng (trong hay ngoi) ta thay i Jump J36 (INT/EXT), ni
COM-INT: l s dng b nh trong; ni COM-EXT: l s dng b nh ngoi. Tm a ch ca
ROM: 0000H 1FFFH; RAM chun: 0000H 1FFFH. i vi RAM, nu ta ch c mt vi
mch (RAM chun) ta s ni J34 (CS6264) xung GND, cn nu c thm I/O hot ng vi chc
nng nh RAM th ta ni J34 (CS6262) n b gii m a ch U23 (74138) lc a ch ca
RAM c s thay i.

Ngoi ra, ti khi vi iu khin trn m hnh th nghim cn c thit k sn: b chia tn s U24 (74393) c
nhim v to ra cc tn s khc nhau J42 (CLOCK OUT) cung cp cho cc khi khc hoc dng cho cc mc
ch khc ca ngi s dng, b gii m a ch U23 (74138) c nhim v to ra cc tn hiu iu khin chn chip
vi cc tm a ch khc nhau J35 (SELECT CHIP).

Bn cn phi ch n mt im rt quan trng l lun lun phi tt ngun cung cp cho khi vi iu
khin trc khi tin hnh tho/gn chip vi iu khin vo socket nhm trnh gy hng chip vi iu khin ny.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 13 Bin son: Phm Quang Tr
ng dng:

o Th nghim ng dng cc loi vi iu khin 20 chn v 40 chn.
o Th nghim cu hnh vi iu khin s dng b nh bn trong hay b nh bn ngoi (Dung lng
ROM/RAM ngoi c sn: 8KB/8KB, RAM c ngun Back-up).
o Pht cc tn hiu gii m a ch (CS): 0000H - 1FFFH, 2000H - 3FFFH, 4000H - 5FFFH, 6000H -
7FFFH, 8000H - 9FFFH, A000H - BFFFH, C000H - DFFFH, E000H FFFFH.
o Pht cc xung clock c tn s: 12MHz, 6MHz, 3MHz, 1.5MHz, 750KHz, 375KHz, 188KHz,
94KHz.

1.2.3 Khi LED im:

S nguyn l:

D5
LED
D13
LED
R75 330
LED4
+5V
R64 330
1. KHOI DAY LED
R51 330
D15
LED
D16
LED
VCC
LED5
GND
D12
LED
D14
LED
D7
LED
VCC
D10
LED
GND
R77 330
LED3
R72 330
D8
LED
D4
LED
D1
LED
R82 330
LED6
R52 330
R78 330
LED4
LED0
D3
LED
R81 330
R49 330
LED5
LED2
LED7
D2
LED
LED6
LED1
D9
LED
J9
BARLED
1
2
3
4
5
6
7
8
R56 330
LED3
R76 330
R55 330
R50 330
LED7
D6
LED
J103
POWER
1
2
3
R63 330
LED1
D11
LED
LED0
J10
BARLED
1
2
3
4
5
6
7
8
R71 330
VCC
LED2


S b tr linh kin:


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 14 Bin son: Phm Quang Tr
Gii thiu chung:

Khi gm 16 LED im, c chia ra lm hai phn 8 LED. Cc dy LED ny c iu khin bng hai u
ni J9 v J10 (BARLED) v th ta c th thc hin iu khin cng lc nhiu LED hoc ch mt LED. Mc tch
cc iu khin cc LED ny l mc thp. Dng in cung cp cho cc LED phi t 15 25 mA cc LED c
th hot ng tt nht.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J103 (POWER).

ng dng:

o Th nghim phng php kt ni LED im vi vi iu khin.
o Th nghim phng php iu khin tng LED.
o Th nghim phng php iu khin dy LED.
o Th nghim cc kiu iu khin LED sng tt.
o Th nghim nguyn l iu khin n giao thng.

1.2.4 Khi LED 7 on:

S nguyn l:

J2
SEL IN1
1
2
3
4 Q1
A1015
SEL0
G
G
J110
POWER
1
2
3
U6
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
G
R
4
2
3
3
0
Q7
F
D
Q5
R
4
5
3
3
0
D
A
R
2
2
3
3
0
D
A
C
Q6
DP
D
Q2
R4
2K2
SEL3
R1
2K2
+5V
R13 330
R
2
8
3
3
0
U3
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
G
Q
2
J4
7SEG IN MUL
1
2
3
4
5
6
7
8
Q8
A1015
VCC
R
3
3
3
3
0
SEL2
VCC
R12 330
J5
7SEG7 IN
1 2 3 4 5 6 7 8
J1
SEL LED IN
1
2
3
4
5
6
7
8
U5
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
R14 330
GND
E
Q0
R
3
6
3
3
0
R
1
7
3
3
0
A
D
P
R
3
2
3
3
0
D
P
Q2
A1015
SEL0
C
R
3
8
3
3
0
2. KHOI LED 7 OAN
D
R
2
4
3
3
0
R
4
1
3
3
0
D
Q5
E
D E
Q3
D
SEL5
G
VCC
R
4
4
3
3
0
E D
SEL6
F
Q
4
G
VCC
R11 330
U7
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
F F
D
P
R
2
9
3
3
0
A
SEL7
G
Q7
A1015
D
P
D
P
Q2
F
R
2
0
3
3
0
R2
2K2
R
2
5
3
3
0
Q3
A1015
B E
R
3
7
3
3
0
SEL1
A
R6
2K2
B R
3
1
3
3
0
Q
1
Q6
A1015
D
P
F
VCC
R
3
4
3
3
0
VCC
Q
6
B
GND
Q6
Q3
C E
Q0
U8
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
Q1
G
R
2
3
3
3
0
Q5
A1015
B
R
4
3
3
3
0
R
3
0
3
3
0
C
Q4
F R
4
8
3
3
0
SEL4
R
3
5
3
3
0
R3
2K2
SEL4
D
P
Q1
F
R10 330
E
C
C C
R
4
0
3
3
0
SEL7
C
Q
7
J8
7SEG4 IN
1 2 3 4 5 6 7 8
R15 330
J7
7SEG5 IN
1 2 3 4 5 6 7 8
R16 330
Q4
A1015
SEL5
C
VCC
Q
5
R
2
7
3
3
0
DP
G
B R
2
1
3
3
0
B
SEL6
VCC
R
4
7
3
3
0
R
3
9
3
3
0
Q
3
R
1
8
3
3
0
SEL3
B
D
P A
R9 330
E
U2
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
Q4
SEL1
B
A
E
C
R
4
6
3
3
0
U1
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
F
Q7
B
G
R8
2K2
R
1
9
3
3
0
R7
2K2
J3
SEL IN1
1
2
3
4
R
2
6
3
3
0
J6
7SEG6 IN
1 2 3 4 5 6 7 8
SEL2
VCC
F
E
A
U4
LED7
8 3
7 6 4 2 9 1 1
0
5
C
A
C
A
A B C D F E G D
P
B A
R5
2K2
Q
0
A
D


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 15 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Khi LED 7 on bao gm 8 LED 7 on loi Anode chung kt hp li vi nhau theo hai cch thit k khc
nhau. Cc LED ny u c cp ngun thng qua cc transistor Q1 - Q8 ng vai tr nh cc cng tc v c
iu khin thng qua cc tn hiu iu khin tch cc mc thp ti u ni J1 (SEL LED IN), J2 (SEL IN1) v J3
(SEL IN2).

8 LED ny c chia ra lm hai nhm vi hai phng php thit k khc nhau cho mi nhm:

o Phng php khng a hp (phng php iu khin LED trc tip): c thit k trn c s 4
LED (U1, U2, U3, U4). Phng php ny l phng php m cc on ca mi LED s c ni
vo mi Port iu khin c lp vi nhau v Anode ca tt c cc LED s c cp ngun ng
thi vi nhau (thng thng th s c ni thng ln VCC). J5, J6, J7, J8 (7SEG IN): ng vo tn
hiu 7 on (A-G v DP) ca tng LED s c ni n tng Port iu khin c lp vi nhau; J3
(SEL IN2): ng vo tn hiu iu khin cp ngun cho cc LED, ch ny th s c ni trc
tip xung GND cp ngun lin tc v ng thi cho tt c cc LED. Phng php iu khin
trong trng hp ny l cp m 7 on tng ng ca s cn hin th ra LED 7 on mong mun.
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 16 Bin son: Phm Quang Tr
o Phng php a hp (phng php iu khin qut LED): c thit k trn c s 4 LED (U5,
U6, U7, U8). Phng php ny l phng php m tt c cc on ca cc LED s c ni chung
vo nhau v vo mt Port iu khin cn Anode ca tt c cc LED s c ni vo mt Port iu
khin khc v c cp tn hiu qut LED mt cch tun t (ti mt thi im th ch c mt LED
c cp ngun hot ng). J4 (7SEG IN MUL): ng vo tn hiu 7 on (A-G v DP) a hp ca
tt c cc LED s c ni n mt Port iu khin; J2 (SEL IN1): ng vo tn hiu iu khin cp
ngun cho cc LED, ch ny th s c ni n mt Port iu khin khc cung cp tn hiu
qut LED cp ngun tun t cho cc LED. Phng php iu khin trong trng hp ny l
phi tin hnh tun t qua cc giai on: cp mt tn hiu qut LED sao cho ch c LED u tin
c cp ngun ri a m 7 on tng ng ca s cn hin th ra LED 7 on ; k tip cp
mt tn hiu qut LED sao cho ch c LED th hai c cp ngun ri a m 7 on tng ng
ca s cn hin th ra LED 7 on ; qu trnh c din ra lin tc nh vy v do hin tng lu
nh ca mt m ta thy c cc LED dng nh sng cng mt lc.

Trong hai phng php nu trn th phng php a hp th thng c s dng nhiu hn trong thc t. Cho
nn trn m hnh th nghim ny cng cho php ta c th thit k ch a hp cho tt c 8 LED ny bng cch ni
cung tt c cc u ni J5, J6, J7, J8 vo vi u ni J4 thng qua khi m rng.

Bng m 7 on cho cc LED:

S Hex dp g f e d c b a M s HEX
0 1 1 0 0 0 0 0 0 C0
1 1 1 1 1 1 0 0 1 F9
2 1 0 1 0 0 1 0 0 A4
3 1 0 1 1 0 0 0 0 B0
4 1 0 0 1 1 0 0 1 99
5 1 0 0 1 0 0 1 0 92
6 1 0 0 0 0 0 1 0 82
7 1 1 1 1 0 1 1 1 F7
8 1 0 0 0 0 0 0 0 80
9 1 0 0 1 0 0 0 0 90
A 1 0 0 0 1 0 0 0 88
B 1 0 0 0 0 0 1 1 83
C 1 1 0 0 0 0 1 0 C2
D 1 0 1 0 0 0 0 1 A1
E 1 0 0 0 0 1 1 0 86
F 1 0 0 0 1 1 1 0 8E

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 17 Bin son: Phm Quang Tr
Bng m iu khin qut LED:

M HEX Tn hiu qut iu khin cc Transistor
FE 1 1 1 1 1 1 1 0 Transistor 1 ON
FD 1 1 1 1 1 1 0 1 Transistor 2 ON
FB 1 1 1 1 1 0 1 1 Transistor 3 ON
F7 1 1 1 1 0 1 1 1 Transistor 4 ON
EF 1 1 1 0 1 1 1 1 Transistor 5 ON
DF 1 1 0 1 1 1 1 1 Transistor 6 ON
BF 1 0 1 1 1 1 1 1 Transistor 7 ON
7F 0 1 1 1 1 1 1 1 Transistor 8 ON

Ngoi ra cn cc m 7 on cho cc LED khc, cc bn c th t mnh thit lp thm nng cao s lng k
t c th hin th trn LED 7 on cho th nghim ca mnh.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J110 (POWER).

ng dng:

o Th nghim phng php kt ni LED 7 on vi vi iu khin.
o Th nghim phng php iu khin tng LED 7 on.
o Th nghim phng php iu khin dy LED 7 on.
o Th nghim cc kiu iu khin LED sng tt v hin th thng tin.
o Th nghim cc phng php iu khin LED 7 on cc ch khc nhau: a hp, khng a
hp, ng vo BCD hay 7 on,
o Th nghim nguyn l iu khin n giao thng.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 18 Bin son: Phm Quang Tr
1.2.5 Khi LED ma trn:

S nguyn l:

R85 330
R80 330
C2
C1
C0
R67 10
C4
Q13
A1015
C6
C5
R74 10
Q15
A1015
R88 330
Q16
A1015
C5
C7
R73 10
Q9
A1015
VCC
R59 10
Q14
A1015
R61 10
R68 10
+5V
Q10
A1015
J12
COL GREEN MATRIX
1
2
3
4
5
6
7
8
R66 10
GND
R54 10
U9 MATRIXLED
2
2
1
9
1
6
1
3
3 6 9 1
2
21
18
15
1
4
7
10
24 23
20
17
14
2
5
8
11
R
0
R
1
R
2
R
3
R
4
R
5
R
6
R
7
C1G
C2G
C3G
C4G
C5G
C6G
C7G
C0G C0R
C1R
C2R
C3R
C4R
C5R
C6R
C7R
C3
R86 330
R70 10
VCC
R5
R2
R87 330
R1
Q12
A1015
R84 330
C2
R83 330
3. KHOI LED MA TRAN
R3
GND
C3
R7
J13
ROW MATRIX
1
2
3
4
5
6
7
8
R69 10
R6
Q11
A1015
C7
C4
R79 330
J11
COL RED MATRIX
1
2
3
4
5
6
7
8
R53 10
R57 10
R4
R58 10
R62 10
C6
R65 10
J116
POWER
1
2
3
R0
C0
C1
R60 10


S b tr linh kin:



Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 19 Bin son: Phm Quang Tr
Gii thiu chung:

Loi ma trn LED s dng trn m hnh th nghim ny l loi ma trn LED 24 chn gm 8 hng v 8 ct. Ma
trn LED ny c hai mu chnh l xanh v , ngoi ra cn c mt mu ph l do s tng hp ca hai mu trn m
ra.

Mi im LED trn ma trn c cu to gm hai LED mu xanh v mu ghp li vi nhau theo nguyn tc
Anode ghp chung v Cathode ring. Ma trn LED 8 hng x 8 ct ny gm 24 chn c chia ra lm ba nhm
chn: 8 chn HNG R0-R7 (l cc Anode chung ca cc LED trn cng mt hng), 8 chn CT C0R-C7R (l
cc Cathode chung ca cc LED mu trn cng mt ct), 8 chn CT XANH C0G-C7G (l cc Cathode chung
ca cc LED mu xanh trn cng mt ct). S kt hp cc mc logic cao/thp thch hp trn cc hng v ct theo
mt qui lut nht nh (hin th theo phng php qut hay cn gi l phng php ch th ng) m ta s c c
cc hnh nh nh mong mun trn ma trn LED vi cc mu sc khc nhau.

J11 (COL RED MATRIX): ng vo iu khin hng R0-R7 cho cc LED mu , tch cc mc thp; J12
(COL GREEN MATRIX): ng vo iu khin hng R0-R7 cho cc LED mu xanh, tch cc mc thp; J13 (ROW
MATRIX): ng vo iu khin ct chung C0-C7 cho cc LED, tch cc mc thp.

Phng php iu khin cng tng t nh phng php iu khin cc LED 7 on ch a hp (phng
php qut LED). u tin, ta cp tn hiu sao cho ch c HNG 1 c ngun ri a m nh phn tng ng ca
hnh nh cn hin th ti hng ra cc ct. K tip, ta cp tn hiu sao cho ch c HNG 2 c ngun ri a m
nh phn tng ng ca hnh nh cn hin th ti hng ra cc ct. Qu trnh c din ra mt cch tun t v lin
tc nh vy v do hin tng lu nh ca mt m ta thy c cc LED dng nh sng cng mt lc to ra
hnh nh nh mong mun trn ma trn LED.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J116 (POWER).

ng dng:

o Th nghim phng php kt ni LED ma trn vi vi iu khin.
o Th nghim phng php iu khin tng LED trong ma trn.
o Th nghim phng php iu khin nhiu LED trong ma trn.
o Th nghim cc kiu iu khin LED sng tt v hin th thng tin tnh hoc thng tin ng vi cc
mu sc khc nhau.
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 20 Bin son: Phm Quang Tr
1.2.6 Khi LCD:

S nguyn l:

VCC
D1
J128
CTRL LCD
1
2
3
R149
10K
ENA
25. KHOI LCD
B
L
Q25
C1815
D4
D0
RS D2
R/W
LCD
16 Characters x 2 Lines
U57 TC1602A
1 2 3 4 5 6 7 8 9 1
0
1
1
1
2
1
3
1
4
1
5
1
6
G
N
D
V
C
C
V
E
E
R
S
R
/
W
E D
0
D
1
D
2
D
3
D
4
D
5
D
6
D
7
L
E
D
+
L
E
D
-
D3
CONTRAST
B
L
J104
POWER
1
2
3
GND
J127
DATA LCD
1
2
3
4
5
6
7
8
R148
2K7
D6
VCC
+5V
D7
J121
BACKLIGHT
1 2
D5
VCC
GND


S b tr linh kin:



Gii thiu chung:

Trn m hnh th nghim c thit k sn mt LCD 16 k t x 2 hng, c s dng cho cc bi th nghim v
phng php iu khin v hin th thng tin trn mn hnh tinh th lng (LCD).

J127 (DATA LCD): ng vo nhn thng tin d liu (Data) hoc thng tin lnh (Command) cho LCD, J128
(CTRL LCD): ng vo iu khin LCD.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 21 Bin son: Phm Quang Tr
iu chnh tng phn ca cc thng tin hin th trn LCD ta tin hnh iu chnh bin tr R149. bt
n chiu nn cho LCD trong trng hp nh sng mi trng yu lm vic hin th thng tin trn LCD khng
c r rng th ta cung cp mt mc logic cao (5V) vo u ni J121 (BACKLIGHT).

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J104 (POWER).

ng dng:

o Th nghim phng php kt ni LCD vi vi iu khin.
o Th nghim phng php iu khin trng thi lm vic ca LCD.
o Th nghim phng php iu khin LCD hin th thng tin tnh hay ng.

1.2.7 Khi cng tc:

S nguyn l:

SW27
SWITCH
20. KHOI CONG TAC
SW0
SW2
SW3
SW5
SW6
VCC
SW1
VCC
J106
POWER
1
2
3
GND
SW4
SW7
+5V
R147 10K
1 2 3 4 5 6 7 8 9
GND
J122
SWITCH
1
2
3
4
5
6
7
8


S b tr linh kin:



Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 22 Bin son: Phm Quang Tr
Gii thiu chung:

Nhim v chnh ca khi cng tc l s dng cho cc bi th nghim v giao tip gia vi iu khin vi cng
tc, lp trnh iu khin dng cng tc. Khi gm 8 cng tc c thit k trn mt DIP-SW, cc cng tc ny s
to ra mt mc logic cao (5V) hoc thp (0V) cc bit tng ng ca u ni J122 (SWITCH) tu theo v tr ca
cng tc trn DIP-SW (cng tc v tr ON l mc logic thp v ngc li s c mc logic cao).

Ngoi ra, khi ny cn c s dng cho vic ni GND hoc ni VCC cho cc khi cn thit, v d nh ni
GND cho cc transistor trong khi LED 7 on.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J106 (POWER).

ng dng:

o Th nghim phng php kt ni cng tc vi vi iu khin.
o Th nghim ng dng iu khin thit b bng cng tc.
o To cc mc logic thp (0V) hoc logic cao (5V) cho cc khi khc trn m hnh th nghim.

1.2.8 Khi nt nhn:

S nguyn l:

SW19
KEY0
GND
SW26
KEY7
K0 VCC
KEY4
K
7
+5V
R128
4K7
GND
K
4
SW21
KEY2
R132
4K7
VCC
K2
16. KHOI NUT NHAN
J91
PUSH KEY
1
2
3
4
5
6
7
8
K
1
VCC
VCC
K
5
R131
4K7
KEY0
J114
POWER
1
2
3
K
0
K6
KEY1
K4
VCC
R129
4K7
KEY7
VCC
SW22
KEY3
SW24
KEY5
VCC
K1
K
2
K7
R125
4K7
SW23
KEY4
SW25
KEY6
KEY2
K
3
KEY6
R127
4K7
KEY5
SW20
KEY1
VCC
R126
4K7
K
6
VCC
K3
K5
R130
4K7
KEY3


S b tr linh kin:



Gii thiu chung:

Nhim v chnh ca khi nt nhn l s dng cho cc bi th nghim v giao tip gia vi iu khin vi nt
nhn, lp trnh iu khin dng nt nhn. Khi gm 8 nt nhn c thit k theo nguyn tc: nu khng nhn nt
th mc logic ti bit tng ng ca u ni J91 s c mc logic cao (5V) v ngc li khi nhn nt th s c mc
logic cao.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 23 Bin son: Phm Quang Tr
Ngoi ra, khi ny cn c s dng cho vic to ra mt xung kch c mc logic thp (hoc kch bng cnh
xung) cho cc khi khc trn m hnh th nghim, v d nh cung cp xung m hoc xung ngt cho vi iu khin.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J114 (POWER).

ng dng:

o Th nghim phng php kt ni nt nhn vi vi iu khin.
o Th nghim ng dng iu khin thit b bng nt nhn.
o To cc tn hiu xung c mc logic thp cho cc khi khc trn m hnh th nghim.

1.2.9 Khi bn phm:

S nguyn l:

R3
R1
SW3 3
C3
R1
SW10 8
R1
SW6 5
R0
R0
R2
SW14 0
C0
SW13
ESC
R2
C2
5. KHOI PHM MA TRAN
C2
C1
SW7 6
SW11 9
SW15
ENTER
R2
C3
R0
C2
J18
KEYPAD
1
2
3
4
5
6
7
8
C0
C0 C1
SW12 F3
SW5 4
SW9 7
C1
R1
R3
SW8 F2
R3
C3
SW4 F1
R2
C3
R3
SW16 F4
R0
SW1 1
C0
SW2 2
J15
KEYBOARD
1
2
3
4
5
6
7
8
C1
C2


S b tr linh kin:


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 24 Bin son: Phm Quang Tr
Gii thiu chung:

Khi bn phm trn m hnh th nghim ny c thit k da vo cu trc hng v ct ca ma trn. Bn phm
gm 16 phm c sp xp theo ma trn 4 x 4 (tc 4 hng x 4 ct). Cc hng v ct ca ma trn phm ny c kt
ni vi vi iu khin thng qua u ni J15 (KEYBOARD), R0-R3: cc hng ca ma trn phm, C0-C3: cc ct
ca ma trn phm.

iu khin bn phm ma trn ny ta s dng phng php qut phm. iu khin qut phm th bn xut
mt d liu 4 bit (trong c 1 bit mc logic thp v 3 bit cn li mc logic cao) ra cc ct ca ma trn phm
(C0-C3), c 4 bit d liu cc hng ca ma trn phm (R0-R3) vo kim tra xem c phm no c nhn hay
khng. Nu c phm nhn th trong 4 bit c vo s c 1 bit mc logic thp v da trn c s thit lp m
phm nhn. Nu khng c phm nhn th 4 bit c vo u c mc logic cao, khi ta chuyn mc logic thp sang
ct k tip d tm phm khc.

ng dng:

o Th nghim phng php kt ni bn phm c thit k theo kiu ma trn vi vi iu khin.
o Th nghim ng dng iu khin thit b bng bn phm.
o Th nghim cc phng php qut phm v nhn dng phm nhn.

1.2.10 Khi relay:

S nguyn l:

NC12
COM11
R92
2K2
NO21
NO11
LS1
RELAY 5V
3
4
5
6
8
7
1
2
COM21
R91
330
NO12
VCC
NC22
NO22 NO12
GND
R89
330
NO11
COM21
VCC VCC
COM12
R90
2K2
6. KHOI RELAY
COM12
NC11
GND
Q18
C1815
+5V
J27
RELAY 1 OUT
1
2
3
4
5
6
7
8
D19
LED
D18
1N4148
NO21
NC11
+5V
NC22
+5V
COM12
J26
RELAY 2 OUT
1
2
3
4
5
6
7
8
NO12
LS2
RELAY 5V
3
4
5
6
8
7
1
2
NO21
NC12
COM22
VCC
GND
NC11
NC22
J111
POWER
1
2
3
COM11
COM22
REL1
GND
COM21
Q17
C1815
COM22
NO11
D17
LED
NO22
NO22
COM11
VCC
NC21
REL1
NC21
NC12
D20
1N4148
NC21


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 25 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Trn m hnh th nghim c thit k sn hai relay LS1 v LS2 cho cc ng dng lp trnh iu khin ng
ngt cng sut. Hai relay c iu khin bng u ni J18 (RELAY CTRL), ng (hoc) relay th bn cn cung
cp mt mc logic cao (hoc logic thp) n u ni J18 ny. Cc cng tc bn trong relay c ni ra bn ngoi
thng qua cc u ni J26 (RELAY1 OUT) v J27 (RELAY2 OUT). Trong : COM (Common): im chung; NC
(Normal Close): im thng ng; NO(Normal Open): im thng m.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J111 (POWER).

ng dng:

o Th nghim phng php kt ni relay vi vi iu khin.
o Th nghim ng dng iu khin relay.

1.2.11 Khi to xung:

S nguyn l:

U18 LM555
3
4
8
1
5 2
6
7
OUT
RST
VCC
GND
CV TRG
THR
DSCHG
VCC
PULSE
+5V
PULSE
GND
C10
10u
J113
POWER
1
2
3
GND
R95
1K
J33
PULSE OUT
1
2
D22
1N4148
7. KHOI TAO XUNG
VCC
VCC
C9
104
C7
103
R96
100K
C11
103
R94
1K D21
1N4148
R93
100K
VCC
VCC

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 26 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Khi to xung trn m hnh s dng vi mch LM555 to ra cc xung vung cung cp cho cc ng dng lp
trnh iu khin cn n. Xung vung c ly ra ti u ni J33 (PULSE OUT), tn s xung c iu chnh bi
bin tr R93 v phn trm xung mc cao (hoc thp) c iu chnh bi bin tr R96.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J113 (POWER).

ng dng:

Pht xung clock c tn s thay i v to xung iu khin cho vi iu khin hoc cho cc khi khc trn m
hnh th nghim.

1.2.12 Khi to p thay i:

S nguyn l:

GND
R104
100K J46
V OUT 2
1
2
R106
100K
11. KHOI TAO AP
J48
V OUT 4
1
2
J47
V OUT 3
1
2
VCC VCC VCC
GND
R103
100K
VCC
VCC
+5V
R105
100K J45
V OUT 1
1
2
D35
POWER
1
2
3


S b tr linh kin:


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 27 Bin son: Phm Quang Tr
Gii thiu chung:

Khi to p ny c thit k trn m hnh ch yu nhm mc ch gi lp tn hiu tng t cung cp cho
khi ADC thc hin vic bin i. Khong in p thay i nm trong khong 0V 5V.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni D35 (POWER).

ng dng:

o To cc mc in p thay i lin tc t 0V n 5V cung cp cho cc khi trn m hnh th
nghim.
o Gi lp tn hiu tng t cung cp cho khi ADC.

1.2.13 Khi iu khin ng c bc:

S nguyn l:

G
N
D
D7
D6
A
J31
CTRL MOTOR
1
2
3
4
5
6
7
8
D
C
J32
STEPPER2
1
2
3
4
A
+
5
V
VCC
B
8. KHOI MOTOR BUOC
D
C
B
U17 ULN2803
10 9
1
2
3
4
5
6
7
8
18
17
16
15
14
13
12
11
COM GND
IN1
IN2
IN3
IN4
IN5
IN6
IN7
IN8
OUT1
OUT2
OUT3
OUT4
OUT5
OUT6
OUT7
OUT8
G
N
D
J30
STEPPER 1
1
2
3
4
D4
D5
C8
104
D2
VCC
D1
D0
J105
POWER
1 2 3
D3


S b tr linh kin:



Gii thiu chung:

Khi iu khin ng c bc c thit k trn c s vi mch ULN2803, khi c th iu khin c cng
lc hai ng c bc cng sut nh (in p hot ng: 5VDC, dng in tiu th: 500 mA).
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 28 Bin son: Phm Quang Tr
J31 (CTRL MOTOR): ng vo nhn tn hiu iu khin hai ng c bc t vi iu khin; J30 (STEPPER 1),
J32 (STEPPER 2): ng ra iu khin ca hai ng c bc.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J105 (POWER).

ng dng:

o Th nghim phng php kt ni ng c bc vi vi iu khin.
o Th nghim ng dng iu khin ng c bc.

1.2.14 Khi Serial EEPROM:

S nguyn l:

A0
VCC
J112
POWER
1
2
3
A1
GND
+5V
VCC
A2
J49
ADDR EEPROM
1
2
3
SDA
J50
CTRL EEPROM
1
2
3
10. KHOI SERIAL EEPROM
WP
SCL
U27 AT24C04
1
2
3
4
5
6
7
8
A0
A1
A2
GND
SDA
SCL
WP
VCC
C25
104
GND
VCC


S b tr linh kin:



Gii thiu chung:

Khi c thit k trn nn tng vi mch b nh giao din ni tip 2 dy, AT24C04, c dung lng l 4 KB
(512 x 8 bit). Khi c thit k nhm mc ch gip ngi s dng c th th nghim cc phng php ghi/c d
liu gia vi iu khin vi Serial-Eeprom.

J49 (ADDR EEPROM): ng vo a ch ca b nh; J50 (CTRL EEPROM): cc tn hiu iu khin ca
Eeprom nh ng vo d liu ni tip, xung clock ni tip v tn hiu chng ghi vo b nh.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J112 (POWER).
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 29 Bin son: Phm Quang Tr
ng dng:

o Th nghim phng php kt ni Serial-Eeprom vi vi iu khin.
o Th nghim cc phng php ghi/c d liu gia vi iu khin vi Serial-Eeprom.

1.2.15 Khi cm bin nhit:

S nguyn l:

R151
39K
C55
104
R134
10K
J135
POWER
1
2
3
4
-VCC
C54
104
VCC
J96
V1
1
2
R136
10K
-VCC
VCC
R137
20K
-
+
U43
OP07
3
2
6
7
4 8
1
-
+
U40
OP07
3
2
6
7
4 8
1
-
+
U39
OP07
3
2
6
7
4 8
1
VCC
D44
LM335
C53
104
-VCC
V1
V1
VCC
R135
39K
V2
VCC
R133
20K
VCC
V2
19. KHOI CAM BIEN NHIET
C56
10u
J92
V2
1
2
-VCC
R124 39K
VCC
C52
10u
J93
V OUT
1
2


S b tr linh kin:



Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 30 Bin son: Phm Quang Tr
Gii thiu chung:

m rng cc ng dng giao tip gia vi iu khin (mi trng s) vi mi trng bn ngoi (mi trng
tng t) v cc ng dng mang tnh thc t hn. V th, trn khi c chun b sn khi cm bin nhit vi
phn gii l 0,5V ngi s dng c th nghin cu thit k v lp trnh iu khin cc h thng o nhit mi
trng v khng ch nhit bng vi iu khin.

J93 (V OUT): in p (tng t) ng ra ca khi cm bin nhit; J92 (V2): ng vo ca in p so snh; J96
(V1): ng vo ca tn hiu t cm bin nhit LM335.

LM335 l cm bin nhit c th hot ng n 150
O
C. Tng ng vi nhit 0
O
K th LM335 cho ra in
p 0V. C tng 1
O
C th in p ra tng 10mV. Nh vy, vi 0
O
C th in p ra l 2,73V. d dng cho vic x l
chng trnh th vi 0
O
C in p ra nn l 0V. Mun vy cn c thm mch khuch i hiu tr bt i 2,73V.

in p ng ra ti J93 V OUT = AV(V1 V2). Suy ra, in p ng ra thay i 10AV (mV) khi nhit thay
i 1
O
C.

phn gii cn thit l 0,5
O
C nn in p thay i khi nhit thay i 1
O
C phi bng 2 ln phn gii ca
ADC 0809:

10AV (mV) = 2 x 19,6 (mV) AV = 3,92 chn RF = R
124
= 39K v RI = R
134
= 10K

Tin hnh cn chnh mch: chnh bin tr R137 sao cho V1 = 2,73 + 0,01 x t
O
C (V). Trong thc t chnh xc
th ta nhng LM335 vo nc ang tan (0
O
C). Chnh bin tr R133 sao cho V2 = 2,73 V.

Ch : nhit sau khi qua khi cm bin nhit s to ra mt in p ti J93 (V OUT) c gi tr l 39 * t
O
C
(mV). Vi phn gii ca ADC 0809 l 19,6 mV th in p trn sau khi qua ADC 0809 s c gi tr l 39 * tOC /
19,6 2 * t
O
C.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J135 (POWER).

ng dng:

o Th nghim ng dng o nhit mi trng bng vi iu khin.
o Gi lp tn hiu tng t cung cp cho khi ADC.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 31 Bin son: Phm Quang Tr
1.2.16 Khi m d liu:

S nguyn l:

GND
IN6
OUT3
U32 ULN2803
10 9
1
2
3
4
5
6
7
8
18
17
16
15
14
13
12
11
COM GND
IN1
IN2
IN3
IN4
IN5
IN6
IN7
IN8
OUT1
OUT2
OUT3
OUT4
OUT5
OUT6
OUT7
OUT8
J78
INPUT 4
1
2
3
4
5
6
7
8
OUT4
OUT0
OUT4
IN5
C32
104
IN7
IN7
OUT7
OUT5
U30 ULN2803
10 9
1
2
3
4
5
6
7
8
18
17
16
15
14
13
12
11
COM GND
IN1
IN2
IN3
IN4
IN5
IN6
IN7
IN8
OUT1
OUT2
OUT3
OUT4
OUT5
OUT6
OUT7
OUT8
IN1
IN2
IN4
IN2
+5V
OUT0
IN1
OUT3
OUT7
IN0
IN4
J68
INPUT 3
1
2
3
4
5
6
7
8
IN6
+5V
OUT0
IN5
IN3
OUT1
IN7
IN6
OUT1
U31 ULN2803
10 9
1
2
3
4
5
6
7
8
18
17
16
15
14
13
12
11
COM GND
IN1
IN2
IN3
IN4
IN5
IN6
IN7
IN8
OUT1
OUT2
OUT3
OUT4
OUT5
OUT6
OUT7
OUT8
IN2
C29
104
OUT1
IN3
IN3
+5V
OUT4
OUT0
IN2
OUT6
VCC
OUT3
IN1
OUT7
OUT2
J79
OUTPUT 4
1
2
3
4
5
6
7
8
IN0
IN5 IN5
IN0
J69
OUTPUT 3
1
2
3
4
5
6
7
8
OUT5
13. KHOI EM D LIEU
IN1
C33
104
OUT1
J53
INPUT 1
1
2
3
4
5
6
7
8
IN3
J54
OUTPUT 1
1
2
3
4
5
6
7
8
IN4
OUT3
OUT6
IN0
OUT5
J61
INPUT 2
1
2
3
4
5
6
7
8
OUT4 IN4
OUT2
+5V
+5V
U28 ULN2803
10 9
1
2
3
4
5
6
7
8
18
17
16
15
14
13
12
11
COM GND
IN1
IN2
IN3
IN4
IN5
IN6
IN7
IN8
OUT1
OUT2
OUT3
OUT4
OUT5
OUT6
OUT7
OUT8
OUT6
OUT7
IN6
OUT5
C34
104
J62
OUTPUT 2
1
2
3
4
5
6
7
8
OUT2
IN7
J109
POWER
1
2
3
GND
OUT2
OUT6


S b tr linh kin:



Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 32 Bin son: Phm Quang Tr
Gii thiu chung:

Trong trng hp cc port xut nhp ca vi iu khin cn phi iu khin nhng thit b cng sut ln hoc
phi iu khin cng lc nhiu thit b trn mt port. Lc ny i hi cn phi c cc b khuch i dng in ng
ra ti cc port xut nhp ca vi iu khin th n mi c th p ng c cng vic iu khin nu trn.

Trn m hnh th nghim c thit k sn bn b m d liu c chc nng khuch i cc dng in ti ng
vo ca chng. Dng in ti ng ra l khong 300 - 500 mA. Lu mt iu rt quan trng trong khi ny l cc
b m ULN2803 s dng y l cc b m c o.

J53, J68, J61, J78 (INPUT): ng vo ca cc b m d liu; J54, J69, J62, J79 (OUTPUT): ng ra ca cc b
m d liu (ng ra c o trng thi so vi ng vo).

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J109 (POWER).

ng dng:

o c s dng thc hin vic kt ni gia cc thit b ngoi vi cng sut cao vi chip vi iu
khin.
o Khuch i dng in iu khin cung cp cho cc thit b cng sut cao.
o Lu khi ny l khi khuch i m o.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 33 Bin son: Phm Quang Tr
1.2.17 Khi gii m:

S nguyn l:

D
J28
DECODER 2-4
1
2
B
F
B
SEL6
SEL B
SEL2
SEL A
4. KHOI GIAI MA
E
U15A 74139
2
3
1
4
5
6
7
1
6
8
A
B
G
Y0
Y1
Y2
Y3
V
C
C
G
N
D
SEL1
J36
POWER
1
2
3
C5
104
VCC
U13 7447
7
1
2
6
4
5
3
13
12
11
10
9
15
14
16
8
D0
D1
D2
D3
BI/RBO
RBI
LT
A
B
C
D
E
F
G
VCC
GND
C
C1
104
SEL3
C
B
VCC
DP
VCC
U14 74138
1
2
3
15
14
13
12
11
10
9
7
16
8
6
4
5
A
B
C
Y0
Y1
Y2
Y3
Y4
Y5
Y6
Y7
VCC
GND
G1
G2A
G2B
DP
C
J17
7SEG OUT 2
1
2
3
4
5
6
7
8
G
SEL0
VCC
D
B
A
SEL2
D
SEL C
G
VCC
SEL1
SEL A
J21
7SEG OUT 4
1
2
3
4
5
6
7
8
SEL0
SEL3
J19
7SEG OUT 3
1
2
3
4
5
6
7
8 J20
BCD IN 3-4
1
2
3
4
5
6
7
8
SEL5
F
J14
7SEG OUT 1
1
2
3
4
5
6
7
8
U11 7447
7
1
2
6
4
5
3
13
12
11
10
9
15
14
16
8
D0
D1
D2
D3
BI/RBO
RBI
LT
A
B
C
D
E
F
G
VCC
GND
SEL A
D
VCC
DP
VCC
VCC
C
F
VCC
SEL2
GND
C
C
A
SEL B
VCC
J24
DECODER 2-4
1
2
C
E
C D
VCC
VCC
SEL1
C3
104
U12 7447
7
1
2
6
4
5
3
13
12
11
10
9
15
14
16
8
D0
D1
D2
D3
BI/RBO
RBI
LT
A
B
C
D
E
F
G
VCC
GND
A
U15B 74139
14
13
15
12
11
10
9
A
B
G
Y0
Y1
Y2
Y3
SEL B
D
D
J16
BCD IN 1-2
1
2
3
4
5
6
7
8
A
A
C2
104
SEL0
D
G
VCC
C4
104
U10 7447
7
1
2
6
4
5
3
13
12
11
10
9
15
14
16
8
D0
D1
D2
D3
BI/RBO
RBI
LT
A
B
C
D
E
F
G
VCC
GND
B
G
B
+5V
A
VCC
DP
GND
SEL3
E
J25
DECODER OUT
1
2
3
4
VCC
J29
DECODER OUT
1
2
3
4
SEL7
B
F
E
C6
104
J22
DECODER 3-8
1
2
3
A A
J23
DECODER OUT
1
2
3
4
5
6
7
8
B
SEL4


S b tr linh kin:



Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 34 Bin son: Phm Quang Tr
Gii thiu chung:

M hnh th nghim ny c thit k sn mt b gii m 3 sang 8 (U14 - 74138), hai b gii m 2 sang 4 (U15
- 74139) v bn b gii m BCD sang 7 on (U10..U13 - 7447). Mc ch chnh ca cc b gii m ny l s
dng kt hp vi khi LED 7 on sinh vin c th thit k, th nghim v tm hiu v cc phng php iu
khin LED 7 on t n gin (iu khin trc tip) n phc tp (iu khin theo kiu qut LED). Mt s phng
php iu khin LED 7 on nh (cu trc ca cc phng php ny c th xem phn sau hoc xem trong ti liu
Hng dn s dng phn mm m phng MCS-51 Topview Simulator):

o Ch hin th khng a hp vi ng vo BCD (Non Multiplexed displays with BCD inputs).
o Ch hin th khng a hp vi ng vo 7 on (Non Multiplexed displays with 7 segment
inputs).
o Ch hin th a hp ng vo BCD vi b a hp bn trong (Multiplexed BCD input displays
with internal multiplexer).
o Ch hin th a hp ng vo 7 on vi b a hp bn trong (Multiplexed 7 segment input
displays with internal multiplexer).
o Ch hin th a hp ng vo BCD vi b a hp bn ngoi (Multiplexed BCD input displays
with external multiplexer).
o Ch hin th a hp ng vo 7 on vi b a hp bn ngoi (Multiplexed 7 segment input
displays with external multiplexer).

J16 (BCD IN 1-2): ng vo ca hai tn hiu m BCD (4 bit cao v 4 bit thp); J14, J17 (7 SEG OUT 1, 7 SEG
OUT 2): ng ra ca tn hiu m 7 on tng ng. Tng t nh vy cho J20 v J19, J21.

J22 (DECODER 3-8): cc ng vo ca b gii m 3 sang 8; J23 (DECODER OUT): cc ng ra ca b gii m
3 sang 8.

J24, J28 (DECODER 2-4): cc ng vo ca b gii m 2 sang 4; J25, J29 (DECODER OUT): cc ng ra ca
b gii m 2 sang 4.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J36 (POWER).

ng dng:

o Cung cp b gii m BCD sang 7 on.
o Cung cp b gii m 2 sang 4 hoc b gii m 3 sang 8.
o Th nghim phng php kt ni khi LED 7 on trc tip hoc kt ni c thng qua cc b gii
m vi khi vi iu khin.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 35 Bin son: Phm Quang Tr
1.2.18 Khi ADC:

S nguyn l:

CS0809
IN1
GND
U54A
7402
2
3
1
1
4
7
IN4
IN3
A0
U52 ADC0809
10
9
7
17
14
15
8
18
19
20
21
6
22
11
13
12
16
26
27
28
1
2
3
4
5
25
24
23
CLK
OE
EOC
D0
D1
D2
D3
D4
D5
D6
D7
START
ALE
VCC
GND
REF+
REF-
IN0
IN1
IN2
IN3
IN4
IN5
IN6
IN7
A0
A1
A2
C66
104
J118
CS0809
1
2
GND
WR
IN5
D0
+5V
A1
CS0809
VCC
U54B
7402
5
6
4
D6
CLK750K
VCC
22. KHOI ADC
J113
DIGITAL OUT
1
2
3
4
5
6
7
8
D5
VCC
D34
POWER
1
2
3
D7
C65
104
D2
RD
VCC
D4
IN0
D1
IN6
A2
IN7
J112
ANALOG IN
1
2
3
4
5
6
7
8
IN2
VCC
D3


S b tr linh kin:



Gii thiu chung:

Nhm mc ch gip sinh vin c th nghin cu, thit k v tm hiu v nguyn l chuyn i tn hiu tng
t sang tn hiu s v ng dng ca cc vi mch ADC trong thc t. T c th d dng v thun tin trong vic
thit k c cc h thng chuyn i tn hiu tng t sang tn hiu s dng vi iu khin kt hp vi mch ADC.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 36 Bin son: Phm Quang Tr
Trn m hnh th nghim thit k sn mt vi mch ADC 0809, l mt vi mch chuyn i tng t s 8 bit
c 8 ng vo tn hiu tng t, thc hin vic chuyn i tn hiu ny. J112 (ANALOG IN): cc ng vo tn
hiu tng t (c 8 ng vo); J113 (DIGITAL OUT): ng ra tn hiu s 8 bit; J118 (CS 0809): ng vo cho php
ADC hot ng. Vic chn la ng vo ca tn hiu tng t s do khi vi iu khin quyt nh thng qua cc
ng a ch A0..A2. Qu trnh thc hin chuyn i v a d liu ti vi iu khin s do khi vi iu khin
qun l thng qua hai tn hiu RD\ v WR\. Tn s ly mu ca chip ADC l 750KHz c ly t b chia tn trong
khi vi iu khin.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni D34 (POWER).

ng dng:

o Th nghim phng php kt ni vi mch ADC vi vi iu khin.
o Th nghim phng php chuyn i dng tn hiu t tng t sang s s dng vi mch ADC0809.

1.2.19 Khi DAC:

S nguyn l:

R146
2K7
U55 DAC0808
12
11
10
9
8
7
6
5
14
15
2
4
16
3
13
A8
A7
A6
A5
A4
A3
A2
A1
VR+
VR-
/IOUT
IOUT
COMP
V-
V+
VCC
J120
ANALOG OUT
1
2
D45
5V1
C74
104
R142
10K
-
+
U56
LM741
3
2
6
7 1
4 5
D3
ANALOG
+12V
C71
10u
D4
21. KHOI DAC
-VCC
R141
2K7
R143
2K7
D1
D6
C70
104
R150
2K7
D0
D5
C73
104
D7
R145
10K
D2
+12V
R144
2K7
J119
DIGITAL IN
1
2
3
4
5
6
7
8
C72
104
ANALOG
-12V


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 37 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Nhm mc ch gip sinh vin c th nghin cu, thit k v tm hiu v nguyn l chuyn i tn hiu s sang
tn hiu tng t v ng dng ca cc vi mch DAC trong thc t. T c th d dng v thun tin trong vic
thit k c cc h thng chuyn i tn hiu s sang tn hiu tng t dng vi iu khin kt hp vi mch DAC.

Trn m hnh th nghim thit k sn mt vi mch DAC 0808, l mt vi mch chuyn i s tng t 8
bit, thc hin vic chuyn i tn hiu ny. J119 (DIGITAL IN): ng vo tn hiu s 8 bit; J120 (ANALOG
OUT): ng ra tn hiu tng t (dng in p). Vi mch U56 (LM741) lm nhim v bin i tn hiu tng t ti
ng ra ca vi mch DAC t dng dng in sang dng in p. Bin tr R145: iu chnh mc in p chun.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J108 (POWER).

ng dng:

o Th nghim phng php kt ni vi mch DAC vi vi iu khin.
o Th nghim phng php chuyn i dng tn hiu t s sang tng t s dng vi mch DAC0808.
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 38 Bin son: Phm Quang Tr
1.2.20 Khi RTC:

S nguyn l:

J147
POWER
1
2
3
J108
CS RTC
1
2
WR
RTC
RD AD1
RST
J104
READ/WRITE
1
2
AD7
SQW
AD6 INT
J105
CTRL P-RTC
1
2
3
4
AD4
AD3
ALE
GND
AD5
C64
10p
+5V
SCL
R139 10K
AD0
Y4
32.768KHz
GND
SDA
U46 DS12887
19
23
24
12
4
5
6
7
8
9
10
11
14
17
1
18
15
13
IRQ
SQW
VCC
GND
AD0
AD1
AD2
AD3
AD4
AD5
AD6
AD7
AS
DS
MOT
RST
R/W
CS
R140
10K
AD2
U49 PCF8583
1
2
3
4
5
6
7
8
OSC1
OSC0
A0 V
S
S
SDA
SCL
INT
V
D
D
R138
10K
A0
J103
ADD/DATA BUS
1
2
3
4
5
6
7
8
18. KHOI REAL TIME CLOCK (RTC)
J109
CTRL S-RTC
1
2
3
4
VCC
VCC
INT
C63
104
VCC
RTC
VCC
C62
104
VCC
VCC


S b tr linh kin:



Gii thiu chung:

Nhm mc ch gip sinh vin c th nghin cu, thit k v tm hiu v cc vi mch ng h thi gian thc
(RTC) t c th d dng v thun tin trong vic thit k c cc h thng ng h s dng vi iu khin
kt hp vi mch RTC.

Trn m hnh th nghim thit k sn hai loi RTC: loi giao tip song song (DS12C887) v loi giao tip
ni tip (PCF8583). Lu rng loi RTC ni tip khng lu gi c cc thng s hot ng khi ngun cung cp
b mt.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 39 Bin son: Phm Quang Tr
o Loi giao tip song song (DS12C887): J103 (ADD/DATA BUS): bus a ch v d liu a hp;
J104 (READ/WRITE): tn iu khin ghi v c RTC; J105 (CTRL P-RTC): tn hiu ngt, cht a
ch, reset RTC v tn hiu xung a tn; J108 (CS RTC): tn hiu cho php RTC hot ng.
o Loi giao tip ni tip (PCF8583): J109 (CTRL S-RTC): tn hiu a ch, ngt, xung clock ni tip
v d liu ni tip.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J147 (POWER).

ng dng:

o Th nghim phng php kt ni cc vi mch RTC vi vi iu khin.
o Th nghim lp trnh ng dng thit k ng h s s dng vi mch RTC kiu song song.
o Th nghim lp trnh ng dng thit k ng h s s dng vi mch RTC kiu ni tip.

1.2.21 Khi thanh ghi dch:

S nguyn l:

D4
U53 74164
1
2
8
9
3
4
5
6
10
11
12
13
14
7
A
B
CLK
CLR
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
VCC
GND
D0
D6
D0
D2
D2
D5
D4
J111
PARALLEL OUT 1
1
2
3
4
5
6
7
8
GND
D1
VCC
D3
D0
24. KHOI THANH GHI DCH
CLK
U51 74165
10
11
12
13
14
3
4
5
6
2
15
1
9
7
16
8
DS
P0
P1
P2
P3
P4
P5
P6
P7
CP1
CP2
PL
QH
QH
VCC
GND
D7
STR
U50 4094
4
5
6
7
14
13
12
11
9
10
16
8
1
2
3
15
Q1
Q2
Q3
Q4
Q5
Q6
Q7
Q8
QS1
QS2
VCC
GND
STR
D
CLK
OE
D6
OUT
CLK-3
GND
J116
PARALLEL OUT 2
1
2
3
4
5
6
7
8
VCC
OUT
J114
PARALLEL IN
1
2
3
4
5
6
7
8
+5V
VCC
CLK
LD
VCC
VCC
J110
SERIAL IN 1
1
2
3
J117
SERIAL OUT
1
2
3
LD
IN
C67
104
VCC
IN
J115
SERIAL IN 2
1
2
J115
POWER
1
2
3
D2
D3
C68
104
CLK D1
D7
D3
D4
D5
D6
D7
C69
104
D1
D5


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 40 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Khi thanh ghi dch trn m hnh th nghim c s dng nghin cu th nghim v thit k cc ng dng
chuyn i d liu t dng ni tip sang dng song song 8 bit v ngc li. Vic nghin cu th nghim tnh nng
chuyn i ny gip cho bn c th tm hiu su hn v nguyn l hot ng v phng php iu khin cng nh
ch hot ng ca port ni tip trong chip vi iu khin (m ch yu l ch thanh ghi dch ca port ni tip).

Port ni tip ca chip vi iu khin c th c s dng m rng thnh mt hay nhiu port xut song song.
thc hin iu ny, ta s dng port ni tip ch thanh ghi dch (Shift Register Mode 0) v s dng vi
mch chuyn i t dng ni tip ra dng song song (Serial In Parallel Out) nh 74164 (U53) v 4094 (U50). Vi
hot ng xut ch thanh ghi dch th trn vi iu khin ng RXD l ng ra d liu ni tip, ng TXD l ng
ra xung clock.

Port ni tip ca chip vi iu khin c th c s dng m rng thnh mt hay nhiu port nhp song song.
thc hin iu ny, ta s dng port ni tip ch thanh ghi dch (Shift Register Mode 0) v s dng vi
mch chuyn i t dng song song ra dng ni tip (Parallel In Serial Out) nh 74165 (U51). Vi hot ng
xut ch thanh ghi dch th trn vi iu khin ng RXD l ng vo d liu ni tip, ng TXD l ng ra xung
clock.

U50 (4094) v U53 (74164) l hai vi mch chuyn i d liu t dng ni tip ra dng song song. J110
(SERIAL IN1), J115 (SERIAL IN2): ng vo ca d liu ni tip, IN: ng vo ca d liu ni tip, CLK: ng vo
ca xung clock hay xung dch chuyn d liu, STR: ng vo ca xung cht d liu ng ra. J111 (PARALLEL
OUT1), J116 (PARALLEL OUT2): ng ra ca d liu song song 8 bit.

U51 (74165) l vi mch chuyn i d liu t dng song song ra dng ni tip. J114 (PARALLEL IN): ng vo
ca d liu song song 8 bit. J117 (SERIAL OUT): ng ra ca d liu ni tip, OUT: ng ra ca d liu ni tip,
CLK: ng vo ca xung clock hay xung dch chuyn d liu, LD: cho php ti d liu song song vo vi mch
(LD=0: ti d liu mi t ng vo song song vo trong vi mch, LD=1: cht d liu nhn c t ng vo song
song li v thc hin vic ghi dch d liu ny.
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 41 Bin son: Phm Quang Tr
Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J115 (POWER).

ng dng:

o Th nghim phng php xut nhp d liu dng ni tip s dng phng thc thanh ghi dch.
o Th nghim phng php chuyn i kiu d liu t dng song song sang dng ni tip s dng
phng thc thanh ghi dch.
o Th nghim phng php chuyn i kiu d liu t dng ni tip sang dng song song s dng
phng thc thanh ghi dch.

1.2.22 Khi m rng port I/O:

S nguyn l:

D7
D5
J
1
0
2
D
A
T
A

O
U
T
1
2
3
4
5
6
7
8
J107
CS573B
1
2
D3
245
D1
573A
D0
D6
D5
573B
VCC
C58
104
U41 8255
34
33
32
31
30
29
28
27
4
3
2
1
40
39
38
37
18
19
20
21
22
23
24
25
14
15
16
17
13
12
11
10
26
7
5
36
9
8
35
6
D0
D1
D2
D3
D4
D5
D6
D7
PA0
PA1
PA2
PA3
PA4
PA5
PA6
PA7
PB0
PB1
PB2
PB3
PB4
PB5
PB6
PB7
PC0
PC1
PC2
PC3
PC4
PC5
PC6
PC7
VCC
GND
RD
WR
A0
A1
RESET
CS
573A
D5
WR
U45 74573
2
3
4
5
6
7
8
9
11
1
19
18
17
16
15
14
13
12
10
20
D0
D1
D2
D3
D4
D5
D6
D7
LE
OE
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
GND
VCC
D0
GND
J98
CS245
1
2
D7
573B
J107
POWER
1
2
3
D0
D2
PC3
D2
D7
+5V
D6
D3
U42 74245
2
3
4
5
6
7
8
9
19
1
18
17
16
15
14
13
12
11
20
10
A0
A1
A2
A3
A4
A5
A6
A7
G
DIR
B0
B1
B2
B3
B4
B5
B6
B7
VCC
GND
D2
J
1
0
1
P
O
R
T

C
1
2
3
4
5
6
7
8
J
9
7
P
O
R
T

B
1
2
3
4
5
6
7
8
PC0
D3
VCC
D5
GND
VCC
D7
J106
CS573A
1
2
C59
104
PC7
D4
D6
D2
PB5
A0
VCC
VCC
D1
D3
PC4
PB1
D3
VCC
D4
D6
PC5
D5
D7
J
9
9
D
A
T
A

O
U
T
1
2
3
4
5
6
7
8
WR
PB6
PC1
D2
PB2
PC6
D4
D3
VCC
D0
PA0
WR
D0
D4
PC2
D1
PA7
PA3
D4
D0
PA6
C57
104
8255
PA5
D6
D1
C61
104
PB3
D1
D6
J
9
5
D
A
T
A

I
N
1
2
3
4
5
6
7
8
PA1
C60
104
8255
D1
PB0
245
D4
RD
D7
U47A
7402
2
3
1
1
4
7
J100
CS8255
1
2
D0
A1
D2
PA4
D2
U47B
7402
5
6
4
PB7
PA2
17. KHOI M RONG PORT I/O
U44 74573
2
3
4
5
6
7
8
9
11
1
19
18
17
16
15
14
13
12
10
20
D0
D1
D2
D3
D4
D5
D6
D7
LE
OE
Q0
Q1
Q2
Q3
Q4
Q5
Q6
Q7
GND
VCC
D3
D7
D4
D6
J
9
4
P
O
R
T

A
1
2
3
4
5
6
7
8
PB4
D5
D1
D5


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 42 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Nh ta bit, vi iu khin ch c ti a bn port xut nhp truyn thng vi cc thit b bn ngoi, l
cha xt ti trng hp khi vi iu khin hot ng ch s dng b nh ngoi th vi iu khin ch cn li duy
nht mt port xut nhp. Tuy nhin trong thc t c nhng trng hp ta cn phi truyn thng vi mt s lng
ln hn cc thit b ngoi vi. Chnh v nhng c im ny t ra cho chng ta mt vn l phi lm cch no
nng cao (m rng) c s lng port xut nhp cho vi iu khin.

Khi m rng port I/O trn m hnh c to ra t cc vi mch chuyn dng m rng port xut nhp cho vi
iu khin trn thc t nh: 8255 (m rng port xut nhp), 74245(m rng port nhp), 74573 (m rng port xut),
t gip cho bn thun tin trong vic thc hin cc bi th nghim v vn ny. y c mt im m bn cn
lu l cc vi mch 8255, 47245, 74573 trn y u c thit k hot ng tng t nh mt b nh ngoi
ca vi iu khin (c ngha l s hot ng truyn thng ca chng din ra thng qua bus a ch A0-A1, bus d
liu D0-D7, bus iu khin RD v WR, tn hiu gii m a ch CS ly t khi vi iu khin.

U41 (8255) cho php m rng port xut v port nhp. Bus d liu D0-D7 ca vi iu khin s c iu
khin xut nhp thng qua ba port J94 (PORT A), J97 (PORT B), J9101 (PORT C) di s iu khin ca bus a
ch A0-A1, tn hiu RD (iu khin c/nhp d liu), tn hiu WR (iu khin ghi/xut d liu) v s cho php
ca tn hiu J100 (CS8255).

U42 (74245) cho php m rng port nhp. Bus d liu D0-D7 ca vi iu khin s c iu khin nhp
thng qua port J95 (DATA IN) di s cho php ca tn hiu J98 (CS245).

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 43 Bin son: Phm Quang Tr
U44 (74573) v U45 (74573) cho php m rng port xut. Bus d liu D0-D7 ca vi iu khin s c iu
khin xut thng qua port J99 (DATA OUT) v J102 (DATA OUT) di s iu khin ca tn hiu WR (iu
khin ghi/xut d liu) v s cho php ca tn hiu J107 (CS573A), J106 (CS573B).

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J107 (POWER).

ng dng:

o Th nghim phng php xut nhp d liu dng song song s dng cc vi mch s 74245, 74573.
o Th nghim phng php lp trnh iu khin vi mch PPI 8255 bng vi iu khin.
o Th nghim cc phng php m rng (tng thm s lng) port xut nhp d liu.

Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 44 Bin son: Phm Quang Tr
1.2.23 Khi giao tip PC:

S nguyn l:

D5
JR2 PS2
1 2
3 4
5 6
PE
J87
USB2-PC
1
2
C72
10u
TXD
+DATA
GND
RTS
D0
D7
TXD
J61
POWER
1
2
3
-DATA
N/A1
GND
D1
RTS
GND
AUTOFEED\
CLOCK
J77
USB 1
1
2
3
4
J70
STATUS LPT-PC
1
2
3
4
5
6
7
8
D2
RXD
SEL IN\
DSR
C31
10u
J58
RS232C-PC
1
2
3
4
5
6
7
8
DATA
SEL
D5
STROBE\
VCC
JR1 PS2
1 2
3 4
5 6
-DATA
GND
CLOCK
D4
SEL IN\
INIT
+DATA
J82
USB1-PC
1
2
D6
ACK\
AF\
DSR
D0
DTR
RXD
ACK
C27
10u
NC
DATA
TXD
J81
PS2-1-PC
1
2
VCC
D6
GND
J86
USB 2
1
2
3
4
NC
CTS
D2
P1
RS232C
5
9
4
8
3
7
2
6
1
RXD
D7
D4
BUSY
N/A
N/A2
STROBE\
GND
J65
DATA LPT-PC
1
2
3
4
5
6
7
8
C26
10u
ERROR\
RXD
INIT
14. KHOI GIAO TIEP PC
N/A
N/A1
DTR
J75
CONTROL LPT-PC
1
2
3
4
J55
TXD/RXD
1
2
GND
VCC
BUSY
VCC
CTS
ERROR\
DTR
N/A2
NC
VCC
VCC
TXD
P2
LPT
13
25
12
24
11
23
10
22
9
21
8
20
7
19
6
18
5
17
4
16
3
15
2
14
1
PE
VCC
GND
RTS
GND
C30
10u
SEL
CTS
J85
PS2-2-PC
1
2
D1
DSR
+5V
D3
U29
MAX232
1 3
4 5
16
1
5
2
6
12
9
11
10
13
8
14
7
C
1
+
C
1
-
C
2
+
C
2
-
VCC
G
N
D
V
+
V
-
R1OUT
R2OUT
T1IN
T2IN
R1IN
R2IN
T1OUT
T2OUT
D3
GND
C28
104


Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 45 Bin son: Phm Quang Tr
S b tr linh kin:



Gii thiu chung:

Trn m hnh th nghim c thit k sn mt s chun giao tip thng dng trn my tnh nh: LPT, RS232,
USB v PS2.

Khi cn th nghim loi cng giao tip no th ta dng loi cp tng ng kt ni cng giao tip ca my
tnh vi cng giao tip tng ng trn m hnh th nghim li vi nhau. thun tin v linh ng trong vic th
nghim, tt c cc ng tn hiu ca cc cng giao tip ny u c ni n cc u ni trn m hnh th
nghim. Ta c th kt ni vi iu khin vi cc cng giao tip thng qua cc u ni ny.

o Cng LPT: J65 (DATA LPT-PC): bus d liu; J70 (STATUS LPT-PC): bus trng thi; J75
(CONTROL LPT-PC): bus iu khin.
o Cng RS232: J55 (TXD/RXD): giao tip c thng qua MAX232; J58 (RS232C-PC): giao tip trc
tip.
o Cng USB: J82 (USB1-PC), J87 (USB2-PC): tn hiu d liu; chn VCC v GND ca cng
c kt ni sn vo m hnh.
o Cng PS2: J81 (PS2-1-PC), J85 (PS2-2-PC): tn hiu d liu v xung clock; chn VCC v GND
ca cng c kt ni sn vo m hnh.

Lu khi ny hot ng ta cn phi cp ngun cho khi thng qua u ni J61 (POWER).

ng dng:

Th nghim phng php giao tip gia vi iu khin vi my tnh thng qua cc chun kt ni LPT, RS232,
USB v PS2.
Chng 1: Cu hnh ca m hnh th nghim vi iu khin.

Gio trnh thc hnh vi x l. 46 Bin son: Phm Quang Tr
1.2.24 Khi m rng bus:

S nguyn l:

J131
BUS
1
2
3
4
5
6
7
8
J123
BUS
1
2
3
4
5
6
7
8
J130
BUS
1
2
3
4
5
6
7
8
SW32
SWITCH
SW30
SWITCH
J133
BUS
1
2
3
4
5
6
7
8
J132
BUS
1
2
3
4
5
6
7
8
J126
BUS
1
2
3
4
5
6
7
8
J134
BUS
1
2
3
4
5
6
7
8
23. KHOI M RONG
SW29
SWITCH
J125
BUS
1
2
3
4
5
6
7
8
SW28
SWITCH
J129
BUS
1
2
3
4
5
6
7
8
SW31
SWITCH
J124
BUS
1
2
3
4
5
6
7
8


S b tr linh kin:



Gii thiu chung:

Trn thc t, mi port ca vi iu khin c th cng lc iu khin nhiu thit b. Chnh v mc ch ny m
trn m hnh th nghim ny c thit k sn khi m rng c th tng thm s lng thit b ngoi vi c
ni vo cng mt port iu khin.

Trong khi m rng gm 5 b chuyn i m rng 8 bit c lp nhau (SW28, SW29, SW30, SW31, SW32).
Cc b chuyn i m rng ny c nhim v lin kt hai cp u ni ca n (J123-J124, J125-J126, J129-J130,
J131-J132, J133-J134) li vi nhau theo tng bit mt (khi cng tc tng ng v tr ON).

ng dng:

c s dng m rng, nng cao kh nng kt ni cc port xut nhp ca chip vi iu khin n cng mt
lc nhiu thit b ngoi vi hn.



TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H








Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 48 Bin son: Phm Quang Tr
2.1 Gii thiu:

Phn mm MCU Program Loader kt hp vi khi lp trnh vi iu khin trn m hnh th nghim to thnh
mt thit b lp trnh vi iu khin h 89 ca hng Atmel. Thit b lp trnh vi iu khin ny h tr cho cc vi
mch sau:
Flash (Kbytes) EEPROM RAM (Bytes) I/O Pins
AT89C51 4 128 32
AT89LV51 4 128 32
AT89C52 8 256 32
AT89LV52 8 256 32
AT8C55 20 256 32
AT89LV55 20 256 32
AT89C55WD 20 256 32
AT89S51 4 128 32
AT89LS51 4 128 32
AT89S52 8 256 32
AT89LS52 8 256 32
AT89S53 12 256 32
AT89LS53 12 256 32
AT89S8252 8 2 KB 256 32
AT89LS8252 8 2 KB 256 32
AT89C1051 1 128 15
AT89C2051 2 128 15
AT89C4051 4 128 15
Thit b lp trnh ny bao gm mt h thng phn cng v phn mm thng minh. n gin, d s dng v
hiu sut cao l nhng c im ni bt ca thit b lp trnh ny. N c kh nng lp trnh (Progam), c
(Read) v kim tra m d liu (Verify Code Data), chng c d liu (Write Lock Bits), xo (Erase) v kim tra
khong trng (Blank Check).Phn cng (khi lp trnh vi iu khin trn m hnh th nghim) c to thnh t
mt vi iu khin thng minh m n c kh nng hiu c giao thc truyn nhn d liu vi my tnh thng qua
port ni tip. Trn my tnh, phn mm MCU Program Loader c kh nng nhn dng phn cng v nhn dng vi
mch vi iu khin c a vo thit b. Phn mm s thc hin vic truyn d liu t my tnh sang vi iu khin
cn lp trnh hoc c d liu trn vi iu khin c lp trnh tr v my tnh. nh dng d liu s dng y
phi l tp tin dng HEX ca Intel. Ngoi ra, phn mm cn h tr vic chng c d liu t vi iu khin c
lp trnh (Lock bit).

Cc thng s k thut:

H tr lp trnh cc vi iu khin h 89 ca hng Atmel.
T ng nhn dng phn cng c kt ni v cc thit b.
So snh d liu v kim tra li.
Kho cc chng trnh trn vi mch sau khi lp trnh.
T ng xo trc khi ghi d liu mi v t ng kim tra d liu sau khi ghi xong.
Chun giao tip: RS232
Tc truyn d liu: 9600 bps, 8 bits, no parity, 1 stop, no flow control.
nh dng tp tin d liu: Intel 8 bit HEX.
H iu hnh lm vic: Windows 95, 98, Me, 2000, NT, XP.
Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 49 Bin son: Phm Quang Tr
2.2 Hng dn s dng phn mm MCU Program Loader V2.0:

S dng phn mm tng thch son tho chng trnh iu khin mong mun cho vi iu khin. Sau khi
son tho xong v kim tra hon chnh chng trnh iu khin, bn cn phi bin dch chng trnh ny sang ngn
ng my dng tp tin Intel 8 bit HEX v lu vo trong my tnh.

Cc bc thao tc ti mt chng trnh iu khin t my tnh sang chip vi iu khin bng cch s dng
phn mm MCU Program Loader V2.0.

Bc 1: Kt ni cp RS232 gia cng COM ca my tnh vi cng COM trn khi lp trnh vi iu
khin ca m hnh th nghim. Nu my tnh c nhiu cng COM th kt ni vi cng no cng c
v phn mm s t nhn dng cng COM s dng.
Bc 2: Gn chip vi iu khin cn lp trnh vo trong socket tng ng.
Lu rng:
o Chng ta ch gn mt loi vi iu khin vo trong socket tng ng m thi (hoc loi vi iu
khin 40 chn hoc loi vi iu khin 20 chn). Khng c gn cng lc hai loi vi iu
khin vo c hai socket v nh th thit b s khng hot ng c.
o Chn s 1 ca chip vi iu khin phi c gn sao cho nm ti v tr gn cn gt ca socket.
o Gt cn xung kho cht chip vo mch.


Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 50 Bin son: Phm Quang Tr
Bc 3: Bt cc cng tc ngun trn m hnh cp ngun cho khi lp trnh vi iu khin. Sau
nhp p chut vo biu tng ProLoad2 khi ng phn mm MCU Program Loader V2.0. Giao
din lm vic ca phn mm s c hin ln nh hnh minh ha di y.



Lu rng:
o Trng hp li khng kt ni thit b (hnh minh ha bn tri) hoc li khng nhn dng chip
(hnh minh ha bn phi), giao din lm vic s nh sau:



o Khi xut hin cc trng hp li ta cn kim tra li: ngun cp cho thit b, cp kt ni
RS232, chip cn tt hay hng, gn chip vo mch c ng chiu hay khng, gn hai chip
vo hai socket cng lc phi khng (ch c php gn mt chip vo mt socket bt k m
thi).

Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 51 Bin son: Phm Quang Tr
Bc 4: Tin hnh np chng trnh t my tnh vo b nh ca chip hoc c d liu t b nh ca
chip vo trong my tnh.

o Trng hp np chng trnh cho chip:
Nu bn mun kha khng cho ngi khc c chng trnh m mnh np trong
chip. Bn nh du chn vo hp chn Set lock bytes after writing. Ngc li th bn
khng nh du chn vo hp chn ny.



Nhp chut vo nt Send. Mt hp thoi s hin ln bn chn tp tin chng trnh
cn np cho vi iu khin.



Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 52 Bin son: Phm Quang Tr
Chn tp tin chng trnh cn thit, sau nhn vo nt Open. Phn mm s tin hnh
xa d liu c c trn chip, nhn dng li thit b v tin hnh np d liu vo chip.



Qu trnh np hon tt khi xut hin thng bo Write Successful trn giao din lm
vic ca phn mm.



Lu rng: S lng byte b nh s dng m phn mm thng bo sau khi np chip xong
c th khng bng (nhng phi l nh hn) vi s lng byte ca tp tin chng trnh ngoi thc
t. L do l phn mm ch kim tra b nh ca chip sau khi np xong v kt lun l byte s
dng khi gi tr ca byte khc FFH m thi, cn nu gi tr ca byte bng FFH th phn
mm coi nh l byte cha c s dng.
Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 53 Bin son: Phm Quang Tr
o Trng hp c chng trnh trong chip:
Nhp chut vo nt Read. Mt hp thoi s hin ln bn nhp vo tn tp tin
chng trnh m ti bn mun lu chng trnh c c t trong vi iu khin.



Bn cn phi nhp tn tp tin vo mc File name, sau nhp chut vo nt Save
tip tc qu trnh x l. Phn mm s tin hnh c chng trnh trong vi iu khin
v lu vo my tnh ti ni m bn xc nh trn.



Chng 2: Hng dn s dng phn mm MCU Program Loader.

Gio trnh thc hnh vi x l. 54 Bin son: Phm Quang Tr
Qu trnh c chng trnh hon tt khi xut hin thng bo File Saved trn giao din
lm vic ca phn mm.



Bc 5: Sau khi hon tt qu trnh np (hoc c chng trnh) t my tnh sang vi iu khin (hoc t
vi iu khin sang my tnh), bn tt cc cng tc ngun trn m hnh ngng cp ngun cho khi lp
trnh vi iu khin v tho chip ra khi b np.

Tin hnh theo ng trnh t hng dn bn trn bn s hon tt mt cch rt d dng vic np chng trnh
(hoc c chng trnh) cho chip vi iu khin. Bn cn phi ch n cc im rt quan trng l:
Lun lun phi tt ngun cung cp cho thit b np trc khi tin hnh tho/gn chip vi iu khin
vo socket nhm trnh gy hng chip vi iu khin ny.
S lng byte b nh s dng m phn mm thng bo sau khi np chip xong c th khng
bng (nhng phi l nh hn) vi s lng byte ca tp tin chng trnh ngoi thc t (l s byte
m bn thy trong qu trnh phn mm np chng trnh cho vi iu khin).





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










Gio trnh thc hnh vi x l. 47 Bin son: Phm Quang Tr


MT S IM CN CH
KHI KT NI MCH V VIT CHNG TRNH

A. Khi kt ni mch:

Tn ca tng port xut nhp trn m hnh.
Th t cc bit (t LSB n MSB) ti cc port xut nhp trn m hnh.
Khi kt ni phi m bo sao cho bit 0 ca port vi iu khin ng v tr bit 0 ca i tng
cn iu khin.
Khi kt ni ng v tr bit 0 th cc bit cn li s ng v tr.
Tt c cc chng trnh mu trong h thng ny u c kim tra rt k theo ng nh kt
ni mch c trnh by.
Nu mt yu cu no khng ng th hy xem li phn kt ni v chng trnh.

B. Khi vit chng trnh:

S 0 thng c nh nhm l ch O.
Thng nh thiu tin t # v hu t H i km trong mt s trng hp.
Sau lnh END th khng cn mt hng hay mt k t no (k c k t trng) nu khng
chng trnh bin dch s bo li. Li ny c th b qua.
Hy dng phm TAB vit chng trnh cho thng hng. iu ny rt c ch cho bn khi
cn xem li v kim tra li chng trnh c nhanh chng.
Nu nhp mt chng trnh no trong ti liu m chng trnh chy khng ng nh yu
cu th hy xem k li c nh y tt c cc lnh trong chng trnh hay cha? C thiu
st g khng? Kt ni mch c theo nh hng dn hay khng? Tt c cc chng trnh
trong ti liu hng dn c chy th v lun lun ng.





C K HNG DN S DNG TRC KHI THC HNH









TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H








A. H THNG IU KHIN LED N
Gio trnh thc hnh vi x l. 49 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin vic hin th bng cch s dng LED n.
Vit cc chng trnh con to thi gian tr s dng trong cc ng dng iu khin.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin LED n cc ch khc nhau.
Nm c s v nguyn l hot ng ca khi LED n trn m hnh th nghim.
Nm c nguyn l iu khin LED n cc ch khc nhau.
Bit cch tnh ton cc thng s delay ca Timer trong vi iu khin.
Bit cch vit cc chng trnh to thi gian tr vi cc khong thi gian bt k.



A. H THNG IU KHIN LED N
Bi 1: Chng trnh iu khin 8 LED c ni vi Port0 sng tt (c s dng b m o).
Gio trnh thc hnh vi x l. 50 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J12 (BAR LED 2) khi dy LED vi J63 (PORT0 / DATA) khi vi iu khin.
Dng dy bus 3 ni J103 (POWER) khi dy LED vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG TAT
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
CHOPTAT:
MOV P0,#00H ;LED TAT
LCALL DELAY500MS
MOV P0,#0FFH ;LED SANG
LCALL DELAY500MS
SJMP CHOPTAT ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
A. H THNG IU KHIN LED N
Bi 1: Chng trnh iu khin 8 LED c ni vi Port0 sng tt (c s dng b m o).
Gio trnh thc hnh vi x l. 51 Bin son: Phm Quang Tr
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin 8 LED c ni vi Port3 sng tt.
Bi 2: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port0 v Port1) sng tt.
Bi 3: Hy vit chng trnh iu khin 8 LED c ni vi Port0 sng, 8 LED c ni vi Port1 tt ri
sau 8 LED c ni vi Port0 tt, 8 LED c ni vi Port1 sng.
Bi 4: Nu mun thay i tc sng tt nhanh hay chm th bn phi lm g? Th thc hin xem ?
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
A. H THNG IU KHIN LED N
Bi 2: Chng trnh iu khin m ln nh phn 8 bit v hin th trn 8 LED c ni vi Port0 (c s dng
b m o).
Gio trnh thc hnh vi x l. 52 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED DEM LEN NHI PHAN
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MOV P0,#00H ;LED TAT
LCALL DELAY500MS
LAP:
INC P0 ;TANG NHI PHAN
LCALL DELAY500MS
SJMP LAP ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin m ln nh phn 8 bit v hin th trn 8 LED c ni vi Port3.
Bi 2: Hy vit chng trnh iu khin m ln nh phn 16 LED c ni vi hai Port (Port0 v Port1).
Bi 3: Hy vit chng trnh iu khin tng t nh hai bi tp 1 v 2 nhng l m xung.
Bi 4: Nu mun thay i tc m nhanh hay chm th bn phi lm g? Th thc hin xem ?
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
A. H THNG IU KHIN LED N
Bi 3: Chng trnh iu khin 8 LED c ni vi Port0 sng dn v tt ht (c s dng b m o).
Gio trnh thc hnh vi x l. 53 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DAN VA TAT HET
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV P0,#00000000B ;HIEN THI 0 LED
LCALL DELAY500MS
MOV P0,#00000001B ;HIEN THI 1 LED
LCALL DELAY500MS
MOV P0,#00000011B ;HIEN THI 2 LED
LCALL DELAY500MS
MOV P0,#00000111B ;HIEN THI 3 LED
LCALL DELAY500MS
MOV P0,#00001111B ;HIEN THI 4 LED
LCALL DELAY500MS
MOV P0,#00011111B ;HIEN THI 5 LED
LCALL DELAY500MS
MOV P0,#00111111B ;HIEN THI 6 LED
LCALL DELAY500MS
MOV P0,#01111111B ;HIEN THI 7 LED
LCALL DELAY500MS
MOV P0,#11111111B ;HIEN THI 8 LED
LCALL DELAY500MS
SJMP MAIN ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DAN VA TAT HET
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV R0,#00H ;BIEN DEM SO TRANG THAI HIEN THI
MOV DPTR,#DATADISP ;NAP DIA CHI VUNG DU LIEU
LOOP:
MOV A,R0
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG NHO
MOV P0,A ;HIEN THI
LCALL DELAY500MS
INC R0 ;LAY DU LIEU KE TIEP
CJNE R0,#9,LOOP ;KIEM TRA SO DU TRANG THAI HIEN THI
SJMP MAIN ;QUAY LAI
;****************************************************
A. H THNG IU KHIN LED N
Bi 3: Chng trnh iu khin 8 LED c ni vi Port0 sng dn v tt ht (c s dng b m o).
Gio trnh thc hnh vi x l. 54 Bin son: Phm Quang Tr
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DATADISP: ;VUNG DU LIEU HIEN THI
DB 00H,01H,03H,07H,0FH,1FH,3FH,7FH,0FFH
END
Cch 3:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DAN VA TAT HET
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV A,#00H ;KHOI DONG GIA TRI BAN DAU
LAP:
SETB C ;C=1
MOV P0,A ;HIEN THI
LCALL DELAY500MS
RLC A ;DICH BIT 1 SANG TRAI KEM C, SANG DAN
JNC LAP ;CHUA SANG HET THI LAM TIEP
SJMP MAIN ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin 8 LED c ni vi Port3 sng dn v tt ht.
Bi 2: Hy vit chng trnh iu khin 8 LED c ni vi Port2 sng ht v tt dn.
Bi 3: Hy vit chng trnh iu khin tng t nh hai bi tp 1 v 2 nhng l theo chiu ngc li.
Bi 4: Hy vit chng trnh iu khin 8 LED c ni vi Port1 sng dn v tt dn.
Bi 5: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port2 v Port3) sng dn v tt dn.
Bi 6: Hy vit chng trnh iu khin tng t nh hai bi tp 4 v 5 nhng l theo chiu ngc li.
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
A. H THNG IU KHIN LED N
Bi 4: Chng trnh iu khin 8 LED c ni vi Port0 sng ui (c s dng b m o).
Gio trnh thc hnh vi x l. 55 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DUOI
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV R0,#00H ;BIEN DEM SO TRANG THAI HIEN THI
MOV DPTR,#DATADISP ;NAP DIA CHI VUNG DU LIEU
LOOP:
MOV A,R0
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG NHO
MOV P0,A ;HIEN THI
LCALL DELAY500MS
INC R0 ;LAY DU LIEU KE TIEP
CJNE R0,#8,LOOP ;KIEM TRA SO DU TRANG THAI HIEN THI
SJMP MAIN ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DATADISP: ;VUNG DU LIEU HIEN THI
DB 01H,02H,04H,08H,10H,20H,40H,80H
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DUOI
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV A,#01H ;KHOI DONG GIA TRI BAN DAU
LAP:
MOV P0,A ;HIEN THI
LCALL DELAY500MS
RL A ;DICH BIT 1 SANG TRAI
SJMP LAP ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
A. H THNG IU KHIN LED N
Bi 4: Chng trnh iu khin 8 LED c ni vi Port0 sng ui (c s dng b m o).
Gio trnh thc hnh vi x l. 56 Bin son: Phm Quang Tr
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin 8 LED c ni vi Port3 sng ui.
Bi 2: Hy vit chng trnh iu khin 8 LED c ni vi Port1 tt ui.
Bi 3: Hy vit chng trnh iu khin tng t nh hai bi tp 1 v 2 nhng l theo chiu ngc li.
Bi 4: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port0 v Port1) sng ui.
Bi 5: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port2 v Port3) tt ui.
Bi 6: Hy vit chng trnh iu khin tng t nh hai bi tp 4 v 5 nhng l theo chiu ngc li.
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

A. H THNG IU KHIN LED N
Bi 5: Chng trnh iu khin 8 LED c ni vi Port0 sng dn (c s dng b m o).
Gio trnh thc hnh vi x l. 57 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DON VA TAT HET
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV R0,#00H ;BIEN DEM SO TRANG THAI HIEN THI
MOV DPTR,#DATADISP ;NAP DIA CHI VUNG DU LIEU
LOOP:
MOV A,R0
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG NHO
MOV P0,A ;HIEN THI
LCALL DELAY500MS
INC R0 ;LAY DU LIEU KE TIEP
CJNE R0,#36,LOOP ;KIEM TRA SO DU TRANG THAI HIEN THI
SJMP MAIN ;QUAY LAI
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DATADISP: ;VUNG DU LIEU HIEN THI
DB 01H,02H,04H,08H,10H,20H,40H,80H
DB 81H,82H,84H,88H,90H,0A0H,0C0H
DB 0C1H,0C2H,0C4H,0C8H,0D0H,0E0H
DB 0E1H,0E2H,0E4H,0E8H,0F0H
DB 0F1H,0F2H,0F4H,0F8H
DB 0F9H,0FAH,0FCH
DB 0FDH,0FEH
DB 0FFH
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG DON VA TAT HET
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
MOV R0,#08H ;LUU SO LAN DICH BIT
MOV R1,#00H ;TRANG THAI LED KHI DON LAN DAU TIEN
LAP2:
MOV R2,00H
MOV R3,#01H
LAP1:
MOV A,R3
ORL A,R1
MOV P0,A ;HIEN THI
ACALL DELAY500MS
A. H THNG IU KHIN LED N
Bi 5: Chng trnh iu khin 8 LED c ni vi Port0 sng dn (c s dng b m o).
Gio trnh thc hnh vi x l. 58 Bin son: Phm Quang Tr
MOV A,R3 ;DON LED
RL A
MOV R3,A
DJNZ R2,LAP1 ;CHUA DON XONG? QUAY LAI
DEC R0 ;GIAM SO LAN DON LED
SETB C ;THAY DOI TRANG THAI LED CHO LAN DON TIEP THEO
MOV A,R1
RRC A
MOV R1,A
CJNE R0,#00H,LAP2 ;CHUA HOAN TAT CHU TRINH? QUAY LAI
MOV P0,#00H ;HIEN THI TAT HET
ACALL DELAY500MS
SJMP MAIN ;QUAY LAI TU DAU KHI DA XONG
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin 8 LED c ni vi Port3 sng dn.
Bi 2: Hy vit chng trnh iu khin 8 LED c ni vi Port2 tt dn.
Bi 3: Hy vit chng trnh iu khin tng t nh hai bi tp 1 v 2 nhng l theo chiu ngc li.
Bi 4: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port1 v Port3) sng dn.
Bi 5: Hy vit chng trnh iu khin 16 LED c ni vi hai Port (Port2 v Port0) tt dn.
Bi 6: Hy vit chng trnh iu khin tng t nh hai bi tp 4 v 5 nhng l theo chiu ngc li.
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

A. H THNG IU KHIN LED N
Bi 6: Chng trnh iu khin 8 LED c ni vi Port0 hot ng bng cch tng hp cc phng php iu
khin thc tp (c s dng b m o).
Gio trnh thc hnh vi x l. 59 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED HOAT DONG TONG HOP
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
MAIN:
ACALL CHOPTAT
ACALL DEMLEN
ACALL SANGDUOI
ACALL SANGDAN
ACALL SANGDON
SJMP MAIN
;****************************************************
CHOPTAT: ;CTC SANG TAT
MOV R7,#5 ;SO LAN THUC HIEN
SOLAN1:
MOV P0,#00H ;LED TAT
LCALL DELAY500MS
MOV P0,#0FFH ;LED SANG
LCALL DELAY500MS
DJNZ R7,SOLAN1 ;GIAM SO LAN THUC HIEN BAI
RET ;QUAY LAI
;****************************************************
DEMLEN: ;CTC DEM LEN NHI PHAN
MOV R7,#2 ;SO LAN THUC HIEN
SOLAN2:
MOV P0,#00H ;LED TAT
LCALL DELAY500MS
LAP1:
INC P0 ;TANG NHI PHAN
LCALL DELAY500MS
MOV A,P0
CJNE A,#00H,LAP1
DJNZ R7,SOLAN2 ;GIAM SO LAN THUC HIEN BAI
RET ;QUAY LAI
;****************************************************
SANGDUOI: ;CTC SANG DUOI
MOV R7,#5 ;SO LAN THUC HIEN
SOLAN3:
MOV A,#01H ;KHOI DONG GIA TRI BAN DAU
CLR C
LAP2:
MOV P0,A ;HIEN THI
LCALL DELAY500MS
RLC A ;DICH BIT 1 SANG TRAI
JNC LAP2 ;QUAY LAI
DJNZ R7,SOLAN3 ;GIAM SO LAN THUC HIEN BAI
RET
;****************************************************
SANGDAN: ;CTC SANG DAN
MOV R7,#5 ;SO LAN THUC HIEN
SOLAN4:
MOV A,#00H ;KHOI DONG GIA TRI BAN DAU
LAP3:
SETB C ;C=1
MOV P0,A ;HIEN THI
LCALL DELAY500MS
RLC A ;DICH BIT 1 SANG TRAI KEM C, SANG DAN
JNC LAP3 ;CHUA SANG HET THI LAM TIEP
DJNZ R7,SOLAN4 ;GIAM SO LAN THUC HIEN BAI
RET ;QUAY LAI
A. H THNG IU KHIN LED N
Bi 6: Chng trnh iu khin 8 LED c ni vi Port0 hot ng bng cch tng hp cc phng php iu
khin thc tp (c s dng b m o).
Gio trnh thc hnh vi x l. 60 Bin son: Phm Quang Tr
;****************************************************
SANGDON: ;CTC SANG DON
MOV R7,#3 ;SO LAN THUC HIEN
SOLAN5:
MOV R0,#08H ;LUU SO LAN DICH BIT
MOV R1,#00H ;TRANG THAI LED KHI DON LAN DAU TIEN
LAP4:
MOV R2,00H
MOV R3,#01H
LAP5:
MOV A,R3
ORL A,R1
MOV P0,A ;HIEN THI
ACALL DELAY500MS
MOV A,R3 ;DON LED
RL A
MOV R3,A
DJNZ R2,LAP5 ;CHUA DON XONG? QUAY LAI
DEC R0 ;GIAM SO LAN DON LED
SETB C ;THAY DOI TRANG THAI LED CHO LAN DON TIEP THEO
MOV A,R1
RRC A
MOV R1,A
CJNE R0,#00H,LAP4 ;CHUA HOAN TAT CHU TRINH? QUAY LAI
MOV P0,#00H ;HIEN THI TAT HET
ACALL DELAY500MS
DJNZ R7,SOLAN5 ;GIAM SO LAN THUC HIEN BAI
RET
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0F6H
MOV TL0,#3CH
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin sao cho phn sng tt ca chng trnh v d trn c thc hin
10 ln (cc phn khc khng thay i).
Bi 2: Hy vit chng trnh iu khin sao cho phn m ln nh phn chng trnh v d trn c thc
hin 10 ln (cc phn khc khng thay i).
Bi 3: Hy vit chng trnh iu khin sao cho phn sng dn v tt dn ca chng trnh v d trn c
thc hin 10 ln (cc phn khc khng thay i).
Bi 4: Hy vit chng trnh iu khin sao cho phn sng ui ca chng trnh v d trn c thc hin
10 ln (cc phn khc khng thay i).
Bi 5: Hy vit chng trnh iu khin sao cho phn sng dn ca chng trnh v d trn c thc hin
10 ln (cc phn khc khng thay i).
Bi 6: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

A. H THNG IU KHIN LED N
Bi 7: Chng trnh con iu khin to thi gian tr 200 s, 20ms, 2s s dng Timer.
Gio trnh thc hnh vi x l. 61 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
ng dng chng trnh to thi gian tr 200 s:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG TAT
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
CHOPTAT:
MOV P0,#00H ;LED TAT
LCALL DELAY200US
MOV P0,#0FFH ;LED SANG
LCALL DELAY200US
SJMP CHOPTAT ;QUAY LAI
;***************************************************
;CHUONG TRINH CON TAO THOI GIAN TRE
;***************************************************
;TIME DELAY: 200US
;***************************************************
;TUONG DOI: TIME = [R0]*[R1]*T
;CHINH XAC: TIME = 2T+2T+1T+(1T+2T*[R1]+2T)*[R0]+2T+2T+2T
;VOI T LA CHU KY MAY
;***************************************************
DELAY200US:
PUSH 00H
PUSH 01H
MOV R0,#20
DEL:
MOV R1,#10
DJNZ R1,$
DJNZ R0,DEL
POP 01H
POP 00H
RET
END
ng dng chng trnh to thi gian tr 20ms:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG TAT
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
CHOPTAT:
MOV P0,#00H ;LED TAT
LCALL DELAY20MS
MOV P0,#0FFH ;LED SANG
LCALL DELAY20MS
SJMP CHOPTAT ;QUAY LAI
;***************************************************
;CHUONG TRINH CON TAO THOI GIAN TRE
;***************************************************
;TIME DELAY: 20MS
;***************************************************
;TUONG DOI: TIME = (10000H-[TH0,TL0]H)*T
;CHINH XAC: TIME = 2T+2T+2T+1T+(10000H-[TH0,TL0]H)*T+1T+1T++2T
;VOI T LA CHU KY MAY
;***************************************************
DELAY20MS:
MOV TMOD,#01H
MOV TH0,#0B1H
MOV TL0,#0E0H
SETB TR0
JNB TF0,$
A. H THNG IU KHIN LED N
Bi 7: Chng trnh con iu khin to thi gian tr 200 s, 20ms, 2s s dng Timer.
Gio trnh thc hnh vi x l. 62 Bin son: Phm Quang Tr
CLR TR0
CLR TF0
RET
END
ng dng chng trnh to thi gian tr 2s:
;***************************************************
;CHUONG TRINH DIEU KHIEN 8 LED SANG TAT
;***************************************************
;KET NOI: 8 LED -> PORT0 (CO DEM DAO).
;***************************************************
ORG 00H
CHOPTAT:
MOV P0,#00H ;LED TAT
LCALL DELAY2S
MOV P0,#0FFH ;LED SANG
LCALL DELAY2S
SJMP CHOPTAT ;QUAY LAI
;***************************************************
;CHUONG TRINH CON TAO THOI GIAN TRE
;***************************************************
;TIME DELAY: 2S
;***************************************************
;TUONG DOI: TIME = (10000H-[TH0,TL0]H)*[R0]*T
;CHINH XAC: TIME = 2T+1T+2T+(2T+2T+1T+(10000H-[TH0,TL0]H)*T+1T+1T+2T)*[R0]+2T+2T
;VOI T LA CHU KY MAY
;***************************************************
DELAY2S:
PUSH 00H
MOV R0,#200
MOV TMOD,#01H
DEL:
MOV TH0,#0D8H
MOV TL0,#0F0H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,DEL
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh con iu khin to thi gian tr 250s s dng Timer.
Bi 2: Hy vit chng trnh con iu khin to thi gian tr 1ms s dng Timer.
Bi 3: Hy vit chng trnh con iu khin to thi gian tr 100ms s dng Timer.
Bi 4: Hy vit chng trnh con iu khin to thi gian tr 1s s dng Timer.
Bi 5: Hy vit chng trnh con iu khin to thi gian tr 3s s dng Timer.
Bi 6: Hy vit chng trnh con iu khin to thi gian tr 10s s dng Timer.
Bi 7: Hy vit chng trnh con iu khin to thi gian tr 1 pht s dng Timer.
Bi 8: Hy vit chng trnh con iu khin to thi gian tr 1 gi s dng Timer.
Bi 9: Sinh vin t mnh suy ngh v pht trin thm chng trnh.




TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H









B. H THNG IU KHIN LED 7 ON.
Gio trnh thc hnh vi x l. 64 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin vic hin th bng cch s dng LED 7 on theo nhiu phng php khc nhau.
iu khin vic hin th cc thng tin v s liu bng cch s dng cc b hin th dng LED 7 on.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin LED 7 on ch :
o Khng a hp v ng vo d liu kiu BCD.
o Khng a hp v ng vo d liu kiu 7 on.
o a hp v ng vo d liu kiu BCD (khng dng vi mch gii a hp bn ngoi).
o a hp v ng vo d liu kiu 7 on (khng dng vi mch gii a hp bn ngoi).
o a hp v ng vo d liu kiu BCD (dng vi mch gii a hp bn ngoi).
o a hp v ng vo d liu kiu 7 on (dng vi mch gii a hp bn ngoi).
Nm c s v nguyn l hot ng ca khi LED 7 on trn m hnh th nghim.
Nm c s v nguyn l iu khin LED 7 on theo phng php:
o Khng a hp v ng vo d liu kiu BCD.
o Khng a hp v ng vo d liu kiu 7 on.
o a hp v ng vo d liu kiu BCD (khng dng vi mch gii a hp bn ngoi).
o a hp v ng vo d liu kiu 7 on (khng dng vi mch gii a hp bn ngoi).
o a hp v ng vo d liu kiu BCD (dng vi mch gii a hp bn ngoi).
o a hp v ng vo d liu kiu 7 on (dng vi mch gii a hp bn ngoi).
Bit cch vit cc chng trnh iu khin hin th cc thng tin v s liu trn cc b hin th dng
LED 7 on.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 1: Chng trnh iu khin hin th s 7 trn LED3 (LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 65 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J2 (SEL LED IN) khi LED 7 on vi J34 (SWITCH) khi cng tc v gt tt c
cc cng tc v tr ON (v tr GND).
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J8 (7SEG4 IN) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 7 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
ORG 00H
MOV P0,#07H ;XUAT HIEN THI
SJMP $
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)
B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED3 (LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 66 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
ORG 00H
MAIN:
MOV P0,#00H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#01H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#02H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#03H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#04H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#05H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#06H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#07H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#08H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#09H ;XUAT HIEN THI
LCALL DELAY500MS
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
ORG 00H
MAIN:
MOV A,#00H ;GIA TRI BAT DAU
LOOP:
MOV P0,A ;XUAT HIEN THI
LCALL DELAY500MS
INC A ;TANG GIA TRI
CJNE A,#10,LOOP
B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED3 (LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 67 Bin son: Phm Quang Tr
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 3 trn LED1.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0 ln 5 trn LED2.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5 xung 0 trn LED2.
Bi 4: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 3: Chng trnh iu khin hin th s 35 trn hai LED (LED2 v LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 68 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J2 (SEL LED IN) khi LED 7 on vi J34 (SWITCH) khi cng tc v gt tt c
cc cng tc v tr ON (v tr GND).
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J8 (7SEG4 IN) khi LED 7 on.
Dng dy bus 8 ni J17 (7SEG OUT 2) khi gii m vi J7 (7SEG5 IN) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 35 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
$MOD51
ORG 00H
MOV P0,#35H ;XUAT HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED2 v LED3 c ni vi
Port0).
Gio trnh thc hnh vi x l. 69 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 3.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00 -> 99 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
ORG 00H
MAIN:
MOV A,#00H ;GIA TRI BAT DAU
LOOP:
MOV P0,A ;XUAT HIEN THI
LCALL DELAY500MS
ADD A,#1 ;TANG GIA TRI
DA A
CJNE A,#00,LOOP
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 53 trn hai LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 59 trn hai LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59 xung 00 trn hai LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 23 trn hai LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 23 xung 00 trn hai LED.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.


B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED (LED0 v LED1 c ni vi Port1, LED2 v
LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 70 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J2 (SEL LED IN) khi LED 7 on vi J34 (SWITCH) khi cng tc v gt tt c
cc cng tc v tr ON (v tr GND).
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J8 (7SEG4 IN) khi LED 7 on.
Dng dy bus 8 ni J17 (7SEG OUT 2) khi gii m vi J7 (7SEG5 IN) khi LED 7 on.
Dng dy bus 8 ni J19 (7SEG OUT 3) khi gii m vi J6 (7SEG6 IN) khi LED 7 on.
Dng dy bus 8 ni J21 (7SEG OUT 4) khi gii m vi J5 (7SEG7 IN) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J20 (BCD IN 3-4) khi gii m.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1234 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0, PORT1.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
ORG 00H
MOV P0,#34H ;XUAT HIEN THI
MOV P1,#12H ;XUAT HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 6)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED7 on c thit k theo phng php khng a hp v ng vo d liu kiu BCD)
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 n 9999 trn bn LED (LED0 v LED1 c ni
vi Port1, LED2 v LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 71 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 5.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0000 -> 9999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0, PORT1.
;CHE DO: NON-MULTI, BCD IN, CA
;***************************************************
ORG 00H
MAIN:
MOV R0,#00H ;GIA TRI BAT DAU
MOV R1,#00H
LOOP:
MOV P0,R0 ;XUAT HIEN THI
MOV P1,R1
LCALL DELAY500MS
MOV A,R0
ADD A,#1 ;TANG GIA TRI (CHUC - DON VI)
DA A
MOV R0,A
CJNE A,#00,LOOP ;KIEM TRA GIA TRI > 99
MOV A,R1
ADD A,#1 ;TANG GIA TRI (TRAM - NGAN)
DA A
MOV R1,A
CJNE A,#00,LOOP ;KIEM TRA GIA TRI > 99
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5678 trn bn LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 5999 trn bn LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5999 xung 0000 trn bn LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 1234 trn bn LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 1234 xung 0000 trn bn LED.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 1: Chng trnh iu khin hin th s 7 trn LED3 (LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 72 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J2 (SEL LED IN) khi LED 7 on vi J34 (SWITCH) khi cng tc v gt tt c
cc cng tc v tr ON (v tr GND).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J8 (7SEG4 IN) khi LED 7 on.
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 7 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MOV P0,#0F8H ;XUAT HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED3 (LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 73 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MAIN:
MOV P0,#0C0H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#0F9H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#0A4H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#0B0H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#99H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#92H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#82H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#0F8H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#80H ;XUAT HIEN THI
LCALL DELAY500MS
MOV P0,#90H ;XUAT HIEN THI
LCALL DELAY500MS
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MOV R0,#00H ;VI TRI DU LIEU HIEN THI TRONG VUNG MA
DISP:
MOV A,R0 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT HIEN THI
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED3 (LED3 c ni vi Port0).
Gio trnh thc hnh vi x l. 74 Bin son: Phm Quang Tr
LCALL DELAY500MS
INC R0
CJNE R0,#10,DISP ;KIEM TRA VI TRI DU LIEU > 9 (DEM XONG)
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 3 trn LED2.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0 ln 5 trn LED3.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5 xung 0 trn LED3.
Bi 4: Hy vit chng trnh iu khin hin th m s HEX t 0 ln F trn LED0.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t F xung 0 trn LED0.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 3: Chng trnh iu khin hin th s 35 trn hai LED (LED3 c ni vi Port0, LED2 c ni vi
Port1).
Gio trnh thc hnh vi x l. 75 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J2 (SEL LED IN) khi LED 7 on vi J34 (SWITCH) khi cng tc v gt tt c
cc cng tc v tr ON (v tr GND).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J8 (7SEG4 IN) khi LED 7 on.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J7 (7SEG5 IN) khi LED 7 on.
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 35 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0, PORT1.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MAIN:
MOV P0,#92H ;XUAT HIEN THI
MOV P1,#0B0H ;XUAT HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED3 c ni vi Port0,
LED2 c ni vi Port1).
Gio trnh thc hnh vi x l. 76 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 3.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00 -> 99 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0, PORT1.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MOV R0,#00H ;VI TRI DU LIEU HIEN THI TRONG VUNG MA
MOV R1,#00H
DISP:
MOV A,R0 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT HIEN THI (DON VI)
MOV A,R1 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P1,A ;XUAT HIEN THI (CHUC)
LCALL DELAY500MS
INC R0 ;TANG DON VI
CJNE R0,#10,DISP ;KIEM TRA DON VI > 9 (DEM XONG)
MOV R0,#00H ;XOA DON VI (DON VI = 0)
INC R1 ;TANG CHUC
CJNE R1,#10,DISP ;KIEM TRA CHUC > 9 (DEM XONG)
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 53 trn hai LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 59 trn hai LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 23 trn hai LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 23 xung 00 trn hai LED.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t 00 ln FF trn hai LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t AB xung 00 trn hai LED.
Bi 7: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED (LED3 c ni vi Port0, LED2 c ni vi
Port1, LED1 c ni vi Port2 v LED0 c ni vi Port3).
Gio trnh thc hnh vi x l. 77 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J2 (SEL LED IN) khi LED 7 on vi J34 (SWITCH) khi cng tc v gt tt c
cc cng tc v tr ON (v tr GND).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J5 (7SEG7 IN) khi LED 7 on.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J6 (7SEG6 IN) khi LED 7 on.
Dng dy bus 8 ni J65 (PORT2 / A8..A15) khi vi iu khin vi J7 (7SEG5 IN) khi LED 7 on.
Dng dy bus 8 ni J66 (PORT3 / FUNCTION) khi vi iu khin vi J8 (7SEG4 IN) khi LED 7
on.
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1234 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0, PORT1, PORT2, PORT3.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MAIN:
MOV P0,#99H ;XUAT HIEN THI
MOV P1,#0B0H ;XUAT HIEN THI
MOV P2,#0A4H ;XUAT HIEN THI
MOV P3,#0F9H ;XUAT HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 6)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED3 c ni vi Port0,
LED2 c ni vi Port1, LED1 c ni vi Port2 v LED0 c ni vi Port3).
Gio trnh thc hnh vi x l. 78 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 5.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0000 -> 9999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0, PORT1, PORT2, PORT3.
;CHE DO: NON-MULTI, 7SEG IN (DP -> A), CA
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MOV R0,#00H ;VI TRI DU LIEU HIEN THI TRONG VUNG MA
MOV R1,#00H
MOV R2,#00H
MOV R3,#00H
DISP:
MOV A,R0 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT HIEN THI (DON VI)
MOV A,R1 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P1,A ;XUAT HIEN THI (CHUC)
MOV A,R2 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P2,A ;XUAT HIEN THI (TRAM)
MOV A,R3 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P3,A ;XUAT HIEN THI (NGAN)
LCALL DELAY500MS
INC R0 ;TANG DON VI
CJNE R0,#10,DISP ;KIEM TRA DON VI > 9 (DEM XONG)
MOV R0,#00H ;XOA DON VI (DON VI = 0)
INC R1 ;TANG CHUC
CJNE R1,#10,DISP ;KIEM TRA CHUC > 9 (DEM XONG)
MOV R1,#00H ;XOA CHUC (CHUC = 0)
INC R2 ;TANG TRAM
CJNE R2,#10,DISP ;KIEM TRA TRAM > 9 (DEM XONG)
MOV R2,#00H ;XOA TRAM (TRAM = 0)
INC R3 ;TANG NGAN
CJNE R3,#10,DISP ;KIEM TRA NGAN > 9 (DEM XONG)
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php khng a hp v ng vo d liu kiu 7 on)
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED3 c ni vi Port0,
LED2 c ni vi Port1, LED1 c ni vi Port2 v LED0 c ni vi Port3).
Gio trnh thc hnh vi x l. 79 Bin son: Phm Quang Tr
Bi 1: Hy vit chng trnh iu khin hin th s 5678 trn bn LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 5999 trn bn LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5999 xung 0000 trn bn LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 1234 trn bn LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 1234 xung 0000 trn bn LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t 0000 ln FFFF trn bn LED.
Bi 7: Hy vit chng trnh iu khin hin th m s HEX t FFFF xung 0000 trn bn LED.
Bi 8: Hy vit chng trnh iu khin hin th m s HEX t 0000 ln ABCD trn bn LED.
Bi 9: Hy vit chng trnh iu khin hin th m s HEX t ABCD xung 0000 trn bn LED.
Bi 10: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 11: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 1: Chng trnh iu khin hin th s 1 trn LED7 (LED7 c ni vi Port0, tn hiu SEL7 c ni vi
P1.0).
Gio trnh thc hnh vi x l. 80 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J4 (7SEG IN MUL) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng dy bus 4 ni J64 (PORT1) khi vi iu khin vi J1 (SEL IN1) khi LED 7 on (ch l ta
ch ni 4 bit thp ca J64 v J1 vi nhau).
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T

q

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#01H ;XUAT SO HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0, tn hiu
SEL7 c ni vi P1.0).
Gio trnh thc hnh vi x l. 81 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#00H ;SO 0
LCALL DELAY500MS
MOV P0,#01H ;SO 1
LCALL DELAY500MS
MOV P0,#02H ;SO 2
LCALL DELAY500MS
MOV P0,#03H ;SO 3
LCALL DELAY500MS
MOV P0,#04H ;SO 4
LCALL DELAY500MS
MOV P0,#05H ;SO 5
LCALL DELAY500MS
MOV P0,#06H ;SO 6
LCALL DELAY500MS
MOV P0,#07H ;SO 7
LCALL DELAY500MS
MOV P0,#08H ;SO 8
LCALL DELAY500MS
MOV P0,#09H ;SO 9
LCALL DELAY500MS
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0, tn hiu
SEL7 c ni vi P1.0).
Gio trnh thc hnh vi x l. 82 Bin son: Phm Quang Tr
MOV A,#00H ;GIA TRI BAT DAU
LOOP:
MOV P0,A ;XUAT HIEN THI
LCALL DELAY500MS
INC A ;TANG GIA TRI
CJNE A,#10,LOOP
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5 trn LED5.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0 ln 5 trn LED4.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5 xung 0 trn LED4.
Bi 4: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL7 v
SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 83 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#02H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#0FDH ;CHO PHEP LED 6 SANG
MOV P0,#01H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#100H ;NAP DIA CHI VUNG DU LIEU
DISP:
MOV R0,#02H ;SO LAN QUET (SO LED)
MOV R1,#00H ;NAP DIA CHI (OFFSET) CUA DU LIEU
MOV R2,#10H ;NAP DIA CHI (OFFSET) CUA MA QUET
DISP1:
MOV A,R1 ;LAY DU LIEU
MOVC A,@A+DPTR
MOV P0,A ;XUAT DU LIEU HIEN THI
MOV A,R2 ;LAY MA QUET
MOVC A,@A+DPTR
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV,#0FFH ;CHONG LEM
INC R1 ;DIA CHI (OFFSET) CUA DU LIEU KE TIEP
INC R2 ;DIA CHI (OFFSET) CUA MA QUET KE TIEP
DJNZ R0,DISP1
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL7 v
SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 84 Bin son: Phm Quang Tr
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
ORG 100H
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 02H,01H
ORG 110H
CODESCAN: ;VUNG MA QUET
DB 0FEH,0FDH
END
Cch 3:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 61H,#01H ;HANG CHUC
MOV 60H,#02H ;HANG DON VI
LCALL DISP7SEGMUL2 ;QUET HIEN THI
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0FDH ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL7 v
SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 85 Bin son: Phm Quang Tr
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL7 v SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 86 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00 -> 99 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 61H,#00H ;HANG CHUC
MOV 60H,#00H ;HANG DON VI
MP1:
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
INC 60H ;TANG HANG DON VI
MOV A,60H
CJNE A,#10,MP1 ;KIEM TRA HANG DON VI > 9
MOV 60H,#00H ;XOA HANG DON VI (DON VI = 0)
INC 61H ;TANG HANG CHUC
MOV A,61H
CJNE A,#10,MP1 ;KIEM TRA HANG CHUC > 9
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0FDH ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL2 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL7 v SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 87 Bin son: Phm Quang Tr
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 34 trn hai LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 59 trn hai LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59 xung 00 trn hai LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 23 trn hai LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 23 xung 00 trn hai LED.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED (LED7, LED6, LED5 v LED4 c ni vi
Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2 v P1.3).
Gio trnh thc hnh vi x l. 88 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1234 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#04H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#0FDH ;CHO PHEP LED 6 SANG
MOV P0,#03H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#0FBH ;CHO PHEP LED 5 SANG
MOV P0,#02H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#0F7H ;CHO PHEP LED 4 SANG
MOV P0,#01H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 6)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2
v P1.3).
Gio trnh thc hnh vi x l. 89 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0000 -> 9999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 63H,#00H ;HANG NGAN
MOV 62H,#00H ;HANG TRAM
MOV 61H,#00H ;HANG CHUC
MOV 60H,#00H ;HANG DON VI
MP1:
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
INC 60H ;TANG HANG DON VI
MOV A,60H
CJNE A,#10,MP1 ;KIEM TRA HANG DON VI > 9
MOV 60H,#00H ;XOA HANG DON VI (DON VI = 0)
INC 61H ;TANG HANG CHUC
MOV A,61H
CJNE A,#10,MP1 ;KIEM TRA HANG CHUC > 9
MOV 61H,#00H ;XOA HANG CHUC (CHUC = 0)
INC 62H ;TANG HANG TRAM
MOV A,62H
CJNE A,#10,MP1 ;KIEM TRA HANG TRAM > 9
MOV 62H,#00H ;XOA HANG TRAM (TRAM = 0)
INC 63H ;TANG HANG NGAN
MOV A,63H
CJNE A,#10,MP1 ;KIEM TRA HANG NGAN > 9
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL4.
;INPUT: 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL4:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0F7H ;MA QUET
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2
v P1.3).
Gio trnh thc hnh vi x l. 90 Bin son: Phm Quang Tr
;***************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL4 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5678 trn bn LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 5999 trn bn LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5999 xung 0000 trn bn LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 1234 trn bn LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 1234 xung 0000 trn bn LED.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 91 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J4 (7SEG IN MUL) khi LED 7 on.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J2 (SEL LED IN) khi LED 7 on.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12345678 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#08H ;HIEN THI SO 8
LCALL DELAYLED
MOV P1,#0FDH ;CHO PHEP LED 6 SANG
MOV P0,#07H ;HIEN THI SO 7
LCALL DELAYLED
MOV P1,#0FBH ;CHO PHEP LED 5 SANG
MOV P0,#06H ;HIEN THI SO 6
LCALL DELAYLED
MOV P1,#0F7H ;CHO PHEP LED 4 SANG
MOV P0,#05H ;HIEN THI SO 5
LCALL DELAYLED
MOV P1,#0EFH ;CHO PHEP LED 3 SANG
MOV P0,#04H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#0DFH ;CHO PHEP LED 2 SANG
MOV P0,#03H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#0BFH ;CHO PHEP LED 1 SANG
MOV P0,#02H ;HIEN THI SO 2
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 92 Bin son: Phm Quang Tr
LCALL DELAYLED
MOV P1,#07FH ;CHO PHEP LED 0 SANG
MOV P0,#01H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 8)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 93 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 7.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00000000 -> 99999999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 67H,#00H ;HANG CH TRIEU
MOV 66H,#00H ;HANG TRIEU
MOV 65H,#00H ;HANG TR NGAN
MOV 64H,#00H ;HANG CH NGAN
MOV 63H,#00H ;HANG NGAN
MOV 62H,#00H ;HANG TRAM
MOV 61H,#00H ;HANG CHUC
MOV 60H,#00H ;HANG DON VI
MP1:
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
INC 60H ;TANG HANG DON VI
MOV A,60H
CJNE A,#10,MP1 ;KIEM TRA HANG DON VI > 9
MOV 60H,#00H ;XOA HANG DON VI (DON VI = 0)
INC 61H ;TANG HANG CHUC
MOV A,61H
CJNE A,#10,MP1 ;KIEM TRA HANG CHUC > 9
MOV 61H,#00H ;XOA HANG CHUC (CHUC = 0)
INC 62H ;TANG HANG TRAM
MOV A,62H
CJNE A,#10,MP1 ;KIEM TRA HANG TRAM > 9
MOV 62H,#00H ;XOA HANG TRAM (TRAM = 0)
INC 63H ;TANG HANG NGAN
MOV A,63H
CJNE A,#10,MP1 ;KIEM TRA HANG NGAN > 9
MOV 63H,#00H ;XOA HANG NGAN (NGAN = 0)
INC 64H ;TANG HANG CH NGAN
MOV A,64H
CJNE A,#10,MP1 ;KIEM TRA HANG CH NGAN > 9
MOV 64H,#00H ;XOA HANG CH NGAN (CH NGAN = 0)
INC 65H ;TANG HANG TR NGAN
MOV A,65H
CJNE A,#10,MP1 ;KIEM TRA HANG TR NGAN > 9
MOV 65H,#00H ;XOA HANG TR NGAN (TR NGAN = 0)
INC 66H ;TANG HANG TRIEU
MOV A,66H
CJNE A,#10,MP1 ;KIEM TRA HANG TRIEU > 9
MOV 66H,#00H ;XOA HANG TRIEU (TRIEU = 0)
INC 67H ;TANG HANG CH TRIEU
MOV A,67H
CJNE A,#10,MP1 ;KIEM TRA HANG CH TRIEU > 9
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL8.
;INPUT: 67H,66H = MA 7 DOAN (CH TRIEU - TRIEU).
; 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (khng dng vi mch gii
a hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 94 Bin son: Phm Quang Tr
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P2 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL8:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#7FH ;MA QUET
MOV R0,#67H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LEM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL8 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 87654321 trn tm LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 59999999 trn tm LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59999999 xung 00000000 trn tm LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 12345678 trn tm LED.
Bi 5: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 6: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 1: Chng trnh iu khin hin th s 1 trn LED7 (LED7 c ni vi Port0, tn hiu SEL7 c ni vi
P1.0).
Gio trnh thc hnh vi x l. 95 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng dy bus 4 ni J64 (PORT1) khi vi iu khin vi J1 (SEL IN1) khi LED 7 on (ch l ta
ch ni 4 bit thp ca J64 v J1 vi nhau).
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

q

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#0F9H ;XUAT SO HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0, tn hiu
SEL7 c ni vi P1.0).
Gio trnh thc hnh vi x l. 96 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#0C0H ;SO 0
LCALL DELAY500MS
MOV P0,#0F9H ;SO 1
LCALL DELAY500MS
MOV P0,#0A4H ;SO 2
LCALL DELAY500MS
MOV P0,#0B0H ;SO 3
LCALL DELAY500MS
MOV P0,#99H ;SO 4
LCALL DELAY500MS
MOV P0,#92H ;SO 5
LCALL DELAY500MS
MOV P0,#82H ;SO 6
LCALL DELAY500MS
MOV P0,#0F8H ;SO 7
LCALL DELAY500MS
MOV P0,#80H ;SO 8
LCALL DELAY500MS
MOV P0,#90H ;SO 9
LCALL DELAY500MS
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0, tn hiu
SEL7 c ni vi P1.0).
Gio trnh thc hnh vi x l. 97 Bin son: Phm Quang Tr
MOV R0,#00H ;VI TRI DU LIEU HIEN THI TRONG VUNG MA
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
DISP:
MOV A,R0 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT HIEN THI
LCALL DELAY500MS
INC R0
CJNE R0,#10,DISP ;KIEM TRA VI TRI DU LIEU > 9 (DEM XONG)
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5 trn LED5.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0 ln 5 trn LED4.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5 xung 0 trn LED4.
Bi 4: Hy vit chng trnh iu khin hin th m s HEX t 0 ln F trn LED4.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t F xung 0 trn LED4.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL7 v
SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 98 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#0A4H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#0FDH ;CHO PHEP LED 6 SANG
MOV P0,#0F9H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#100H ;NAP DIA CHI VUNG DU LIEU
DISP:
MOV R0,#02H ;SO LAN QUET (SO LED)
MOV R1,#00H ;NAP DIA CHI (OFFSET) CUA DU LIEU
MOV R2,#10H ;NAP DIA CHI (OFFSET) CUA MA QUET
DISP1:
MOV A,R1 ;LAY DU LIEU
MOVC A,@A+DPTR
MOV P0,A ;XUAT DU LIEU HIEN THI
MOV A,R2 ;LAY MA QUET
MOVC A,@A+DPTR
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P2,#0FFH ;CHONG LEM
INC R1 ;DIA CHI (OFFSET) CUA DU LIEU KE TIEP
INC R2 ;DIA CHI (OFFSET) CUA MA QUET KE TIEP
DJNZ R0,DISP1
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL7 v
SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 99 Bin son: Phm Quang Tr
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
ORG 100H
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0A4H,0F9H
ORG 110H
CODESCAN: ;VUNG MA QUET
DB 0FEH,0FDH
END
Cch 3:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#12H ;SO HIEN THI
LCALL BCD2TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DISP7SEGMUL2 ;QUET HIEN THI
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0FDH ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL7 v
SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 100 Bin son: Phm Quang Tr
POP 01H
POP 00H
RET
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (1 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD2TO7SEG.
;INPUT: R7 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD2TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL7 v SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 101 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00 -> 99 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;SO HIEN THI BAN DAU
MP1:
LCALL BCD2TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R7 ;TANG GIA TRI
ADD A,#1
DA A
MOV R7,A
CJNE A,#00,MP1 ;KIEM TRA GIA TRI HIEN THI > 99
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0FDH ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL7 v SEL6 ln lt c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 102 Bin son: Phm Quang Tr
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL2 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (1 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD2TO7SEG.
;INPUT: R7 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD2TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC
MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO
POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 34 trn hai LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 59 trn hai LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59 xung 00 trn hai LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 23 trn hai LED.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t 00 ln FF trn hai LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t FF xung 00 trn hai LED.
Bi 7: Hy vit chng trnh iu khin hin th m s HEX t 00 ln AB trn hai LED.
Bi 8: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 9: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED (LED7, LED6, LED5 v LED4 c ni vi
Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2 v P1.3).
Gio trnh thc hnh vi x l. 103 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1234 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#99H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#0FDH ;CHO PHEP LED 6 SANG
MOV P0,#0B0H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#0FBH ;CHO PHEP LED 5 SANG
MOV P0,#0A4H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#0F7H ;CHO PHEP LED 4 SANG
MOV P0,#0F9H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 6)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2
v P1.3).
Gio trnh thc hnh vi x l. 104 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0000 -> 9999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;SO HIEN THI BAN DAU
MOV R6,#00H
MP1:
LCALL BCD4TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R6 ;TANG GIA TRI (CHUC - DON VI)
ADD A,#1
DA A
MOV R6,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (CHUC - DON VI)
MOV A,R7 ;TANG GIA TRI (NGAN - TRAM)
ADD A,#1
DA A
MOV R7,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (NGAN - TRAM)
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL4.
;INPUT: 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P2 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL4:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0F7H ;MA QUET
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2
v P1.3).
Gio trnh thc hnh vi x l. 105 Bin son: Phm Quang Tr
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL4 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (2 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD4TO7SEG.
;INPUT: R7 = SO BCD NEN (NGAN - TRAM).
; R6 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD4TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL7, SEL6, SEL5 v SEL4 ln lt c ni vi P1.0, P1.1, P1.2
v P1.3).
Gio trnh thc hnh vi x l. 106 Bin son: Phm Quang Tr
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5678 trn bn LED (LED4, LED5, LED6 v LED7
c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi P1.0, P1.1, P1.2 v P1.3).
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED4,
LED5, LED6 v LED7 c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi
P1.0, P1.1, P1.2 v P1.3).
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 9999 xung 0000 trn bn LED (LED4,
LED5, LED6 v LED7 c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi
P1.0, P1.1, P1.2 v P1.3).
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 1234 trn bn LED (LED4,
LED5, LED6 v LED7 c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi
P1.0, P1.1, P1.2 v P1.3).
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t 0000 ln FFFF trn bn LED (LED4,
LED5, LED6 v LED7 c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi
P1.0, P1.1, P1.2 v P1.3).
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t FFFF xung 0000 trn bn LED (LED4,
LED5, LED6 v LED7 c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi
P1.0, P1.1, P1.2 v P1.3).
Bi 7: Hy vit chng trnh iu khin hin th m s HEX t 0000 ln ABCD trn bn LED (LED4,
LED5, LED6 v LED7 c ni vi Port0; tn hiu SEL4, SEL5, SEL6 v SEL7 ln lt c ni vi
P1.0, P1.1, P1.2 v P1.3).
Bi 8: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 9: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 107 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12345678 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, BCD IN, MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#0FEH ;CHO PHEP LED 7 SANG
MOV P0,#80H ;HIEN THI SO 8
LCALL DELAYLED
MOV P1,#0FDH ;CHO PHEP LED 6 SANG
MOV P0,#0F8H ;HIEN THI SO 7
LCALL DELAYLED
MOV P1,#0FBH ;CHO PHEP LED 5 SANG
MOV P0,#82H ;HIEN THI SO 6
LCALL DELAYLED
MOV P1,#0F7H ;CHO PHEP LED 4 SANG
MOV P0,#92H ;HIEN THI SO 5
LCALL DELAYLED
MOV P1,#0EFH ;CHO PHEP LED 3 SANG
MOV P0,#99H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#0DFH ;CHO PHEP LED 2 SANG
MOV P0,#0B0H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#0BFH ;CHO PHEP LED 1 SANG
MOV P0,#0A4H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#07FH ;CHO PHEP LED 0 SANG
MOV P0,#0F9H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 108 Bin son: Phm Quang Tr
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 8)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 109 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 7.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00000000 -> 99999999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;SO HIEN THI BAN DAU
MOV R6,#00H
MOV R5,#00H ;SO HIEN THI BAN DAU
MOV R4,#00H
MP1:
LCALL BCD8TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R4 ;TANG GIA TRI (CHUC - DON VI)
ADD A,#1
DA A
MOV R4,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (CHUC - DON VI)
MOV A,R5 ;TANG GIA TRI (NGAN - TRAM)
ADD A,#1
DA A
MOV R5,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (NGAN - TRAM)
MOV A,R6 ;TANG GIA TRI (TR NGAN - CH NGAN)
ADD A,#1
DA A
MOV R6,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (TR NGAN - CH NGAN)
MOV A,R7 ;TANG GIA TRI (CH TRIEU - TRIEU)
ADD A,#1
DA A
MOV R7,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (CH TRIEU - TRIEU)
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL8.
;INPUT: 67H,66H = MA 7 DOAN (CH TRIEU - TRIEU).
; 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P2 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL8:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#7FH ;MA QUET
MOV R0,#67H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 110 Bin son: Phm Quang Tr
MOV P1,#0FFH ;CHONG LEM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL8 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (4 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD8TO7SEG.
;INPUT: R7 = SO BCD NEN (CH TRIEU - TRIEU).
; R6 = SO BCD NEN (TR NGAN - CH NGAN).
; R5 = SO BCD NEN (NGAN - TRAM).
; R4 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 67H,66H = MA 7 DOAN (CH TRIEU - TRIEU).
; 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD8TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R4 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R4 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (khng dng vi mch
gii a hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL0 n SEL7 ln lt c ni vi P1.0 n P1.7).
Gio trnh thc hnh vi x l. 111 Bin son: Phm Quang Tr
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R5 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO

MOV A,R5 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 64H,A ;CAT BCD HANG CHUC NGAN VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 65H,A ;CAT BCD HANG TRAM NGAN VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 66H,A ;CAT BCD HANG TRIEU VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 67H,A ;CAT BCD HANG CHUC TRIEU VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 87654321 trn tm LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 59999999 trn tm LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59999999 xung 00000000 trn tm LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 12345678 trn tm LED.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t 00000000 ln FFFFFFFF trn tm LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t 00000000 ln 89ABCDEF trn tm LED.
Bi 7: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 1: Chng trnh iu khin hin th s 1 trn LED7 (LED7 c ni vi Port0, tn hiu SEL-ABC c ni
vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 112 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J4 (7SEG IN MUL) khi LED 7 on.
Dng dy bus 2 ni J64 (PORT1) khi vi iu khin vi J23 (DEC. 2-4) khi gii ma (ch l ta ch
ni 2 bit thp ca J64 v J23).
Dng dy bus 4 ni J29 (DEC. OUT) khi gii m vi J1 (SEL IN1) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#01H ;XUAT SO HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0, tn hiu
SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 113 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#00H ;SO 0
LCALL DELAY500MS
MOV P0,#01H ;SO 1
LCALL DELAY500MS
MOV P0,#02H ;SO 2
LCALL DELAY500MS
MOV P0,#03H ;SO 3
LCALL DELAY500MS
MOV P0,#04H ;SO 4
LCALL DELAY500MS
MOV P0,#05H ;SO 5
LCALL DELAY500MS
MOV P0,#06H ;SO 6
LCALL DELAY500MS
MOV P0,#07H ;SO 7
LCALL DELAY500MS
MOV P0,#08H ;SO 8
LCALL DELAY500MS
MOV P0,#09H ;SO 9
LCALL DELAY500MS
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0, tn hiu
SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 114 Bin son: Phm Quang Tr
MOV A,#00H ;GIA TRI BAT DAU
LOOP:
MOV P0,A ;XUAT HIEN THI
LCALL DELAY500MS
INC A ;TANG GIA TRI
CJNE A,#10,LOOP
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5 trn LED5.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0 ln 5 trn LED4.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5 xung 0 trn LED4.
Bi 4: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.



B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL-
ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 115 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#02H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#01H ;CHO PHEP LED 6 SANG
MOV P0,#01H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#100H ;NAP DIA CHI VUNG DU LIEU
DISP:
MOV R0,#02H ;SO LAN QUET (SO LED)
MOV R1,#00H ;NAP DIA CHI (OFFSET) CUA DU LIEU
MOV R2,#10H ;NAP DIA CHI (OFFSET) CUA MA QUET
DISP1:
MOV A,R1 ;LAY DU LIEU
MOVC A,@A+DPTR
MOV P0,A ;XUAT DU LIEU HIEN THI
MOV A,R2 ;LAY MA QUET
MOVC A,@A+DPTR
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LEM
INC R1 ;DIA CHI (OFFSET) CUA DU LIEU KE TIEP
INC R2 ;DIA CHI (OFFSET) CUA MA QUET KE TIEP
DJNZ R0,DISP1
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL-
ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 116 Bin son: Phm Quang Tr
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
ORG 100H
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 02H,01H
ORG 110H
CODESCAN: ;VUNG MA QUET
DB 00H,01H
END
Cch 3:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 61H,#01H ;HANG CHUC
MOV 60H,#02H ;HANG DON VI
LCALL DISP7SEGMUL2EXT ;QUET HIEN THI
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2EXT.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#01H ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL-
ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 117 Bin son: Phm Quang Tr
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 118 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00 -> 99 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 61H,#00H ;HANG CHUC
MOV 60H,#00H ;HANG DON VI
MP1:
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
INC 60H ;TANG HANG DON VI
MOV A,60H
CJNE A,#10,MP1 ;KIEM TRA HANG DON VI > 9
MOV 60H,#00H ;XOA HANG DON VI (DON VI = 0)
INC 61H ;TANG HANG CHUC
MOV A,61H
CJNE A,#10,MP1 ;KIEM TRA HANG CHUC > 9
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2EXT.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#01H ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL2EXT ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 119 Bin son: Phm Quang Tr
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 34 trn hai LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 59 trn hai LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59 xung 00 trn hai LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 23 trn hai LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 23 xung 00 trn hai LED.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED (LED7, LED6, LED5 v LED4 c ni vi
Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 120 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1234 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#04H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#01H ;CHO PHEP LED 6 SANG
MOV P0,#03H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#02H ;CHO PHEP LED 5 SANG
MOV P0,#02H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#03H ;CHO PHEP LED 4 SANG
MOV P0,#01H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 6)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 121 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0000 -> 9999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 63H,#00H ;HANG NGAN
MOV 62H,#00H ;HANG TRAM
MOV 61H,#00H ;HANG CHUC
MOV 60H,#00H ;HANG DON VI
MP1:
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
INC 60H ;TANG HANG DON VI
MOV A,60H
CJNE A,#10,MP1 ;KIEM TRA HANG DON VI > 9
MOV 60H,#00H ;XOA HANG DON VI (DON VI = 0)
INC 61H ;TANG HANG CHUC
MOV A,61H
CJNE A,#10,MP1 ;KIEM TRA HANG CHUC > 9
MOV 61H,#00H ;XOA HANG CHUC (CHUC = 0)
INC 62H ;TANG HANG TRAM
MOV A,62H
CJNE A,#10,MP1 ;KIEM TRA HANG TRAM > 9
MOV 62H,#00H ;XOA HANG TRAM (TRAM = 0)
INC 63H ;TANG HANG NGAN
MOV A,63H
CJNE A,#10,MP1 ;KIEM TRA HANG NGAN > 9
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL4EXT.
;INPUT: 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL4EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#03H ;MA QUET
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 122 Bin son: Phm Quang Tr
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL4EXT ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5678 trn bn LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 5999 trn bn LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5999 xung 0000 trn bn LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 1234 trn bn LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 1234 xung 0000 trn bn LED.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 123 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J14 (7SEG OUT 1) khi gii m vi J4 (7SEG IN MUL) khi LED 7 on.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J16 (BCD IN 1-2) khi gii m.
Dng dy bus 3 ni J64 (PORT1) khi vi iu khin vi J22 (DEC. 3-8) khi gii m (ch l ta ch
ni 3 bit thp ca J64 v J22 vi nhau).
Dng dy bus 8 ni J23 (DEC. OUT) khi gii m vi J2 (SEL LED IN) khi LED 7 on.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
LED OAN
SEG IN
SEL INz POWER SEL INz
SEGo IN SEG IN SEGq IN
SEG IN
MUL
SEL LED IN VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
NGUON
+V

B
GIAI MA
B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T

B
B

B B B B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12345678 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 3 TO 8, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#08H ;HIEN THI SO 8
LCALL DELAYLED
MOV P1,#01H ;CHO PHEP LED 6 SANG
MOV P0,#07H ;HIEN THI SO 7
LCALL DELAYLED
MOV P1,#02H ;CHO PHEP LED 5 SANG
MOV P0,#06H ;HIEN THI SO 6
LCALL DELAYLED
MOV P1,#03H ;CHO PHEP LED 4 SANG
MOV P0,#05H ;HIEN THI SO 5
LCALL DELAYLED
MOV P1,#04H ;CHO PHEP LED 3 SANG
MOV P0,#04H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#05H ;CHO PHEP LED 2 SANG
MOV P0,#03H ;HIEN THI SO 3
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 124 Bin son: Phm Quang Tr
LCALL DELAYLED
MOV P1,#06H ;CHO PHEP LED 1 SANG
MOV P0,#02H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#07H ;CHO PHEP LED 0 SANG
MOV P0,#01H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 8)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 125 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 7.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00000000 -> 99999999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, BCD IN, MULTI EXT 3 TO 8, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV 67H,#00H ;HANG CH TRIEU
MOV 66H,#00H ;HANG TRIEU
MOV 65H,#00H ;HANG TR NGAN
MOV 64H,#00H ;HANG CH NGAN
MOV 63H,#00H ;HANG NGAN
MOV 62H,#00H ;HANG TRAM
MOV 61H,#00H ;HANG CHUC
MOV 60H,#00H ;HANG DON VI
MP1:
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
INC 60H ;TANG HANG DON VI
MOV A,60H
CJNE A,#10,MP1 ;KIEM TRA HANG DON VI > 9
MOV 60H,#00H ;XOA HANG DON VI (DON VI = 0)
INC 61H ;TANG HANG CHUC
MOV A,61H
CJNE A,#10,MP1 ;KIEM TRA HANG CHUC > 9
MOV 61H,#00H ;XOA HANG CHUC (CHUC = 0)
INC 62H ;TANG HANG TRAM
MOV A,62H
CJNE A,#10,MP1 ;KIEM TRA HANG TRAM > 9
MOV 62H,#00H ;XOA HANG TRAM (TRAM = 0)
INC 63H ;TANG HANG NGAN
MOV A,63H
CJNE A,#10,MP1 ;KIEM TRA HANG NGAN > 9
MOV 63H,#00H ;XOA HANG NGAN (NGAN = 0)
INC 64H ;TANG HANG CH NGAN
MOV A,64H
CJNE A,#10,MP1 ;KIEM TRA HANG CH NGAN > 9
MOV 64H,#00H ;XOA HANG CH NGAN (CH NGAN = 0)
INC 65H ;TANG HANG TR NGAN
MOV A,65H
CJNE A,#10,MP1 ;KIEM TRA HANG TR NGAN > 9
MOV 65H,#00H ;XOA HANG TR NGAN (TR NGAN = 0)
INC 66H ;TANG HANG TRIEU
MOV A,66H
CJNE A,#10,MP1 ;KIEM TRA HANG TRIEU > 9
MOV 66H,#00H ;XOA HANG TRIEU (TRIEU = 0)
INC 67H ;TANG HANG CH TRIEU
MOV A,67H
CJNE A,#10,MP1 ;KIEM TRA HANG CH TRIEU > 9
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL8EXT.
;INPUT: 67H,66H = MA 7 DOAN (CH TRIEU - TRIEU).
; 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu BCD (dng vi mch gii a
hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 126 Bin son: Phm Quang Tr
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL8EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#07H ;MA QUET
MOV R0,#67H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LEM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL8EXT ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 87654321 trn tm LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 59999999 trn tm LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59999999 xung 00000000 trn tm LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 12345678 trn tm LED.
Bi 5: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 6: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 1: Chng trnh iu khin hin th s 1 trn LED7 (LED7 c ni vi Port0; tn hiu SEL-ABC c ni
vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 127 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 2 ni J64 (PORT1) khi vi iu khin vi J23 (DEC. 2-4) khi gii ma (ch l ta ch
ni 2 bit thp ca J64 v J23).
Dng dy bus 4 ni J29 (DEC. OUT) khi gii m vi J1 (SEL IN1) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG7 IN MUL) khi LED 7
on.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#0F9H ;XUAT SO HIEN THI
SJMP $
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0; tn hiu
SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 128 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#0C0H ;SO 0
LCALL DELAY500MS
MOV P0,#0F9H ;SO 1
LCALL DELAY500MS
MOV P0,#0A4H ;SO 2
LCALL DELAY500MS
MOV P0,#0B0H ;SO 3
LCALL DELAY500MS
MOV P0,#99H ;SO 4
LCALL DELAY500MS
MOV P0,#92H ;SO 5
LCALL DELAY500MS
MOV P0,#82H ;SO 6
LCALL DELAY500MS
MOV P0,#0F8H ;SO 7
LCALL DELAY500MS
MOV P0,#80H ;SO 8
LCALL DELAY500MS
MOV P0,#90H ;SO 9
LCALL DELAY500MS
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0 -> 9 TREN LED 7 DOAN
;***************************************************
;KET NOI: 1 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 2: Chng trnh iu khin hin th m s BCD t 0 ln 9 trn LED7 (LED7 c ni vi Port0; tn hiu
SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 129 Bin son: Phm Quang Tr
MOV R0,#00H ;VI TRI DU LIEU HIEN THI TRONG VUNG MA
MOV P1,#00H ;CHO PHEP LED 7 SANG
DISP:
MOV A,R0 ;NAP VI TRI DU LIEU
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT HIEN THI
LCALL DELAY500MS
INC R0
CJNE R0,#10,DISP ;KIEM TRA VI TRI DU LIEU > 9 (DEM XONG)
SJMP MAIN
;****************************************************
DELAY500MS: ;CHUONG TRINH CON TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5 trn LED5.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0 ln 5 trn LED4.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5 xung 0 trn LED4.
Bi 4: Hy vit chng trnh iu khin hin th m s HEX t 0 ln F trn LED4.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t F xung 0 trn LED4.
Bi 6: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.



B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL-
ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 130 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#0A4H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#01H ;CHO PHEP LED 6 SANG
MOV P0,#0F9H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#100H ;NAP DIA CHI VUNG DU LIEU
DISP:
MOV R0,#02H ;SO LAN QUET (SO LED)
MOV R1,#00H ;NAP DIA CHI (OFFSET) CUA DU LIEU
MOV R2,#10H ;NAP DIA CHI (OFFSET) CUA MA QUET
DISP1:
MOV A,R1 ;LAY DU LIEU
MOVC A,@A+DPTR
MOV P0,A ;XUAT DU LIEU HIEN THI
MOV A,R2 ;LAY MA QUET
MOVC A,@A+DPTR
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P2,#0FFH ;CHONG LEM
INC R1 ;DIA CHI (OFFSET) CUA DU LIEU KE TIEP
INC R2 ;DIA CHI (OFFSET) CUA MA QUET KE TIEP
DJNZ R0,DISP1
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL-
ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 131 Bin son: Phm Quang Tr
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
ORG 100H
CODEDISP: ;VUNG DU LIEU HIEN THI
DB 0A4H,0F9H
ORG 110H
CODESCAN: ;VUNG MA QUET
DB 00H,01H
END
Cch 3:
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#12H ;SO HIEN THI
LCALL BCD2TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DISP7SEGMUL2EXT ;QUET HIEN THI
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2EXT.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#01H ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 3: Chng trnh iu khin hin th s 12 trn hai LED (LED7, LED6 c ni vi Port0; tn hiu SEL-
ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 132 Bin son: Phm Quang Tr
POP 01H
POP 00H
RET
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (1 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD2TO7SEG.
;INPUT: R7 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD2TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 133 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00 -> 99 TREN LED 7 DOAN
;***************************************************
;KET NOI: 2 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;SO HIEN THI BAN DAU
MP1:
LCALL BCD2TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R7 ;TANG GIA TRI
ADD A,#1
DA A
MOV R7,A
CJNE A,#00,MP1 ;KIEM TRA GIA TRI HIEN THI > 99
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (2 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL2EXT.
;INPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL2EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#01H ;MA QUET
MOV R0,#61H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#05FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 4: Chng trnh iu khin hin th m s BCD t 00 ln 99 trn hai LED (LED7, LED6 c ni vi
Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 134 Bin son: Phm Quang Tr
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL2EXT ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;****************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (1 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD2TO7SEG.
;INPUT: R7 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD2TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC
MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO
POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 34 trn hai LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 59 trn hai LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59 xung 00 trn hai LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00 ln 23 trn hai LED.
Bi 5: Hy vit chng trnh iu khin hin th m s HEX t 00 ln FF trn hai LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t FF xung 00 trn hai LED.
Bi 7: Hy vit chng trnh iu khin hin th m s HEX t 00 ln CD trn hai LED.
Bi 8: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 9: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 5: Chng trnh iu khin hin th s 1234 trn bn LED (LED7, LED6, LED5 v LED4 c
ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 135 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 1234 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#99H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#01H ;CHO PHEP LED 6 SANG
MOV P0,#0B0H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#02H ;CHO PHEP LED 5 SANG
MOV P0,#0A4H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#03H ;CHO PHEP LED 4 SANG
MOV P0,#0F9H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 6)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 136 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 0000 -> 9999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 4 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;SO HIEN THI BAN DAU
MOV R6,#00H
MP1:
LCALL BCD4TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R6 ;TANG GIA TRI (CHUC - DON VI)
ADD A,#1
DA A
MOV R6,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (CHUC - DON VI)
MOV A,R7 ;TANG GIA TRI (NGAN - TRAM)
ADD A,#1
DA A
MOV R7,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (NGAN - TRAM)
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL4EXT.
;INPUT: 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL4EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#03H ;MA QUET
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P0,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 5MS
PUSH 00H
PUSH 01H
MOV R1,#10
DEL: MOV R0,#250
DJNZ R0,$
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 137 Bin son: Phm Quang Tr
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL4EXT ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (2 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD4TO7SEG.
;INPUT: R7 = SO BCD NEN (NGAN - TRAM).
; R6 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD4TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 6: Chng trnh iu khin hin th m s BCD t 0000 ln 9999 trn bn LED (LED7, LED6, LED5 v
LED4 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.1).
Gio trnh thc hnh vi x l. 138 Bin son: Phm Quang Tr
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 5678 trn bn LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 5999 trn bn LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 5999 xung 0000 trn bn LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 0000 ln 1234 trn bn LED.
Bi 5: Hy vit chng trnh iu khin hin th m s BCD t 1234 xung 0000 trn bn LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t 0000 ln FFFF trn bn LED.
Bi 7: Hy vit chng trnh iu khin hin th m s HEX t FFFF xung 0000 trn bn LED.
Bi 8: Hy vit chng trnh iu khin hin th m s HEX t 0000 ln ABCD trn bn LED.
Bi 9: Hy vit chng trnh iu khin hin th m s HEX t ABCD xung 0000 trn bn LED.
Bi 10: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 11: Sinh vin t mnh suy ngh v pht trin thm chng trnh.


B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 139 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi LED 7
on.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 3 ni J64 (PORT1) khi vi iu khin vi J22 (DEC. 3-8) khi gii m (ch l ta ch
ni 3 bit thp ca J64 v J22 vi nhau).
Dng dy bus 8 ni J23 (DEC. OUT) khi gii m vi J2 (SEL LED IN) khi LED 7 on.
Dng hai dy bus 3 ni J110 (POWER) khi LED 7 on v J36 (POWER) khi gii m vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B
C
D

I
N
z
-
z
P
O
W
E
R


S
E
G
O
U
T

z


S
E
G
O
U
T

z B
C
D

I
N

-
q


S
E
G
O
U
T


S
E
G
O
U
T

q
D
E
C
.
z
-
q
D
E
C
.

-
B
D
E
C
.

O
U
T
D
E
C
.
z
-
q
D
E
C
.
O
U
T
D
E
C
.
O
U
T


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI SO 12345678 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 2 TO 4, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;CHO PHEP LED 7 SANG
MOV P0,#80H ;HIEN THI SO 8
LCALL DELAYLED
MOV P1,#01H ;CHO PHEP LED 6 SANG
MOV P0,#0F8H ;HIEN THI SO 7
LCALL DELAYLED
MOV P1,#02H ;CHO PHEP LED 5 SANG
MOV P0,#82H ;HIEN THI SO 6
LCALL DELAYLED
MOV P1,#03H ;CHO PHEP LED 4 SANG
MOV P0,#92H ;HIEN THI SO 5
LCALL DELAYLED
MOV P1,#04H ;CHO PHEP LED 3 SANG
MOV P0,#99H ;HIEN THI SO 4
LCALL DELAYLED
MOV P1,#05H ;CHO PHEP LED 2 SANG
MOV P0,#0B0H ;HIEN THI SO 3
LCALL DELAYLED
MOV P1,#06H ;CHO PHEP LED 1 SANG
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 7: Chng trnh iu khin hin th s 12345678 trn tm LED (LED0 n LED7 c ni vi Port0; tn
hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 140 Bin son: Phm Quang Tr
MOV P0,#0A4H ;HIEN THI SO 2
LCALL DELAYLED
MOV P1,#07H ;CHO PHEP LED 0 SANG
MOV P0,#0F9H ;HIEN THI SO 1
LCALL DELAYLED
SJMP MAIN
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 8)

B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 141 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 7.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI 00000000 -> 99999999 TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL ABC -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI EXT 3 TO 8, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;SO HIEN THI BAN DAU
MOV R6,#00H
MOV R5,#00H ;SO HIEN THI BAN DAU
MOV R4,#00H
MP1:
LCALL BCD8TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R4 ;TANG GIA TRI (CHUC - DON VI)
ADD A,#1
DA A
MOV R4,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (CHUC - DON VI)
MOV A,R5 ;TANG GIA TRI (NGAN - TRAM)
ADD A,#1
DA A
MOV R5,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (NGAN - TRAM)
MOV A,R6 ;TANG GIA TRI (TR NGAN - CH NGAN)
ADD A,#1
DA A
MOV R6,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (TR NGAN - CH NGAN)
MOV A,R7 ;TANG GIA TRI (CH TRIEU - TRIEU)
ADD A,#1
DA A
MOV R7,A
CJNE A,#0,MP1 ;KIEM TRA GIA TRI HIEN THI > 99 (CH TRIEU - TRIEU)
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL8EXT.
;INPUT: 67H,66H = MA 7 DOAN (CH TRIEU - TRIEU).
; 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = EXT MULTIPLEXER - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL8EXT:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#07H ;MA QUET
MOV R0,#67H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 142 Bin son: Phm Quang Tr
MOV P0,#0FFH ;CHONG LEM
DEC R0 ;LAY MA HIEN THI KE TIEP
DEC A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;****************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL8EXT ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (4 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD6TO7SEG.
;INPUT: R7 = SO BCD NEN (CH TRIEU - TRIEU).
; R6 = SO BCD NEN (TR NGAN - CH NGAN).
; R5 = SO BCD NEN (NGAN - TRAM).
; R4 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 67H,66H = MA 7 DOAN (CH TRIEU - TRIEU).
; 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD8TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R4 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO
MOV A,R4 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
B. H THNG IU KHIN LED 7 ON.
(B hin th LED 7 on c thit k theo phng php a hp v ng vo d liu kiu 7 on (dng vi mch gii a
hp bn ngoi))
Bi 8: Chng trnh iu khin hin th m s BCD t 00000000 ln 99999999 trn tm LED (LED0 n
LED7 c ni vi Port0; tn hiu SEL-ABC c ni vi P1.0 - P1.2).
Gio trnh thc hnh vi x l. 143 Bin son: Phm Quang Tr
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R5 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO
MOV A,R5 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 64H,A ;CAT BCD HANG CHUC NGAN VAO O NHO
MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 65H,A ;CAT BCD HANG TRAM NGAN VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 66H,A ;CAT BCD HANG TRIEU VAO O NHO
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 67H,A ;CAT BCD HANG CHUC TRIEU VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
;***************************************************
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s 87654321 trn tm LED.
Bi 2: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 59999999 trn tm LED.
Bi 3: Hy vit chng trnh iu khin hin th m s BCD t 59999999 xung 00000000 trn tm LED.
Bi 4: Hy vit chng trnh iu khin hin th m s BCD t 00000000 ln 12345678 trn tm LED.
Bi 6: Hy vit chng trnh iu khin hin th m s HEX t 00000000 ln FFFFFFFF trn tm LED.
Bi 7: Hy vit chng trnh iu khin hin th m s HEX t FFFFFFFF xung 00000000 trn tm
LED.
Bi 8: Hy vit chng trnh iu khin hin th m s HEX t 00000000 ln 89ABCDEF trn tm LED.
Bi 10: Nu trng hp LED ca ta l loi Cathode chung th chng trnh phi thay i nh th no? Ti
sao? Tin hnh m phng th xem sao?
Bi 11: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 1: Chng trnh iu khin hin th m GI PHT GIY trn su LED (LED6,7: m GIY;
LED4,5: m PHT; LED2,3: m GI).
Gio trnh thc hnh vi x l. 144 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng ba dy bus 8 ni J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7 on vi J4 (7SEG IN MUL)
khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi m rng).
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI GIO - PHUT - GIAY TREN LED 7 DOAN
;***************************************************
;KET NOI: 6 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV R7,#00H ;GIO
MOV R6,#00H ;PHUT
MOV R5,#00H ;GIAY
MP1:
LCALL BCD6TO7SEG ;GIAI MA BCD SANG MA 7 DOAN
LCALL DELAY_SCAN ;DELAY VA QUET HIEN THI
MOV A,R5 ;TANG GIAY
ADD A,#1
DA A
MOV R5,A
CJNE A,#60H,MP1 ;KIEM TRA GIAY > 59
MOV R5,#0 ;XOA GIAY
MOV A,R6 ;TANG PHUT
ADD A,#1
DA A
MOV R6,A
CJNE A,#60H,MP1 ;KIEM TRA PHUT > 59
MOV R6,#0 ;XOA PHUT
MOV A,R7 ;TANG GIO
ADD A,#1
DA A
MOV R7,A
CJNE A,#60H,MP1 ;KIEM TRA GIO > 59
SJMP MAIN
;***************************************************
;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (3 SO BCD NEN).
;***************************************************
;LED: ANODE CHUNG, 7 DOAN: DP (MSB) -> A (LSB)
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 1: Chng trnh iu khin hin th m GI PHT GIY trn su LED (LED6,7: m GIY;
LED4,5: m PHT; LED2,3: m GI).
Gio trnh thc hnh vi x l. 145 Bin son: Phm Quang Tr
;GIA TRI GIAI MA: 0 -> 9
;***************************************************
;NAME: BCD6TO7SEG.
;INPUT: R7 = SO BCD NEN (TR NGAN - CH NGAN).
; R6 = SO BCD NEN (NGAN - TRAM).
; R5 = SO BCD NEN (CHUC - DON VI).
;OUTPUT: 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;***************************************************
BCD6TO7SEG:
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R5 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R5 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 64H,A ;CAT BCD HANG CHUC NGAN VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 65H,A ;CAT BCD HANG TRAM NGAN VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (6 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG O NHO CUA RAM.
;***************************************************
;NAME: DISP7SEGMUL6.
;INPUT: 65H,64H = MA 7 DOAN (TR NGAN - CH NGAN).
; 63H,62H = MA 7 DOAN (NGAN - TRAM).
; 61H,60H = MA 7 DOAN (CHUC - DON VI).
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 1: Chng trnh iu khin hin th m GI PHT GIY trn su LED (LED6,7: m GIY;
LED4,5: m PHT; LED2,3: m GI).
Gio trnh thc hnh vi x l. 146 Bin son: Phm Quang Tr
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL6:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0DFH ;MA QUET
MOV R0,#65H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;****************************************************
DELAY_SCAN: ;CHUONG TRINH CON TAO THOI GIAN TRE 250MS CO GOI CTC QUET HIEN THI
PUSH 00H
MOV R0,#50
MOV TMOD,#01H
LOOP2:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
SCAN: ;LIEN TUC GOI CTC QUET HIEN THI TRONG LUC TIMER DANG CHAY
LCALL DISP7SEGMUL6 ;GOI CTC QUET HIEN THI
JNB TF0,SCAN
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi tp: Hy vit chng trnh iu khin hin th m NGY THNG NM trn tm LED (LED7,6:
m NGY; LED5,4: m THNG; LED3,2,1,0: m NM). Gi s cc thng u c 30 ngy. T chn
la phng php kt ni cc LED 7 on.

B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 2: Chng trnh iu khin hin th chui k t -HA-NOI- trn tm LED.
Gio trnh thc hnh vi x l. 147 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 3 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI CHUOI "-HA-NOI- TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
LCALL DISP7SEGMUL ;HIEN THI CHUOI KY TU
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG ROM (TRONG CHUONG TRINH)
;***************************************************
;NAME: DISP7SEGMUL.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#7FH ;MA QUET
MOV R1,#00H ;VI TRI CUA DU LIEU TRONG VUNG NHO DPTR
SETB C
DISP:
MOV A,R1
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT MA HIEN THI
MOV P1,R0 ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LEM
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 2: Chng trnh iu khin hin th chui k t -HA-NOI- trn tm LED.
Gio trnh thc hnh vi x l. 148 Bin son: Phm Quang Tr
INC R1 ;LAY MA HIEN THI KE TIEP
MOV A,R0 ;LAY MA QUET KE TIEP
RRC A
MOV R0,A
JC DISP ;KIEM TRA QUET XONG (C = 0)
POP 01H
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
CODEDISP: ;VUNG CHUA MA CHU HIEN THI
DB 0BFH,89H,88H,0BFH,0C8H,0C0H,0F9H,0BFH
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th chui k t -PEP-SI- trn tm LED. T chn la
phng php kt ni LED 7 on.
Bi 2: Hy vit chng trnh iu khin hin th chui k t COCACOLA trn tm LED. T chn la
phng php kt ni LED 7 on.
Bi 3: Hy vit chng trnh iu khin hin th chui k t --DHCN-- trn tm LED. T chn la
phng php kt ni LED 7 on.
Bi 4: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 3: Chng trnh iu khin hin th v chp tt chui k t -HA-NOI- trn tm LED.
Gio trnh thc hnh vi x l. 149 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 2.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI CHUOI "-HA-NOI- CHOP TAT TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MOV R0,#50 ;BIEN LAP - THOI GIAN CUA 1 TRANG THAI MAN HINH
MP1:
LCALL DISP7SEGMUL ;HIEN THI CHUOI KY TU
DJNZ R0,MP1
LCALL TATLED ;TAT HIEN THI CHUOI
SJMP MAIN
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG ROM (TRONG CHUONG TRINH)
;***************************************************
;NAME: DISP7SEGMUL.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#7FH ;MA QUET
MOV R1,#00H ;VI TRI CUA DU LIEU TRONG VUNG NHO DPTR
SETB C
DISP:
MOV A,R1
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT MA HIEN THI
MOV P1,R0 ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LEM
INC R1 ;LAY MA HIEN THI KE TIEP
MOV A,R0 ;LAY MA QUET KE TIEP
RRC A
MOV R0,A
JC DISP ;KIEM TRA QUET XONG (C = 0)
POP 01H
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 3: Chng trnh iu khin hin th v chp tt chui k t -HA-NOI- trn tm LED.
Gio trnh thc hnh vi x l. 150 Bin son: Phm Quang Tr
TATLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 1S
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
TAT:
MOV TH0,#0D8H
MOV TL0,#0F0H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,TAT
POP 00H
RET
;***************************************************
CODEDISP: ;VUNG CHUA MA CHU HIEN THI
DB 0BFH,89H,88H,0BFH,0C8H,0C0H,0F9H,0BFH
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)


B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 4: Chng trnh iu khin hin th ln lt cc chui k t -HA-NOI, -DA-LAT-, -SAIGON- trn
tm LED. Mi chui hin th cch nhau 1 giy.
Gio trnh thc hnh vi x l. 151 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 2.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI CHUOI "-HA-NOI-","-DA-LAT-","-SAIGON-" TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MP1:
MOV R0,#100 ;BIEN LAP - THOI GIAN CUA 1 TRANG THAI MAN HINH
STATICDISP:
LCALL DISP7SEGMUL ;HIEN THI CHUOI KY TU
DJNZ R0,STATICDISP ;GIAM BIEN LAP
MOV A,DPL ;DICH DEN DIA CHI CUA CHUOI KE TIEP
ADD A,#8 ;ADDNEXT = ADDPREV + 8 (DO DAI CHUOI = 8)
MOV DPL,A
CJNE A,#LOW(CODEDISP+24),MP1 ;KIEM TRA HIEN THI XONG TAT CA CAC CHUOI
SJMP MAIN ;3 CHUOI = 24 MA KY TU
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG ROM (TRONG CHUONG TRINH)
;***************************************************
;NAME: DISP7SEGMUL.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#7FH ;MA QUET
MOV R1,#00H ;VI TRI CUA DU LIEU TRONG VUNG NHO DPTR
SETB C
DISP:
MOV A,R1
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT MA HIEN THI
MOV P1,R0 ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LEM
INC R1 ;LAY MA HIEN THI KE TIEP
MOV A,R0 ;LAY MA QUET KE TIEP
RRC A
MOV R0,A
JC DISP ;KIEM TRA QUET XONG (C = 0)
POP 01H
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 4: Chng trnh iu khin hin th ln lt cc chui k t -HA-NOI, -DA-LAT-, -SAIGON- trn
tm LED. Mi chui hin th cch nhau 1 giy.
Gio trnh thc hnh vi x l. 152 Bin son: Phm Quang Tr
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
CODEDISP: ;VUNG CHUA MA CHU HIEN THI
DB 0BFH,89H,88H,0BFH,0C8H,0C0H,0F9H,0BFH ;MA CHUOI "-HA-NOI-"
DB 0BFH,0A1H,88H,0BFH,0C7H,88H,0F8H,0BFH ;MA CHUOI "-DA-LAT-"
DB 0BFH,92H,88H,0F9H,90H,0C0H,0C8H,0BFH ;MA CHUOI "-SAIGON-"
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th v chp tt chui k t -PEP-SI- trn tm LED. T chn
la phng php kt ni LED 7 on.
Bi 2: Hy vit chng trnh iu khin hin th v chp tt 10 ln chui k t COCACOLA trn tm
LED. T chn la phng php kt ni LED 7 on.
Bi 3: Hy vit chng trnh iu khin hin th ln lt cc chui k t COCACOLA, -PEP-SI-, -
FANTA- trn tm LED. Mi chui hin th cch nhau 1 giy. T chn la phng php kt ni LED 7
on.
Bi 4: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 5: Chng trnh iu khin hin th v dch chuyn chui k t -HA-NOI-SAI-GON- trn tm LED t
phi sang tri.
Gio trnh thc hnh vi x l. 153 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 2.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN HIEN THI CHUOI "-HA-NOI-SAIGON-" DICH CHUYEN TREN LED 7 DOAN
;***************************************************
;KET NOI: 8 LED -> PORT0
; SEL LED -> PORT1
;CHE DO: MULTI, 7SEG IN (DP -> A), MULTI INT, CA, ACTIVE = 0
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MP1:
MOV R0,#20 ;BIEN LAP - THOI GIAN CUA 1 TRANG THAI MAN HINH
STATICDISP:
LCALL DISP7SEGMUL ;HIEN THI CHUOI KY TU
DJNZ R0,STATICDISP ;GIAM BIEN LAP
INC DPTR ;DICH CHUOI DI MOT MA KY TU
MOV A,DPL
CJNE A,#LOW(CODEDISP+16),MP1 ;KIEM TRA HIEN THI XONG CHUOI
SJMP MAIN ;SO KY TU CUA CHUOI = 24 MA KY TU
;***************************************************
;CTC QUET HIEN THI LED 7 DOAN (8 LED 7 DOAN).
;***************************************************
;LED: ANODE CHUNG, KICH HOAT MUC 0, 7 DOAN: DP (MSB) -> A (LSB)
;DU LIEU HIEN THI CHUA TRONG ROM (TRONG CHUONG TRINH)
;***************************************************
;NAME: DISP7SEGMUL.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI
;OUTPUT: P0 = 7 DOAN LED - DP (MSB) -> A (LSB).
; P1 = CHON LED - LEFT (MSD) -> RIGHT (LSD).
;***************************************************
DISP7SEGMUL:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#7FH ;MA QUET
MOV R1,#00H ;VI TRI CUA DU LIEU TRONG VUNG NHO DPTR
SETB C
DISP:
MOV A,R1
MOVC A,@A+DPTR ;LAY MA HIEN THI
MOV P0,A ;XUAT MA HIEN THI
MOV P1,R0 ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LEM
INC R1 ;LAY MA HIEN THI KE TIEP
MOV A,R0 ;LAY MA QUET KE TIEP
RRC A
MOV R0,A
JC DISP ;KIEM TRA QUET XONG (C = 0)
POP 01H
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
B. H THNG IU KHIN LED 7 ON.
(ng dng iu khin LED 7 on tng hp)
Bi 5: Chng trnh iu khin hin th v dch chuyn chui k t -HA-NOI-SAI-GON- trn tm LED t
phi sang tri.
Gio trnh thc hnh vi x l. 154 Bin son: Phm Quang Tr
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
CODEDISP: ;VUNG CHUA MA CHU HIEN THI
DB 0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH,0FFH ;MA CHUOI XOA MAN HINH
DB 0BFH,89H,88H,0BFH,0C8H,0C0H,0F9H,0BFH ;MA CHUOI "-HA-NOI-"
DB 92H,88H,0F9H,0BFH,90H,0C0H,0C8H,0BFH ;MA CHUOI "SAI-GON-"
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th v dch chuyn chui k t -PEP-SI- trn tm LED t
phi sang tri. T chn la phng php kt ni LED 7 on.
Bi 2: Hy vit chng trnh iu khin hin th v dch chuyn chui k t COCACOLA trn tm LED
t tri sang phi. T chn la phng php kt ni LED 7 on.
Bi 3: Hy vit chng trnh iu khin hin th v dch chuyn chui k t COMPUTER-
CONTROLLER trn tm LED t phi sang tri. T chn la phng php kt ni LED 7 on.
Bi 4: Sinh vin t mnh suy ngh v pht trin thm chng trnh.





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H









C. H THNG IU KHIN LED MA TRN.
Gio trnh thc hnh vi x l. 156 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin vic hin th bng cch s dng LED ma trn.
ng dng thc hin vic hin th cc thng tin tnh v ng trn LED ma trn dng quang bo).

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin LED ma trn cc ch khc nhau.
Nm c s v nguyn l hot ng ca khi LED ma trn trn m hnh th nghim.
Nm c nguyn l iu khin LED ma trn cc ch khc nhau.
Bit cch vit cc chng trnh quang bo hin th cc thng tin c yu cu (thng tin dng tnh v
dng ng).


C. H THNG IU KHIN LED MA TRN.
Bi 1: Chng trnh iu khin hin th ch A mu trn LED ma trn (COL-RED c ni vi Port1 c s
dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 157 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J9 (COLUMN RED MATRIX) khi LED ma
tr?n.
Dng dy bus 8 ni J65 (PORT2 / A8..A15) khi vi iu khin vi J13 (ROW MATRIX) khi LED
ma tr?n.
Dng dy bus 3 ni J116 (POWER) khi LED ma tr?n vi ngun +5V khi ngun.
C
O
L
.

R
E
D
C
O
L
.

G
R
E
E
N
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
Cch 1:
;***************************************************
;CHUONG TRINH HIEN THI KY TU A TREN MA TRAN LED
;***************************************************
;ROW -> PORT2, RED COLUMN -> PORT1 (CO DEM DAO)
;PHUONG PHAP: QUET COT (ACT = 1) - HIEN THI HANG (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV P2,#07H ;XUAT MA HIEN THI RA HANG
MOV P1,#01H ;XUAT MA QUET RA COT 1
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

MOV P2,#0DBH ;XUAT MA HIEN THI RA HANG
MOV P1,#02H ;XUAT MA QUET RA COT 2
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

MOV P2,#0DDH ;XUAT MA HIEN THI RA HANG
MOV P1,#04H ;XUAT MA QUET RA COT 3
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

MOV P2,#0DBH ;XUAT MA HIEN THI RA HANG
MOV P1,#08H ;XUAT MA QUET RA COT 4
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

MOV P2,#07H ;XUAT MA HIEN THI RA HANG
MOV P1,#10H ;XUAT MA QUET RA COT 5
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

MOV P2,#0FFH ;XUAT MA HIEN THI RA HANG
MOV P1,#20H ;XUAT MA QUET RA COT 6
LCALL DELAYLED
C. H THNG IU KHIN LED MA TRN.
Bi 1: Chng trnh iu khin hin th ch A mu trn LED ma trn (COL-RED c ni vi Port1 c s
dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 158 Bin son: Phm Quang Tr
MOV P1,#00H ;CHONG LEM

MOV P2,#0FFH ;XUAT MA HIEN THI RA HANG
MOV P1,#40H ;XUAT MA QUET RA COT 7
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

MOV P2,#0FFH ;XUAT MA HIEN THI RA HANG
MOV P1,#80H ;XUAT MA QUET RA COT 8
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM

LJMP MAIN
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
Cch 2:
;***************************************************
;CHUONG TRINH HIEN THI KY TU A TREN MA TRAN LED
;***************************************************
;ROW -> PORT2, RED COLUMN -> PORT1 (CO DEM DAO)
;PHUONG PHAP: QUET COT (ACT = 1) - HIEN THI HANG (ACT = 0)
;***************************************************
$MOD51
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
LCALL SCANMATRIXSTA ;HIEN THI DU LIEU
LJMP MAIN
;***************************************************
;CTC QUET MA TRAN 8 X 8.
;***************************************************
;NAME: SCANMATRIXSTA.
;OUTPUT: P1 = COLUMN MATRIX (NOT BUFFER).
; P2 = ROW MATRIX.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI.
;MODE: STATIC DISP, SCAN COLUMN (ACT = 1) - DISPLAY ROW (ACT = 0).
;***************************************************
SCANMATRIXSTA:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#00H ;VI TRI CUA DU LIEU COT DAU TIEN TRONG VUNG MA
MOV R1,#01H ;MA QUET COT DAU TIEN
SCAN:
MOV A,R0
MOVC A,@A+DPTR ;LAY MA HIEN THI TRONG VUNG MA THEO VI TRI
MOV P2,A ;XUAT MA HIEN THI RA HANG
MOV P1,R1 ;XUAT MA QUET RA COT
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM
INC R0 ;LAY DU LIEU KE TIEP
MOV A,R1 ;DICH MA QUET - MA QUET CHO COT KE TIEP
RL A
MOV R1,A
CJNE R0,#8H,SCAN ;KIEM TRA QUET CAC COT (MA TRAN GOM 8 COT)
POP 01H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP 00H
C. H THNG IU KHIN LED MA TRN.
Bi 1: Chng trnh iu khin hin th ch A mu trn LED ma trn (COL-RED c ni vi Port1 c s
dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 159 Bin son: Phm Quang Tr
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
CODEDISP:
DB 07H,0DBH,0DDH,0DBH,07H,0FFH,0FFH,0FFH ;CHU A
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th ch M mu trn LED ma trn (COL-RED c ni vi
Port1, ROW c ni vi Port2).
Bi 2: Hy vit chng trnh iu khin hin th s 8 mu xanh trn LED ma trn (COL-GRE c ni vi
Port1, ROW c ni vi Port2).
Bi 3: Hy vit chng trnh iu khin hin th ch A mu vng trn LED ma trn (COL-RED c ni
vi Port 1, COL-GRE c ni vi Port2, ROW c ni vi Port3).
Bi 4: Hy lp bng m cho tt c cc k t ch, k t s v k t c bit c trn bn phm my tnh. V
th a cc m lp ny vo chng trnh iu khin hin th trn xem hnh nh chng nh th no?
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
C. H THNG IU KHIN LED MA TRN.
Bi 2: Chng trnh iu khin hin th ch S mu trn LED ma trn sng tt (COL-RED c ni vi
Port1 c s dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 160 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH HIEN THI KY TU A CHOP TAT TREN MA TRAN LED
;***************************************************
;ROW -> PORT2, RED COLUMN -> PORT1 (CO DEM DAO)
;PHUONG PHAP: QUET COT (ACT = 1) - HIEN THI HANG (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MOV R0,#50 ;BIEN LAP - THOI GIAN CUA 1 TRANG THAI MAN HINH LED
MP1:
LCALL SCANMATRIXSTA ;HIEN THI DU LIEU
DJNZ R0,MP1
LCALL TATLED ;TAT MA TRAN LED
LJMP MAIN
;***************************************************
;CTC QUET MA TRAN 8 X 8.
;***************************************************
;NAME: SCANMATRIXSTA.
;OUTPUT: P1 = COLUMN MATRIX (NOT BUFFER).
; P2 = ROW MATRIX.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI.
;MODE: STATIC DISP, SCAN COLUMN (ACT = 1) - DISPLAY ROW (ACT = 0).
;***************************************************
SCANMATRIXSTA:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#00H ;VI TRI CUA DU LIEU COT DAU TIEN TRONG VUNG MA
MOV R1,#01H ;MA QUET COT DAU TIEN
SCAN:
MOV A,R0
MOVC A,@A+DPTR ;LAY MA HIEN THI TRONG VUNG MA THEO VI TRI
MOV P2,A ;XUAT MA HIEN THI RA HANG
MOV P1,R1 ;XUAT MA QUET RA COT
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM
INC R0 ;LAY DU LIEU KE TIEP
MOV A,R1 ;DICH MA QUET - MA QUET CHO COT KE TIEP
RL A
MOV R1,A
CJNE R0,#8H,SCAN ;KIEM TRA QUET CAC COT (MA TRAN GOM 8 COT)
POP 01H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP 00H
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
TATLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 1S
PUSH 00H
MOV R0,#100
C. H THNG IU KHIN LED MA TRN.
Bi 2: Chng trnh iu khin hin th ch S mu trn LED ma trn sng tt (COL-RED c ni vi
Port1 c s dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 161 Bin son: Phm Quang Tr
MOV TMOD,#01H
TAT:
MOV TH0,#0D8H
MOV TL0,#0F0H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,TAT
POP 00H
RET
;***************************************************
CODEDISP:
DB 07H,0DBH,0DDH,0DBH,07H,0FFH,0FFH,0FFH ;CHU A
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th ch W mu sng tt trn LED ma trn (COL-RED
c ni vi Port1, ROW c ni vi Port2).
Bi 2: Hy vit chng trnh iu khin hin th s 0 mu xanh sng tt trn LED ma trn (COL-GRE c
ni vi Port1, ROW c ni vi Port2).
Bi 3: Hy vit chng trnh iu khin hin th ch H mu vng sng tt trn sng tt LED ma trn (COL-
RED c ni vi Port 1, COL-GRE c ni vi Port2, ROW c ni vi Port3).
Bi 4: Hy vit chng trnh iu khin hin th lin tc ch A sng mu , sau l mu xanh v cui
cng l mu vng trn LED ma trn (COL-RED c ni vi Port 1, COL-GRE c ni vi Port2, ROW
c ni vi Port3).
Bi 5: Hy lp bng m cho tt c cc k t ch, k t s v k t c bit c trn bn phm my tnh. V
th a cc m lp ny vo chng trnh iu khin hin th trn xem hnh nh chng nh th no?
Bi 6: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

C. H THNG IU KHIN LED MA TRN.
Bi 3: Chng trnh iu khin hin th ln lt cc ch A, B, C, a, b, c mu trn LED ma trn (COL-RED
c ni vi Port1 c s dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 162 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH HIEN THI CHUOI KY TU A,B,C,a,b,c LAN LUOT TREN MA TRAN LED
;***************************************************
;ROW -> PORT2, RED COLUMN -> PORT1 (CO DEM DAO)
;PHUONG PHAP: QUET COT (ACT = 1) - HIEN THI HANG (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MP1:
MOV R0,#50 ;BIEN LAP - THOI GIAN CUA 1 TRANG THAI MAN HINH LED
MP2:
LCALL SCANMATRIXSTA ;HIEN THI DU LIEU
DJNZ R0,MP2
MOV A,DPL ;DICH DEN DIA CHI CUA KY TU KE TIEP
ADD A,#8 ;ADDNEXT = ADDPREV + 8 (DO DAI KY TU = 8)
MOV DPL,A
CJNE A,#LOW(CODEDISP+48),MP1 ;KIEM TRA HIEN THI XONG TAT CA CAC CHUOI
LJMP MAIN
;***************************************************
;CTC QUET MA TRAN 8 X 8.
;***************************************************
;NAME: SCANMATRIXSTA.
;OUTPUT: P1 = COLUMN MATRIX (NOT BUFFER).
; P2 = ROW MATRIX.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI.
;MODE: STATIC DISP, SCAN COLUMN (ACT = 1) - DISPLAY ROW (ACT = 0).
;***************************************************
SCANMATRIXSTA:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#00H ;VI TRI CUA DU LIEU COT DAU TIEN TRONG VUNG MA
MOV R1,#01H ;MA QUET COT DAU TIEN
SCAN:
MOV A,R0
MOVC A,@A+DPTR ;LAY MA HIEN THI TRONG VUNG MA THEO VI TRI
MOV P2,A ;XUAT MA HIEN THI RA HANG
MOV P1,R1 ;XUAT MA QUET RA COT
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM
INC R0 ;LAY DU LIEU KE TIEP
MOV A,R1 ;DICH MA QUET - MA QUET CHO COT KE TIEP
RL A
MOV R1,A
CJNE R0,#8H,SCAN ;KIEM TRA QUET XONG CAC COT (MA TRAN GOM 8 COT)
POP 01H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP 00H
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
C. H THNG IU KHIN LED MA TRN.
Bi 3: Chng trnh iu khin hin th ln lt cc ch A, B, C, a, b, c mu trn LED ma trn (COL-RED
c ni vi Port1 c s dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 163 Bin son: Phm Quang Tr
;***************************************************
CODEDISP:
DB 07H,0DBH,0DDH,0DBH,07H,0FFH,0FFH,0FFH ;CHU A
DB 01H,6DH,6DH,6DH,93H,0FFH,0FFH,0FFH ;CHU B
DB 83H,7DH,7DH,7DH,0BBH,0FFH,0FFH,0FFH ;CHU C
DB 0BFH,57H,57H,57H,0FH,0FFH,0FFH,0FFH ;CHU a
DB 01H,6FH,77H,77H,8FH,0FFH,0FFH,0FFH ;CHU b
DB 8FH,77H,77H,77H,0BFH,0FFH,0FFH,0FFH ;CHU c
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th s m BCD t 0 ln 9 mu trn LED ma trn (COL-
RED c ni vi Port1, ROW c ni vi Port2).
Bi 2: Hy vit chng trnh iu khin hin th s m BCD t 9 xung 0 mu xanh trn LED ma trn
(COL-GRE c ni vi Port1, ROW c ni vi Port2).
Bi 3: Hy vit chng trnh iu khin hin th cc k t t A n Z mu trn LED ma trn (COL-
RED c ni vi Port1, ROW c ni vi Port2).
Bi 4: Hy vit chng trnh iu khin hin th cc k t t Z n A mu xanh trn LED ma trn (COL-
GRE c ni vi Port1, ROW c ni vi Port2).
Bi 5: Hy vit chng trnh iu khin hin th s m BCD t 0 n 9 trn LED ma trn (COL-GRE
c ni vi Port1, ROW c ni vi Port2). Vi iu kin mu ca cc s s thay i lin tc
XANH VNG mi khi con s trn LED ma trn thay i.
Bi 6: Hy vit chng trnh iu khin hin th cc k t t A n Z trn LED ma trn (COL-GRE c
ni vi Port1, ROW c ni vi Port2). Vi iu kin mu ca cc k t s thay i lin tc XANH
VNG sau mi mt giy ng h.
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

C. H THNG IU KHIN LED MA TRN.
Bi 4: Chng trnh iu khin hin th chui k t WELLCOME mu trn LED ma trn dch chuyn t
phi sang tri (COL-RED c ni vi Port1 c s dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 164 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH HIEN THI CHUOI KY TU WELLCOME LAN LUOT TREN MA TRAN LED
;***************************************************
;ROW -> PORT2, RED COLUMN -> PORT1 (CO DEM DAO)
;PHUONG PHAP: QUET COT (ACT = 1) - HIEN THI HANG (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV DPTR,#CODEDISP ;NAP DIA CHI VUNG MA HIEN THI
MP1:
MOV R0,#20 ;BIEN LAP - THOI GIAN CUA 1 TRANG THAI MAN HINH LED
MP2:
LCALL SCANMATRIXSTA ;HIEN THI DU LIEU
DJNZ R0,MP2
INC DPTR ;DICH CHUOI DI MOT MA KY TU
MOV A,DPL
CJNE A,#LOW(CODEDISP+48),MP1 ;KIEM TRA HIEN THI XONG CHUOI
SJMP MAIN ;SO KY TU CUA CHUOI = 24 MA KY TU
;***************************************************
;CTC QUET MA TRAN 8 X 8.
;***************************************************
;NAME: SCANMATRIXSTA.
;OUTPUT: P1 = COLUMN MATRIX (NOT BUFFER).
; P2 = ROW MATRIX.
;INPUT: DPTR = VUNG NHO CHUA DU LIEU HIEN THI.
;MODE: STATIC DISP, SCAN COLUMN (ACT = 1) - DISPLAY ROW (ACT = 0).
;***************************************************
SCANMATRIXSTA:
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
PUSH 01H
MOV R0,#00H ;VI TRI CUA DU LIEU COT DAU TIEN TRONG VUNG MA
MOV R1,#01H ;MA QUET COT DAU TIEN
SCAN:
MOV A,R0
MOVC A,@A+DPTR ;LAY MA HIEN THI TRONG VUNG MA THEO VI TRI
MOV P2,A ;XUAT MA HIEN THI RA HANG
MOV P1,R1 ;XUAT MA QUET RA COT
LCALL DELAYLED
MOV P1,#00H ;CHONG LEM
INC R0 ;LAY DU LIEU KE TIEP
MOV A,R1 ;DICH MA QUET - MA QUET CHO COT KE TIEP
RL A
MOV R1,A
CJNE R0,#8H,SCAN ;KIEM TRA QUET XONG CAC COT (MA TRAN GOM 8 COT)
POP 01H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP 00H
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL:
MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
C. H THNG IU KHIN LED MA TRN.
Bi 4: Chng trnh iu khin hin th chui k t WELLCOME mu trn LED ma trn dch chuyn t
phi sang tri (COL-RED c ni vi Port1 c s dng b m o, ROW c ni vi Port2).
Gio trnh thc hnh vi x l. 165 Bin son: Phm Quang Tr
CODEDISP:
DB 81H,7FH,87H,7FH,81H,0FFH ;CHU W
DB 01H,6DH,6DH,6DH,7DH,0FFH ;CHU E
DB 01H,7FH,7FH,7FH,7FH,0FFH ;CHU L
DB 01H,7FH,7FH,7FH,7FH,0FFH ;CHU L
DB 83H,7DH,7DH,7DH,0BBH,0FFH ;CHU C
DB 83H,7DH,7DH,7DH,83H,0FFH ;CHU O
DB 01H,0FBH,0F7H,0FBH,01H,0FFH ;CHU M
DB 01H,6DH,6DH,6DH,7DH,0FFH ;CHU E
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th chui k t KHOA DIEN TU mu xanh dch chuyn t
phi sang tri trn LED ma trn (COL-GRE c ni vi Port1, ROW c ni vi Port2).
Bi 2: Hy vit chng trnh iu khin hin th chui k t TRUONG DAI HOC CONG NGHIEP TP
HO CHI MINH KHOA DIEN TU mu dch chuyn t phi sang tri trn LED ma trn (COL-RED
c ni vi Port1, ROW c ni vi Port2).
Bi 3: Hy vit chng trnh iu khin hin th tng t nh bi tp 1 v 2 nhng mu ca ma trn LED
s thay i lin tc XANH VNG sau mi mt giy ng h.
Bi 4: Sinh vin t mnh suy ngh v pht trin thm chng trnh.




TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H









D. H THNG IU KHIN BN PHM.
Gio trnh thc hnh vi x l. 167 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin cc thit b ngoi vi bng bn phm (bn phm thit k theo kiu ma trn).
Trnh by k thut qut phm cho dng bn phm ma trn 16 phm (4 hng x 4 ct).
Trnh by mt s ng dng trong k thut iu khin bn phm.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin bn phm ma trn.
Nm c s v nguyn l hot ng ca khi bn phm ma trn trn m hnh th nghim.
Nm c nguyn l v k thut qut phm cho cc dng bn phm ma trn.
Bit cch vit cc chng trnh ng dng c s dng bn phm ma trn iu khin cc thit b ngoi vi
khc nhau.



D. H THNG IU KHIN BN PHM.
Bi 1: Chng trnh iu khin bn phm v hin th m ca phm nhn trn 8 LED di dng s
BIN (LED sng = mc 1, LED tt = mc 0), khng phm no c nhn th m phm l FFH
(KEYPAD c ni vi Port0, LED c ni vi Port1).
Gio trnh thc hnh vi x l. 168 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J15 (KEYBOARD) khi phm ma
tr?n.
Dng dy bus 3 ni J103 (POWER) khi dy LED vi ngun +5V khi ngun.
K
E
Y
B
O
A
R
D
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN BAN PHIM MA TRAN 4 X 4.
;***************************************************
;KET NOI: LED -> PORT1 (CO DEM DAO), KEYPAD -> PORT0.
;***************************************************
ORG 00H
MAIN:
LCALL SCANKEYPAD ;QUET KEYPAD
JC MP1 ;KIEM TRA CO NHAN PHIM (C = 1)
MOV A,#0FFH ;KHONG NHAN PHIM A = FFH
MP1: ;CO NHAN PHIM A = MA PHIM
MOV P1,A ;XUAT MA PHIM RA LED HIEN THI DANG SO BIN
LJMP MAIN ;QUAY LAI KIEM TRA KEYPAD TIEP
;***************************************************
SCANKEYPAD: ;CTC QUET BAN PHIM MA TRAN 4 X 4, CO CHONG DOI.
PUSH 03H
MOV R3,#50 ;SO LAN LAP DE CHONG DOI
BACK:
ACALL GETKEY ;GOI CTC QUET PHIM
JNC NOPRESSED ;C = 0 -> THOAT KHI KHONG CO PHIM NHAN.
DJNZ R3,BACK ;C = 1 -> CO PHIM NHAN, GIAM SO LAN LAP DE CHONG DOI
NOPRESSED:
POP 03H
RET
;***************************************************
GETKEY:
PUSH 05H
PUSH 06H
PUSH 07H
MOV A,#0EFH ;BAT DAU TAI COT 0
MOV R6,#4 ;R6 LAM BO DEM COT
TEST:
MOV P0,A ;TICH CUC COT
MOV R7,A ;LUU ACC
MOV A,P0 ;DOC LAI TU PORT 1
ANL A,#0FH ;CACH LY CAC HANG
CJNE A,#0FH,KEYPRESSED ;HANG TICH CUC ?
MOV A,R7 ;N -> DI CHUYEN TOI
D. H THNG IU KHIN BN PHM.
Bi 1: Chng trnh iu khin bn phm v hin th m ca phm nhn trn 8 LED di dng s
BIN (LED sng = mc 1, LED tt = mc 0), khng phm no c nhn th m phm l FFH
(KEYPAD c ni vi Port0, LED c ni vi Port1).
Gio trnh thc hnh vi x l. 169 Bin son: Phm Quang Tr
RL A ;COT KE TIEP
DJNZ R6,TEST
CLR C ;KHONG CO PHIM DUOC NHAN HAY NHAN NHIEU PHIM CUNG LUC
SJMP EXIT ;THOAT VOI C = 0
KEYPRESSED:
MOV R7,A ;LUU TRONG R7
MOV A,#4 ;CHUAN BI TINH
CLR C ;TRONG SO CUA COT
SUBB A,R6 ;4 - R6 = TRONG SO
MOV R6,A ;LUU TRONG R6
MOV A,R7 ;PHUC HOI MA QUET
MOV R5,#4 ;DUNG R5 LAM BO DEM
AGAIN:
RRC A ;QUAY CHO DEN KHI = 0
JNC DONE ;THUC THI XONG KHI C = 0
INC R6 ;CONG 4 CHO DEN KHI TIM THAY HANG TICH CUC
INC R6
INC R6
INC R6
DJNZ R5,AGAIN
DONE:
SETB C ;CO PHIM NHAN -> C = 1
MOV A,R6 ;MA TRONG A
EXIT:
POP 07H
POP 06H
POP 05H
RET
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin v hin th m ca phm nhn trn LED 7 on. T la chn
phng php kt ni bn phm v LED 7 on.
Bi 2: Hy vit chng trnh iu khin v hin th m ca phm nhn trn LED ma trn. T la chn
phng php kt ni bn phm v LED ma trn.
Bi 3: Hy vit chng trnh iu khin v hin th tn ca phm nhn trn LED 7 on. T la chn
phng php kt ni bn phm v LED 7 on.
Bi 4: Hy vit chng trnh iu khin v hin th tn ca phm nhn trn LED ma trn. T la chn
phng php kt ni bn phm v LED ma trn.
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
D. H THNG IU KHIN BN PHM.
Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc phm
c nhn (KEYPAD c ni vi Port0, LED c ni vi Port1):
Nhn phm 1: 8 LED sng tt 3 ln.
Nhn phm 2: 8 LED sng dn v tt dn.
Nhn phm 3: 8 LED m ln nh phn.
Nhn phm ESC: 8 LED sng dn.
Gio trnh thc hnh vi x l. 170 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN LED HIEN THI CAC KIEU KHAC NHAU KHI
;TA NHAN CAC PHIM TREN BAN PHIM MA TRAN 4 X 4.
;***************************************************
;KET NOI: LED -> PORT1 (CO DEM DAO), KEYPAD -> PORT0.
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;LED TAT
LCALL SCANKEYPAD ;QUET KEYPAD
CJNE A,#0CH,NEXT1 ;KHONG PHAI PHIM F1 -> KIEM TIEP
LCALL CHOPTAT ;PHIM F1 DUOC NHAN -> CHAY CHUONG TRINH CON TUONG UNG
NEXT1:
CJNE A,#08H,NEXT2 ;KHONG PHAI PHIM F2 -> KIEM TIEP
LCALL SANGDAN ;PHIM F2 DUOC NHAN -> CHAY CHUONG TRINH CON TUONG UNG
NEXT2:
CJNE A,#04H,NEXT3 ;KHONG PHAI PHIM F3 -> KIEM TIEP
LCALL DEMLEN ;PHIM F3 DUOC NHAN -> CHAY CHUONG TRINH CON TUONG UNG
NEXT3:
CJNE A,#00H,NEXT4 ;KHONG PHAI PHIM F4 -> KIEM TIEP
LCALL SANGDON ;PHIM F4 DUOC NHAN -> CHAY CHUONG TRINH CON TUONG UNG

NEXT4:
LJMP MAIN ;QUAY LAI KIEM TRA KEYPAD TIEP
;***************************************************
SCANKEYPAD: ;CTC QUET BAN PHIM MA TRAN 4 X 4, CO CHONG DOI.
PUSH 03H
MOV R3,#50 ;SO LAN LAP DE CHONG DOI
BACK:
ACALL GETKEY ;GOI CTC QUET PHIM
JNC NOPRESSED ;C = 0 -> THOAT KHI KHONG CO PHIM NHAN.
DJNZ R3,BACK ;C = 1 -> CO PHIM NHAN, GIAM SO LAN LAP DE CHONG DOI
NOPRESSED:
POP 03H
RET
;***************************************************
GETKEY:
PUSH 05H
PUSH 06H
PUSH 07H
MOV A,#0EFH ;BAT DAU TAI COT 0
MOV R6,#4 ;R6 LAM BO DEM COT
TEST:
MOV P0,A ;TICH CUC COT
MOV R7,A ;LUU ACC
MOV A,P0 ;DOC LAI TU PORT 1
ANL A,#0FH ;CACH LY CAC HANG
CJNE A,#0FH,KEYPRESSED ;HANG TICH CUC ?
MOV A,R7 ;N -> DI CHUYEN TOI
RL A ;COT KE TIEP
DJNZ R6,TEST
CLR C ;KHONG CO PHIM DUOC NHAN HAY NHAN NHIEU PHIM CUNG LUC
SJMP EXIT ;THOAT VOI C = 0
KEYPRESSED:
MOV R7,A ;LUU TRONG R7
MOV A,#4 ;CHUAN BI TINH
CLR C ;TRONG SO CUA COT
SUBB A,R6 ;4 - R6 = TRONG SO
D. H THNG IU KHIN BN PHM.
Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc phm
c nhn (KEYPAD c ni vi Port0, LED c ni vi Port1):
Nhn phm 1: 8 LED sng tt 3 ln.
Nhn phm 2: 8 LED sng dn v tt dn.
Nhn phm 3: 8 LED m ln nh phn.
Nhn phm ESC: 8 LED sng dn.
Gio trnh thc hnh vi x l. 171 Bin son: Phm Quang Tr
MOV R6,A ;LUU TRONG R6
MOV A,R7 ;PHUC HOI MA QUET
MOV R5,#4 ;DUNG R5 LAM BO DEM
AGAIN:
RRC A ;QUAY CHO DEN KHI = 0
JNC DONE ;THUC THI XONG KHI C = 0
INC R6 ;CONG 4 CHO DEN KHI TIM THAY HANG TICH CUC
INC R6
INC R6
INC R6
DJNZ R5,AGAIN
DONE:
SETB C ;CO PHIM NHAN -> C = 1
MOV A,R6 ;MA TRONG A
EXIT:
POP 07H
POP 06H
POP 05H
RET
;***************************************************
CHOPTAT: ;CTC DIEU KHIEN 8 LED SANG TAT
MOV P1,#0FFH ;LED SANG
LCALL DELAY500MS
MOV P1,#00H ;LED TAT
LCALL DELAY500MS
MOV P1,#0FFH ;LED SANG
LCALL DELAY500MS
MOV P1,#00H ;LED TAT
LCALL DELAY500MS
MOV P1,#0FFH ;LED SANG
LCALL DELAY500MS
MOV P1,#00H ;LED TAT
LCALL DELAY500MS
RET
;***************************************************
DEMLEN: ;CTC DIEU KHIEN 8 LED DEM LEN NHI PHAN
PUSH ACC
MOV A,#00H ;LED TAT
LCALL DELAY500MS
DL:
MOV P1,A
LCALL DELAY500MS
INC A ;TANG NHI PHAN
CJNE A,#00H,DL ;KIEM TRA DEM LEN HOAN TAT
POP ACC
RET
;***************************************************
SANGDAN: ;CTC DIEU KHIEN 8 LED SANG DAN VA TAT DAN
PUSH ACC
MOV A,#00H ;KHOI DONG GIA TRI BAN DAU
SD1:
SETB C ;C=1
MOV P1,A ;HIEN THI
LCALL DELAY500MS
RLC A ;DICH BIT 1 SANG TRAI KEM C, SANG DAN
JNC SD1 ;CHUA SANG HET THI LAM TIEP
SD2:
CLR C ;C=1
MOV P1,A ;HIEN THI
LCALL DELAY500MS
RLC A ;DICH BIT 1 SANG TRAI KEM C, TAT DAN
JC SD2 ;CHUA TAT HET THI LAM TIEP
POP ACC
RET
D. H THNG IU KHIN BN PHM.
Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc phm
c nhn (KEYPAD c ni vi Port0, LED c ni vi Port1):
Nhn phm 1: 8 LED sng tt 3 ln.
Nhn phm 2: 8 LED sng dn v tt dn.
Nhn phm 3: 8 LED m ln nh phn.
Nhn phm ESC: 8 LED sng dn.
Gio trnh thc hnh vi x l. 172 Bin son: Phm Quang Tr
;***************************************************
SANGDON:
PUSH ACC
MOV R0,#08H ;LUU SO LAN DICH BIT
MOV R1,#00H ;TRANG THAI LED KHI DON LAN DAU TIEN
LAP2:
MOV R2,00H
MOV R3,#01H
LAP1:
MOV A,R3
ORL A,R1
MOV P1,A ;HIEN THI
LCALL DELAY500MS
MOV A,R3 ;DON LED
RL A
MOV R3,A
DJNZ R2,LAP1 ;CHUA DON XONG? QUAY LAI
DEC R0 ;GIAM SO LAN DON LED
SETB C ;THAY DOI TRANG THAI LED CHO LAN DON TIEP THEO
MOV A,R1
RRC A
MOV R1,A
CJNE R0,#00H,LAP2 ;CHUA HOAN TAT CHU TRINH? QUAY LAa
POP ACC
RET
;***************************************************
DELAY500MS: ;CTC TAO THOI GIAN TRE 500MS
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
DEL1:
MOV TH0,#0ECH
MOV TL0,#78H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,DEL1
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin v biu din cc kiu hin th ca chui k t DIEN-TU trn
tm LED 7 on. T la chn phng php kt ni bn phm v LED 7 on.
Nhn phm ENTER: hin th chui.
Nhn phm ESC: khng hin th chui.
Nhn phm 1: sng tt chui lin tc.
Nhn phm 2: dch chuyn chui t phi sang tri lin tc.
Nhn phm 3: dch chuyn chui t tri sang phi lin tc.
Sinh vin t mnh suy ngh v pht trin thm chng trnh.
Bi 2: Hy vit chng trnh iu khin v biu din cc kiu hin th ca mt s chui k t trn tm LED
7 on. T la chn phng php kt ni bn phm v LED 7 on.
Nhn phm ESC: khng hin th chui.
Nhn phm 1: hin th chui COCACOLA.
Nhn phm 2: hin th chui PEPSI.
Nhn phm 3: hin th chui FANTA.
Nhn phm 4: hin th chui TRIBECO.
Nhn phm 5: hin th chui NUOCSUOI.
D. H THNG IU KHIN BN PHM.
Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc phm
c nhn (KEYPAD c ni vi Port0, LED c ni vi Port1):
Nhn phm 1: 8 LED sng tt 3 ln.
Nhn phm 2: 8 LED sng dn v tt dn.
Nhn phm 3: 8 LED m ln nh phn.
Nhn phm ESC: 8 LED sng dn.
Gio trnh thc hnh vi x l. 173 Bin son: Phm Quang Tr
Sinh vin t mnh suy ngh v pht trin thm chng trnh.
Bi 3: Hy vit chng trnh iu khin v biu din cc kiu hin th ca LED1. T la chn phng
php kt ni bn phm v LED 7 on.
Nhn phm ESC: khng hin th.
Nhn phm 1: hin th m BCD t 0 ln 9 trn LED1.
Nhn phm 2: hin th m BCD t 9 xung 0 trn LED1.
Nhn phm 3: hin th m HEX t 0 ln F trn LED1.
Nhn phm 4: hin th m HEX t 9 xung 0 trn LED1.
Sinh vin t mnh suy ngh v pht trin thm chng trnh.
Bi 4: Hy vit chng trnh iu khin v biu din cc kiu hin th ca k t A trn LED ma trn. T
la chn phng php kt ni bn phm v LED ma trn.
Nhn phm F1: hin th k t mu .
Nhn phm F2: hin th k t mu xanh.
Nhn phm F3: hin th k t mu vng.
Nhn phm ESC: khng hin th k t.
Sinh vin t mnh suy ngh v pht trin thm chng trnh.
Bi 5: Hy vit chng trnh iu khin v biu din cc kiu hin th ca LED ma trn. T la chn
phng php kt ni bn phm v LED ma trn.
Nhn phm ESC: khng hin th.
Nhn phm 1: hin th m BCD t 0 ln 9 trn LED ma trn.
Nhn phm 2: hin th m BCD t 9 xung 0 trn LED ma trn.
Nhn phm 3: hin th cc k t t A n Z trn LED ma trn.
Nhn phm 4: hin th cc k t t Z n A trn LED ma trn.
Bi 6: Hy vit chng trnh iu khin v biu din cc kiu hin th ca chui k t DAI HOC CONG
NGHIEP TP HO CHI MINH trn LED ma trn. T la chn phng php kt ni bn phm v LED ma
trn.
Nhn phm ESC: khng hin th chui.
Nhn phm 1: dch chuyn chui t phi sang tri lin tc.
Nhn phm 2: dch chuyn chui t tri sang phi lin tc.
Sinh vin t mnh suy ngh v pht trin thm chng trnh.
Bi 7: Hy vit chng trnh iu khin v biu din cc kiu hin th ca hai chui k t DAI HOC
CONG NGHIEP TP HO CHI MINH v KHOA DIEN TU trn LED ma trn. T la chn phng php
kt ni bn phm v LED ma trn.
Nhn phm ESC: khng hin th chui.
Nhn phm 1: dch chuyn chui t phi sang tri lin tc.
Nhn phm 2: dch chuyn chui t tri sang phi lin tc.
Nhn phm F1: chn chui hin th c mu .
Nhn phm F2: chn chui hin th c mu xanh.
Nhn phm F3: chn chui hin th l DAI HOC CONG NGHIEP TP HO CHI MINH.
Nhn phm F4: chn chui hin th l KHOA DIEN TU.
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Chng trnh con qut phm c chng di:
o Lu :

o Chng trnh mu:
;***************************************************
;CTC QUET BAN PHIM MA TRAN 4 X 4, CO CHONG DOI.
;***************************************************
;NAME: SCANKEYPAD.
;INPUT: PORT 0 (ROW1...ROW4 -> P0.0...P0.3 & COL1...COL4 -> P0.4...P0.7).
;OUTPUT: C = 1: CO PHIM NHAN - ACC = CHUA MA PHIM (00H -> FFH).
D. H THNG IU KHIN BN PHM.
Bi 2: Chng trnh iu khin bn phm v biu din cc kiu hin th trn tm LED thng qua cc phm
c nhn (KEYPAD c ni vi Port0, LED c ni vi Port1):
Nhn phm 1: 8 LED sng tt 3 ln.
Nhn phm 2: 8 LED sng dn v tt dn.
Nhn phm 3: 8 LED m ln nh phn.
Nhn phm ESC: 8 LED sng dn.
Gio trnh thc hnh vi x l. 174 Bin son: Phm Quang Tr
; C = 0: KHONG CO PHIM NHAN.
;***************************************************
SCANKEYPAD:
PUSH 03H
MOV R3,#50 ;SO LAN LAP DE CHONG DOI
BACK:
ACALL GETKEY ;GOI CTC QUET PHIM
JNC NOPRESSED ;C = 0 -> THOAT KHI KHONG CO PHIM NHAN.
DJNZ R3,BACK ;C = 1 -> CO PHIM NHAN, GIAM SO LAN LAP DE CHONG DOI
NOPRESSED:
POP 03H
RET
;***************************************************
GETKEY:
PUSH 05H
PUSH 06H
PUSH 07H
MOV A,#0EFH ;BAT DAU TAI COT 0
MOV R6,#4 ;R6 LAM BO DEM COT
TEST:
MOV P0,A ;TICH CUC COT
MOV R7,A ;LUU ACC
MOV A,P0 ;DOC LAI TU PORT 1
ANL A,#0FH ;CACH LY CAC HANG
CJNE A,#0FH,KEYPRESSED ;HANG TICH CUC ?
MOV A,R7 ;N -> DI CHUYEN TOI
RL A ;COT KE TIEP
DJNZ R6,TEST
CLR C ;KHONG CO PHIM DUOC NHAN HAY NHAN NHIEU PHIM CUNG LUC
SJMP EXIT ;THOAT VOI C = 0
KEYPRESSED:
MOV R7,A ;LUU TRONG R7
MOV A,#4 ;CHUAN BI TINH
CLR C ;TRONG SO CUA COT
SUBB A,R6 ;4 - R6 = TRONG SO
MOV R6,A ;LUU TRONG R6
MOV A,R7 ;PHUC HOI MA QUET
MOV R5,#4 ;DUNG R5 LAM BO DEM
AGAIN:
RRC A ;QUAY CHO DEN KHI = 0
JNC DONE ;THUC THI XONG KHI C = 0
INC R6 ;CONG 4 CHO DEN KHI TIM THAY HANG TICH CUC
INC R6
INC R6
INC R6
DJNZ R5,AGAIN
DONE:
SETB C ;CO PHIM NHAN -> C = 1
MOV A,R6 ;MA TRONG A
EXIT:
POP 07H
POP 06H
POP 05H
RET
;***************************************************





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










E. H THNG IU KHIN LCD.
Gio trnh thc hnh vi x l. 176 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Kho st nguyn l hot ng v nguyn l iu khin LCD.
iu khin hin th cc thng tin trn mn hnh tinh th lng LCD (chun cng nghip, loi 2 hng x 16
k t).
B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin LCD.
Nm c s v nguyn l hot ng ca khi LCD trn m hnh th nghim.
Nm c nguyn l v k thut iu khin hin th cc thng tin trn LCD.
Bit cch vit cc chng trnh ng dng hin th cc dng thng tin khc nhau trn LCD ty theo nhu
cu s dng.



E. H THNG IU KHIN LCD.
Bi 1: Chng trnh iu khin LCD hin th hai dng ch MICRO-CONTROLLER v DESIGNED BY:
PQT. ng yn trn hai dng ca mn hnh LCD.
Gio trnh thc hnh vi x l. 177 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 3 ni J63 (PORT0 / DATA) khi vi iu khin vi J47 (CTRL LCD) khi LCD (ch
l ta ch ni 3 bit thp ca J63 v J47 vi nhau).
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J46 (DATA / CODE LCD) khi LCD.
Dng dy bus 3 ni J104 (POWER) khi LCD vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN LCD HIEN THI 2 DONG CHU DUNG YEN
;***************************************************
;RS -> P0.0, RW -> P0.1, E -> P0.2
;DATA BUS -> PORT 1
;***************************************************
EN BIT P0.2
RW BIT P0.1
RS BIT P0.0
DATABUS EQU P1
;***************************************************
ORG 00H
MAIN:
ACALL LCDINIT ;KHOI TAO LCD
MP1:
ACALL DISP_FLINE ;HIEN THI DONG 1
ACALL DISP_SLINE ;HIEN THI DONG 2
SJMP $
;***************************************************
LCDINIT: ;CTC KHOI TAO LCD
CLR RS ;RS = 0 - GUI LENH
CLR RW ;RW = 0 - WRITE LCD MODE
SETB EN ;E = 1 - ENABLE
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV TH0,#HIGH(-4100)
MOV TL0,#LOW(-4100)
ACALL DELAY ;DELAY 4.1MS
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV TH0,#HIGH(-100)
MOV TL0,#LOW(-100)
ACALL DELAY ;DELAY 100US
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#0CH ;CODE = C0H - CHO PHEP LCD HIEN THI
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#01H ;CODE = 01H - XOA LCD
ACALL SENDCOMMAND ;GUI LENH RA LCD
RET
E. H THNG IU KHIN LCD.
Bi 1: Chng trnh iu khin LCD hin th hai dng ch MICRO-CONTROLLER v DESIGNED BY:
PQT. ng yn trn hai dng ca mn hnh LCD.
Gio trnh thc hnh vi x l. 178 Bin son: Phm Quang Tr
;***************************************************
SENDCOMMAND: ;CTC GUI LENH (SENDCOMMAND) VA GUI DU LIEU (SENDDATA) RA LCD
CLR RS ;RS = 0 - GUI LENH
SJMP PULSE_EN
SENDDATA:
SETB RS ;RS = 1 - GUI DU LIEU
NOP
PULSE_EN: ;TAO XUNG ENABLE DE CHUYEN THONG TIN (COMMAND/DATA) VAO LCD
CLR RW ;RW = 0 - WRITE LCD MODE
CLR EN ;EN = 0
NOP
SETB EN ;EN = 1 - XUNG ENABLE
NOP
;KIEM TRA CO BAO BAN (BUSY FLAG) DE DAM BAO HOAN TAT VIEC LCD GHI NHAN THONG TIN GUI DEN
;*************************************************************
;MOV TH0,#HIGH(-1000) ;DOAN LENH NAY DUOC THAY THE CHO DOAN LENH KIEM TRA DUOI DAY
;MOV TL0,#LOW(-1000) ;KHI CHAY CHUONG TRINH NAY TRONG PHAN MEM MO PHONG TOPVIEW
;ACALL DELAY
;*************************************************************
MOV DATABUS,#0FFH ;CAU HINH PORT 1 CHO CHE DO PORT NHAP
SETB RW ;RW = 1 - READ LCD MODE
CLR RS ;RS = 0 - GUI LENH
CLR EN ;EN = 0
NOP
SETB EN ;EN = 1 - XUNG ENABLE
NOP
BUSY: ;BUSY = 0 -> HOAN TAT, BUSY = 1 -> DANG THUC HIEN
MOV A,DATABUS ;DOC DU LIEU TU LCD
JB ACC.7,BUSY ;KIEM TRA CO BUSY
BUSY2: ;KIEM TRA CO BAO BAN (BUSY FLAG) LAN THU HAI
MOV A,DATABUS ;DOC DU LIEU TU LCD
JB ACC.7,BUSY2 ;KIEM TRA CO BUSY LAN 2
CLR RW ;RW = 0 - WRITE LCD MODE
;*************************************************************
RET
;***************************************************
DISP_FLINE: ;CTC DAT DIA CHI BAT DAU DONG 1 VA NAP DU LIEU DONG 1 VAO DDRAM
MOV DATABUS,#80H ;CODE = 80H - DAT DDRAM DIA CHI BAT DAU CUA DONG 1 - 00H
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DPTR,#FLINE_DATA ;NAP DIA CHI VUNG DU LIEU DONG 1 CUA LCD
ACALL WRITE ;GUI VUNG DU LIEU SANG LCD
RET
;***************************************************
DISP_SLINE: ;CTC DAT DIA CHI BAT DAU DONG 2 VA NAP DU LIEU DONG 2 VAO DDRAM
MOV DATABUS,#0C0H ;CODE = C0H - DAT DDRAM DIA CHI BAT DAU CUA DONG 2 - 40H
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DPTR,#SLINE_DATA ;NAP DIA CHI VUNG DU LIEU DONG 2 CUA LCD
ACALL WRITE ;GUI VUNG DU LIEU SANG LCD
RET
;***************************************************
WRITE: ;CTC GUI DU LIEU SANG LCD, KET THUC GUI DU LIEU KHI DU LIEU GUI DI LA 99H
MOV A,#0 ;OFFSET DAU TIEN TRONG VUNG DU LIEU CUA DPTR
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG DU LIEU
CJNE A,#99H,WRITE_CONT ;KIEM TRA LAY HET DU LIEU TRONG VUNG DU LIEU - 99H
RET
WRITE_CONT: ;KHONG PHAI LA DU LIEU KET THUC - CHUA LAY HET DU LIEU
MOV DATABUS,A ;CHUYEN DU LIEU CAN GUI RA PORT DEN LCD
ACALL SENDDATA ;GUI DU LIEU RA LCD
INC DPTR ;CHUYEN SANG DU LIEU KE TIEP
SJMP WRITE ;QUAY TRO VE DE GUI DU LIEU KE TIEP
RET
;***************************************************
DELAY:
MOV TMOD,#01H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
RET
E. H THNG IU KHIN LCD.
Bi 1: Chng trnh iu khin LCD hin th hai dng ch MICRO-CONTROLLER v DESIGNED BY:
PQT. ng yn trn hai dng ca mn hnh LCD.
Gio trnh thc hnh vi x l. 179 Bin son: Phm Quang Tr
;***************************************************
FLINE_DATA: ;DU LIEU HIEN THI DONG 1
DB 'MICRO-CONTROLLER'
DB 99H ;MA KET THUC DONG (TU CHON)
SLINE_DATA: ;DU LIEU HIEN THI DONG 2
DB 'DESIGNED BY: PQT'
DB 99H ;MA KET THUC DONG (TU CHON)
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)


E. H THNG IU KHIN LCD.
Bi 2: Chng trnh iu khin LCD hin th hai dng ch WELLCOME TO MICROCONTROLLER
SYSTEM 51 v DESIGNED BY: PQT. trn hai dng ca mn hnh LCD vi yu cu: dng ch
th nht s dch chuyn lin tc t phi sang tri, dng ch th hai ng yn.
Gio trnh thc hnh vi x l. 180 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN LCD HIEN THI DONG CHU 1 DICH CHUYEN, DONG CHU 2 DUNG YEN
;***************************************************
EN BIT P0.2
RW BIT P0.1
RS BIT P0.0
DATABUS EQU P1
;***************************************************
ORG 00H
MAIN:
ACALL LCDINIT ;KHOI TAO LCD
MOV DPTR,#SLINE_DATA ;NAP DIA CHI VUNG DU LIEU DONG 2 CUA LCD
ACALL DISP_SLINE ;HIEN THI DONG 2
MP1: MOV DPTR,#FLINE_DATA ;NAP DIA CHI VUNG DU LIEU DONG 1 CUA LCD
SHIFT:
ACALL DISP_FLINE ;HIEN THI DONG 1
MOV R1,#50 ;DELAY 500MS
DEL500:
MOV TH0,#HIGH(-10000)
MOV TL0,#LOW(-10000)
ACALL DELAY
DJNZ R1,DEL500 ;THOI GIAN DUNG YEN CUA MOT TRANG THAI MAN HINH LCD
INC DPTR ;TANG GIA TRI DPTR DE DICH CHUYEN DONG CHU
MOV A,DPL ;KIEM TRA DA DICH XONG DONG CHU RA MAN HINH LCD
CJNE A,#LOW(FLINE_DATA+40),SHIFT
MOV A,DPH
CJNE A,#HIGH(FLINE_DATA+40),SHIFT
SJMP MP1
;***************************************************
LCDINIT: ;CTC KHOI TAO LCD
CLR RS ;RS = 0 - GUI LENH
CLR RW ;RW = 0 - WRITE LCD MODE
SETB EN ;E = 1 - ENABLE
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV TH0,#HIGH(-4100)
MOV TL0,#LOW(-4100)
ACALL DELAY ;DELAY 4.1MS
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV TH0,#HIGH(-100)
MOV TL0,#LOW(-100)
ACALL DELAY ;DELAY 100US
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#0CH ;CODE = C0H - CHO PHEP LCD HIEN THI
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#01H ;CODE = 01H - XOA LCD
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#0C0H ;CODE = C0H - DAT DDRAM DIA CHI BAT DAU CUA DONG 2 - 40H
ACALL SENDCOMMAND ;GUI LENH RA LCD (VI DONG 2 DUNG YEN TRONG KHI DONG 1 CHAY)
RET
;***************************************************
SENDCOMMAND: ;CTC GUI LENH (SENDCOMMAND) VA GUI DU LIEU (SENDDATA) RA LCD
CLR RS ;RS = 0 - GUI LENH
SJMP PULSE_EN
SENDDATA:
SETB RS ;RS = 1 - GUI DU LIEU
NOP
PULSE_EN: ;TAO XUNG ENABLE DE CHUYEN THONG TIN (COMMAND/DATA) VAO LCD
CLR RW ;RW = 0 - WRITE LCD MODE
E. H THNG IU KHIN LCD.
Bi 2: Chng trnh iu khin LCD hin th hai dng ch WELLCOME TO MICROCONTROLLER
SYSTEM 51 v DESIGNED BY: PQT. trn hai dng ca mn hnh LCD vi yu cu: dng ch
th nht s dch chuyn lin tc t phi sang tri, dng ch th hai ng yn.
Gio trnh thc hnh vi x l. 181 Bin son: Phm Quang Tr
CLR EN ;EN = 0
NOP
SETB EN ;EN = 1 - XUNG ENABLE
NOP
;KIEM TRA CO BAO BAN (BUSY FLAG) DE DAM BAO HOAN TAT VIEC LCD GHI NHAN THONG TIN GUI DEN
;*************************************************************
;MOV TH0,#HIGH(-1000) ;DOAN LENH NAY DUOC THAY THE CHO DOAN LENH KIEM TRA DUOI DAY
;MOV TL0,#LOW(-1000) ;KHI CHAY CHUONG TRINH NAY TRONG PHAN MEM MO PHONG TOPVIEW
;ACALL DELAY
;*************************************************************
MOV DATABUS,#0FFH ;CAU HINH PORT 1 CHO CHE DO PORT NHAP
SETB RW ;RW = 1 - READ LCD MODE
CLR RS ;RS = 0 - GUI LENH
CLR EN ;EN = 0
NOP
SETB EN ;EN = 1 - XUNG ENABLE
NOP
BUSY: ;BUSY = 0 -> HOAN TAT, BUSY = 1 -> DANG THUC HIEN
MOV A,DATABUS ;DOC DU LIEU TU LCD
JB ACC.7,BUSY ;KIEM TRA CO BUSY
BUSY2: ;KIEM TRA CO BAO BAN (BUSY FLAG) LAN THU HAI
MOV A,DATABUS ;DOC DU LIEU TU LCD
JB ACC.7,BUSY2 ;KIEM TRA CO BUSY LAN 2
CLR RW ;RW = 0 - WRITE LCD MODE
;*************************************************************
RET
;***************************************************
DISP_FLINE: ;CTC DAT DIA CHI BAT DAU DONG 1 VA NAP DU LIEU DONG 1 VAO DDRAM
MOV DATABUS,#80H ;CODE = 80H - DAT DDRAM DIA CHI BAT DAU CUA DONG 1 - 00H
ACALL SENDCOMMAND ;GUI LENH RA LCD
ACALL WRITE ;GUI VUNG DU LIEU SANG LCD
RET
;***************************************************
DISP_SLINE: ;CTC DAT DIA CHI BAT DAU DONG 2 VA NAP DU LIEU DONG 2 VAO DDRAM
MOV DATABUS,#0C0H ;CODE = C0H - DAT DDRAM DIA CHI BAT DAU CUA DONG 2 - 40H
ACALL SENDCOMMAND ;GUI LENH RA LCD
ACALL WRITE ;GUI VUNG DU LIEU SANG LCD
RET
;***************************************************
WRITE: ;CTC GUI DU LIEU SANG LCD, KET THUC GUI DU LIEU KHI DU LIEU GUI DI LA 99H
MOV R0,#0 ;OFFSET DAU TIEN TRONG VUNG DU LIEU CUA DPTR
WR1: MOV A,R0 ;NAP OFFSET
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG DU LIEU
MOV DATABUS,A ;CHUYEN DU LIEU CAN GUI RA PORT DEN LCD
ACALL SENDDATA ;GUI DU LIEU RA LCD
INC R0 ;CHUYEN SANG DU LIEU KE TIEP
CJNE R0,#16,WR1 ;KIEM TRA NAP DAY DU DU LIEU CHO MOT DONG MAN HINH - 16 CHU
RET
;***************************************************
DELAY:
MOV TMOD,#01H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
RET
;***************************************************
FLINE_DATA: ;DU LIEU HIEN THI DONG 1
DB ' WELLCOME TO MICROCONTROLLER SYSTEM - 51'
SLINE_DATA: ;DU LIEU HIEN THI DONG 2
DB 'DESIGNED BY: PQT'
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)
E. H THNG IU KHIN LCD.
Bi 3: Chng trnh iu khin LCD hin th hai dng ch PULSE = v DESIGNED BY PHAM QUANG
TRI ELECTRIC TRAINING CENTER - HO CHI MINH UNIVERSITY OF INDUSTRY trn hai
dng ca mn hnh LCD vi yu cu: s lng xung m c (00 99) ti chn P3.0 s c hin th
trn dng th nht tip pha sau dng ch PULSE =, dng ch th hai s dch chuyn t phi sang
tri. Xung c to ra bng cch nhn nt nhn KEY0.
Gio trnh thc hnh vi x l. 182 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 3 ni J63 (PORT0 / DATA) khi vi iu khin vi J47 (CTRL LCD) khi LCD (ch
l ta ch ni 3 bit thp ca J63 v J47 vi nhau).
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J46 (DATA / CODE LCD) khi LCD.
Dng dy bus 8 ni J66 (PORT3 / FUNCTION) khi vi iu khin vi J76 (MOMENTARY SW) khi
nt nhn.
Dng dy hai bus 3 ni J104 (POWER) khi LCD v J114 (POWER) khi nt nhn vi ngun +5V
khi ngun.
M
O
M
E
N
T
A
R
Y
S
W
P
O
W
E
R
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN LCD HIEN THI DONG CHU 1 HIEN THI XUNG, DONG CHU 2 DICH CHUYEN
;***************************************************
;RS -> P0.0, RW -> P0.1, E -> P0.2
;DATA BUS -> PORT 1 OMENTARY SW -> PORT 3 (P3.0 -> ACT = 0)
;***************************************************
EN BIT P0.2
RW BIT P0.1
RS BIT P0.0
DATABUS EQU P1
;***************************************************
ORG 00H
MAIN:
ACALL LCDINIT ;KHOI TAO LCD
MOV R7,#0 ;SO XUNG BAN DAU
MOV DPTR,#FLINE_DATA ;NAP DIA CHI VUNG DU LIEU DONG 1 CUA LCD
ACALL DISP_FLINE ;HIEN THI DONG 1
MP1:
MOV DPTR,#SLINE_DATA ;NAP DIA CHI VUNG DU LIEU DONG 2 CUA LCD
SHIFT:
ACALL DISP_SLINE ;HIEN THI DONG 2
ACALL DISP_PULSE ;HIEN THI SO XUNG
MOV R1,#100 ;DELAY 500MS
JB P3.0,DEL500 ;TIEP TUC CHUONG TRINH KHI KHONG NHAN NUT NHAN
MOV A,R7 ;TANG GIA TRI KHI CO NHAN NUT NHAN (P3.0 = 0)
ADD A,#1
DA A
MOV R7,A
DEL500:
MOV TH0,#HIGH(-5000)
MOV TL0,#LOW(-5000)
ACALL DELAY
E. H THNG IU KHIN LCD.
Bi 3: Chng trnh iu khin LCD hin th hai dng ch PULSE = v DESIGNED BY PHAM QUANG
TRI ELECTRIC TRAINING CENTER - HO CHI MINH UNIVERSITY OF INDUSTRY trn hai
dng ca mn hnh LCD vi yu cu: s lng xung m c (00 99) ti chn P3.0 s c hin th
trn dng th nht tip pha sau dng ch PULSE =, dng ch th hai s dch chuyn t phi sang
tri. Xung c to ra bng cch nhn nt nhn KEY0.
Gio trnh thc hnh vi x l. 183 Bin son: Phm Quang Tr
DJNZ R1,DEL500 ;THOI GIAN DUNG YEN CUA MOT TRANG THAI MAN HINH LCD
INC DPTR ;TANG GIA TRI DPTR DE DICH CHUYEN DONG CHU
MOV A,DPL ;KIEM TRA DA DICH XONG DONG CHU RA MAN HINH LCD
CJNE A,#LOW(SLINE_DATA+91),SHIFT
MOV A,DPH
CJNE A,#HIGH(SLINE_DATA+91),SHIFT
SJMP MP1
;***************************************************
LCDINIT: ;CTC KHOI TAO LCD
CLR RS ;RS = 0 - GUI LENH
CLR RW ;RW = 0 - WRITE LCD MODE
SETB EN ;E = 1 - ENABLE
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV TH0,#HIGH(-4100)
MOV TL0,#LOW(-4100)
ACALL DELAY ;DELAY 4.1MS
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV TH0,#HIGH(-100)
MOV TL0,#LOW(-100)
ACALL DELAY ;DELAY 100US
MOV DATABUS,#38H ;CODE = 38H - 8 BIT, 16 CHAR/LINE, MATRIX 5x7
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#0CH ;CODE = C0H - CHO PHEP LCD HIEN THI
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#01H ;CODE = 01H - XOA LCD
ACALL SENDCOMMAND ;GUI LENH RA LCD
MOV DATABUS,#80H ;CODE = 80H - DAT DDRAM DIA CHI BAT DAU CUA DONG 1 - 00H
ACALL SENDCOMMAND ;GUI LENH RA LCD (VI DONG 1 DUNG YEN TRONG KHI DONG 2 CHAY)
RET
;***************************************************
SENDCOMMAND: ;CTC GUI LENH (SENDCOMMAND) VA GUI DU LIEU (SENDDATA) RA LCD
CLR RS ;RS = 0 - GUI LENH
SJMP PULSE_EN
SENDDATA:
SETB RS ;RS = 1 - GUI DU LIEU
NOP
PULSE_EN: ;TAO XUNG ENABLE DE CHUYEN THONG TIN (COMMAND/DATA) VAO LCD
CLR RW ;RW = 0 - WRITE LCD MODE
CLR EN ;EN = 0
NOP
SETB EN ;EN = 1 - XUNG ENABLE
NOP
;KIEM TRA CO BAO BAN (BUSY FLAG) DE DAM BAO HOAN TAT VIEC LCD GHI NHAN THONG TIN GUI DEN
;*************************************************************
;MOV TH0,#HIGH(-1000) ;LENH NAY DUOC THAY THE CHO DOAN MA KIEM TRA DUOI DAY KHI
;MOV TL0,#LOW(-1000) ;CHAY CHUONG TRINH NAY TRONG PHAN MEM MO PHONG TOPVIEW
;ACALL DELAY
;*************************************************************
MOV DATABUS,#0FFH ;CAU HINH PORT 1 CHO CHE DO PORT NHAP
SETB RW ;RW = 1 - READ LCD MODE
CLR RS ;RS = 0 - GUI LENH
CLR EN ;EN = 0
NOP
SETB EN ;EN = 1 - XUNG ENABLE
NOP
BUSY: ;BUSY = 0 -> HOAN TAT, BUSY = 1 -> DANG THUC HIEN
MOV A,DATABUS ;DOC DU LIEU TU LCD
JB ACC.7,BUSY ;KIEM TRA CO BUSY
BUSY2: ;KIEM TRA CO BAO BAN (BUSY FLAG) LAN THU HAI
MOV A,DATABUS ;DOC DU LIEU TU LCD
JB ACC.7,BUSY2 ;KIEM TRA CO BUSY LAN 2
CLR RW ;RW = 0 - WRITE LCD MODE (THOAT READ MODE, TRO VE WRITE MODE)
;*************************************************************
E. H THNG IU KHIN LCD.
Bi 3: Chng trnh iu khin LCD hin th hai dng ch PULSE = v DESIGNED BY PHAM QUANG
TRI ELECTRIC TRAINING CENTER - HO CHI MINH UNIVERSITY OF INDUSTRY trn hai
dng ca mn hnh LCD vi yu cu: s lng xung m c (00 99) ti chn P3.0 s c hin th
trn dng th nht tip pha sau dng ch PULSE =, dng ch th hai s dch chuyn t phi sang
tri. Xung c to ra bng cch nhn nt nhn KEY0.
Gio trnh thc hnh vi x l. 184 Bin son: Phm Quang Tr
RET
;***************************************************
DISP_FLINE: ;CTC DAT DIA CHI BAT DAU DONG 1 VA NAP DU LIEU DONG 1 VAO DDRAM
MOV DATABUS,#80H ;CODE = 80H - DAT DDRAM DIA CHI BAT DAU CUA DONG 1 - 00H
ACALL SENDCOMMAND ;GUI LENH RA LCD
ACALL WRITE ;GUI VUNG DU LIEU SANG LCD
RET
;***************************************************
DISP_SLINE: ;CTC DAT DIA CHI BAT DAU DONG 2 VA NAP DU LIEU DONG 2 VAO DDRAM
MOV DATABUS,#0C0H ;CODE = C0H - DAT DDRAM DIA CHI BAT DAU CUA DONG 2 - 40H
ACALL SENDCOMMAND ;GUI LENH RA LCD
ACALL WRITE ;GUI VUNG DU LIEU SANG LCD
RET
;***************************************************
DISP_PULSE: ;CTC HIEN THI SO XUNG TAI CHAN P3.0
MOV DATABUS,#88H ;CODE = 80H - DAT DDRAM DIA CHI HIEN THI SO XUNG - 08H
ACALL SENDCOMMAND ;GUI LENH RA LCD
ACALL BCDTOASCII ;GOI CHUONG TRING GIAI MA BCD SANG ASCII
MOV DATABUS,7FH ;CHUYEN DU LIEU CAN GUI RA PORT DEN LCD (HANG CHUC)
ACALL SENDDATA
MOV DATABUS,7EH ;CHUYEN DU LIEU CAN GUI RA PORT DEN LCD (HANG DON VI)
ACALL SENDDATA
RET
;***************************************************
BCDTOASCII: ;CTC CHUYEN DOI TU SO BCD CHUA TRONG R7 (00 - 99) SANG MA ASCII (2 MA)
MOV A,R7 ;LAY GIA TRI BCD (R7) CAN CHUYEN DOI
ANL A,#0FH ;GIU LAI 4 BIT THAP (HANG DON VI)
ADD A,#30H ;DOI SANG ASCII (ASCII = BCD + 30H)
MOV 7EH,A ;CAT SO ASCII VAO VUNG NHO HANG DON VI
MOV A,R7 ;LAY GIA TRI BCD (R7) CAN CHUYEN DOI
ANL A,#0F0H ;GIU LAI 4 BIT CAO (HANG CHUC)
SWAP A
ADD A,#30H ;DOI SANG ASCII (ASCII = BCD + 30H)
MOV 7FH,A ;CAT SO ASCII VAO VUNG NHO HANG CHUC
RET
;***************************************************
WRITE: ;CTC GUI DU LIEU SANG LCD, KET THUC GUI DU LIEU KHI DU LIEU GUI DI LA 99H
MOV R0,#0 ;OFFSET DAU TIEN TRONG VUNG DU LIEU CUA DPTR
WR1:
MOV A,R0 ;NAP OFFSET
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG DU LIEU
MOV DATABUS,A ;CHUYEN DU LIEU CAN GUI RA PORT DEN LCD
ACALL SENDDATA ;GUI DU LIEU RA LCD
INC R0 ;CHUYEN SANG DU LIEU KE TIEP
CJNE R0,#16,WR1 ;KIEM TRA NAP DAY DU DU LIEU CHO MOT DONG MAN HINH - 16 CHU
RET
;***************************************************
DELAY: ;CTC DELAY CO KET HOP KIEM TRA NUT NHAN P3.0
MOV TMOD,#01H
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
RET
;***************************************************
FLINE_DATA: ;DU LIEU HIEN THI DONG 1
DB 'PULSE = '
SLINE_DATA: ;DU LIEU HIEN THI DONG 2
DB ' '
DB 'DESIGNED BY PHAM QUANG TRI'
DB ' - ELECTRIC TRAINING CENTER - '
DB 'HO CHI MINH UNIVERSITY OF INDUSTRY'
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
E. H THNG IU KHIN LCD.
Bi 3: Chng trnh iu khin LCD hin th hai dng ch PULSE = v DESIGNED BY PHAM QUANG
TRI ELECTRIC TRAINING CENTER - HO CHI MINH UNIVERSITY OF INDUSTRY trn hai
dng ca mn hnh LCD vi yu cu: s lng xung m c (00 99) ti chn P3.0 s c hin th
trn dng th nht tip pha sau dng ch PULSE =, dng ch th hai s dch chuyn t phi sang
tri. Xung c to ra bng cch nhn nt nhn KEY0.
Gio trnh thc hnh vi x l. 185 Bin son: Phm Quang Tr
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin LCD hin th hai dng ch TRUNG TAM v TNTH DIEN
TU ng yn trn hai dng ca mn hnh LCD.
Bi 2: Hy vit chng trnh iu khin LCD hin th hai dng ch TRUNG TAM THI NGHIEM THUC
HANH DIEN TU BO MON DIEN TU CONG NGHIEP v DH CONG NGHIEP trn hai dng ca
mn hnh LCD vi yu cu: dng ch th nht dch chuyn lin tc t phi sang tri, dng ch th hai
ng yn.
Bi 3: Hy vit chng trnh iu khin LCD hin th hai dng ch TRUONG DAI HOC CONG
NGHIEP THANH PHO HO CHI MINH v TRUNG TAM THI NGHIEM THUC HANH DIEN TU
BO MON DIEN TU CONG NGHIEP trn hai dng ca mn hnh LCD vi yu cu: hai dng ch ng
thi dch chuyn lin tc t phi sang tri.
Bi 4: Hy vit chng trnh iu khin LCD hin th hai dng ch HO CHI MINH UNIVERSITY OF
INDUSTRY ELECTRONIC TRAINNING CENTER v IN 1 = IN 2 = trn hai dng ca mn
hnh LCD vi yu cu: dng ch th nht s dch chuyn t phi sang tri, s lng xung m c ti
chn P3.0 (IN 1) v chn P3.1 (IN 2) s c hin th trn dng th nht tip pha sau dng ch UP =
DOWN= . Xung c to ra bng cch nhn nt nhn KEY0.
Bi 6: Sinh vin t mnh suy ngh v pht trin thm chng trnh.





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










F. H THNG IU KHIN NT NHN.
Gio trnh thc hnh vi x l. 187 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin cc thit b ngoi vi bng nt nhn.
Trnh by mt s ng dng iu khin cc thit b ngoi vi c s dng nt nhn.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin nt nhn.
Nm c s v nguyn l hot ng ca khi nt nhn trn m hnh th nghim.
Bit cch vit cc chng trnh ng dng c s dng nt nhn iu khin cc thit b ngoi vi khc
nhau.



F. H THNG IU KHIN NT NHN.
Bi 1: Chng trnh iu khin nt nhn, khi ta nhn nt no trong 8 nt th LED tng ng s sng ln v
ngc li (MOMENTARY SW c ni vi Port0, LED c ni vi Port1 (c s dng b m o)).
Gio trnh thc hnh vi x l. 188 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J76 (MOMENTARY SW) khi nt
nhn.
Dng dy bus 3 ni J103 (POWER) khi dy LED v J114 (POWER) khi nt nhn vi ngun +5V
khi ngun.
M
O
M
E
N
T
A
R
Y
S
W
P
O
W
E
R
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN NUT NHAN LAM 8 LED HOAT DONG
;***************************************************
;KET NOI: 8 LED -> PORT1 MOMENTARY SW -> PORT0 (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;TAT CAC LED
KEY0: ;KEY0
JNB P0.0,KEY0OK ;KIEM TRA NUT KEY0 - Y: KEY0 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.0 ;KEY0 NHA -> LED TAT
SJMP KEY1 ;KIEM TRA NUT KE TIEP
KEY0OK:
SETB P1.0 ;KEY0 NHAN -> LED SANG
KEY1: ;KEY1
JNB P0.1,KEY1OK ;KIEM TRA NUT KEY1 - Y: KEY1 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.1 ;KEY1 NHA -> LED TAT
SJMP KEY2 ;KIEM TRA NUT KE TIEP
KEY1OK:
SETB P1.1 ;KEY1 NHAN -> LED SANG
KEY2: ;KEY2
JNB P0.2,KEY2OK ;KIEM TRA NUT KEY2 - Y: KEY2 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.2 ;KEY2 NHA -> LED TAT
SJMP KEY3 ;KIEM TRA NUT KE TIEP
KEY2OK:
SETB P1.2 ;KEY2 NHAN -> LED SANG
KEY3: ;KEY3
JNB P0.3,KEY3OK ;KIEM TRA NUT KEY3 - Y: KEY3 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.3 ;KEY3 NHA -> LED TAT
SJMP KEY4 ;KIEM TRA NUT KE TIEP
KEY3OK:
SETB P1.3 ;KEY3 NHAN -> LED SANG
KEY4: ;KEY4
JNB P0.4,KEY4OK ;KIEM TRA NUT KEY4 - Y: KEY4 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.4 ;KEY4 NHA -> LED TAT
SJMP KEY5 ;KIEM TRA NUT KE TIEP
KEY4OK:
SETB P1.4 ;KEY4 NHAN -> LED SANG
KEY5: ;KEY5
F. H THNG IU KHIN NT NHN.
Bi 1: Chng trnh iu khin nt nhn, khi ta nhn nt no trong 8 nt th LED tng ng s sng ln v
ngc li (MOMENTARY SW c ni vi Port0, LED c ni vi Port1 (c s dng b m o)).
Gio trnh thc hnh vi x l. 189 Bin son: Phm Quang Tr
JNB P0.5,KEY5OK ;KIEM TRA NUT KEY5 - Y: KEY5 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.5 ;KEY5 NHA -> LED TAT
SJMP KEY6 ;KIEM TRA NUT KE TIEP
KEY5OK:
SETB P1.5 ;KEY5 NHAN -> LED SANG
KEY6: ;KEY6
JNB P0.6,KEY6OK ;KIEM TRA NUT KEY6 - Y: KEY6 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.6 ;KEY6 NHA -> LED TAT
SJMP KEY7 ;KIEM TRA NUT KE TIEP
KEY6OK:
SETB P1.6 ;KEY6 NHAN -> LED SANG
KEY7: ;KEY7
JNB P0.7,KEY7OK ;KIEM TRA NUT KEY7 - Y: KEY7 NHAN -> XU LY NEU NUT DUOC NHAN
CLR P1.7 ;KEY7 NHA -> LED TAT
SJMP KEY0 ;KIEM TRA NUT KE TIEP
KEY7OK:
SETB P1.7 ;KEY7 NHAN -> LED SANG
SJMP KEY0
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)

F. H THNG IU KHIN NT NHN.
Bi 2: Chng trnh iu khin nt nhn, khi ta nhn nt KEY0 th 8 LED s chp tt vi tn s 5 Hz v
ngc li khi ta nh nt KEY0 th 8 LED s chp tt vi tn s 20 Hz (MOMENTARY SW c ni vi
Port0, LED c ni vi Port1 (c s dng b m o)).
Gio trnh thc hnh vi x l. 190 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN NUT NHAN LAM 8 LED HOAT DONG
;***************************************************
;KET NOI: 8 LED -> PORT1 MOMENTARY SW -> PORT0 (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV A,#00H
MP1:
JNB P0.0,NHAN ;KIEM TRA NUT NHAN - Y: NUT DUOC NHAN
;NUT DUOC NHA -> F = 20 Hz
ACALL DELAY50MS
NHAN: ;NUT DUOC NHAN -> F = 5 Hz
ACALL DELAY200MS
SJMP MP1
;***************************************************
DELAY50MS: ;CTC DELAY 500MS (F = 20 Hz)
PUSH 00H
CPL A ;CHOP TAT PORT 1
MOV P1,A
MOV R0,#50
MOV TMOD,#01H
DEL50:
MOV TH0,#HIGH(-1000)
MOV TL0,#LOW(-1000)
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,DEL50
POP 00H
RET
;***************************************************
DELAY200MS: ;CTC DELAY 200MS (F = 5 Hz)
PUSH 00H
CPL A ;CHOP TAT PORT 1
MOV P1,A
MOV R0,#100
MOV TMOD,#01H
DEL5:
MOV TH0,#HIGH(-2000)
MOV TL0,#LOW(-2000)
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,DEL5
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin nt nhn v biu din cc kiu hin th trn 8 LED. T la chn
phng php kt ni cc nt nhn v LED.
Nhn nt KEY0: 8 LED sng tt lin tc.
Nhn nt KEY1: 8 LED sng dn v tt ht lin tc.
Nhn nt KEY2: 8 LED m ln nh phn 8 bit lin tc.
Nhn nt KEY3: 8 LED sng ui lin tc.
F. H THNG IU KHIN NT NHN.
Bi 2: Chng trnh iu khin nt nhn, khi ta nhn nt KEY0 th 8 LED s chp tt vi tn s 5 Hz v
ngc li khi ta nh nt KEY0 th 8 LED s chp tt vi tn s 20 Hz (MOMENTARY SW c ni vi
Port0, LED c ni vi Port1 (c s dng b m o)).
Gio trnh thc hnh vi x l. 191 Bin son: Phm Quang Tr
Nhn nt KEY4: 8 LED sng dn lin tc.
Nhn nt KEY5: 8 LED tt ht (khng hot ng).
Bi 2: Hy vit chng trnh iu khin khi nhn nt nhn no th s th t ca nt nhn hin th ln
LED 7 on (LED0). T la chn phng php kt ni cc nt nhn v LED 7 on.
Bi 3: Hy vit chng trnh iu khin khi nhn nt nhn no th s th t ca nt nhn hin th ln
LED ma trn. T la chn phng php kt ni cc nt nhn v LED ma trn.
Bi 4: Hy vit chng trnh iu khin khi nhn nt nhn KEY1 th RELAY1 ng, khi nhn nt nhn
KEY2 th RELAY2 ng v ngc li khi khng nhn nt nhn th cc relay s ngt. T la chn phng
php kt ni cc nt nhn v relay.
Bi 5: Hy vit chng trnh iu khin khi nhn nt nhn KEY0 th LED 7 on (LED1) s bt u m
BCD t 9 xung 0. Khi gi tr ca LED 7 on ny gim xung ti gi tr 0 th RELAY1 s ng li. Sau
khi nhn nt nhn KEY1 th LED 7 on tt v RELAY1 ngt. T la chn phng php kt ni cc
nt nhn, LED 7 on v relay.
Bi 6: Hy vit chng trnh iu khin hin th s m BCD t 00 ln 59 trn hai LED 7 on (LED1 v
LED2). Khi nhn nt nhn KEY0 th qa trnh m dng li v gi tr trn hai LED 7 on bt u sng tt
lin tc. Sau , khi nhn nt KEY1 th gi tr trn hai LED 7 on tng dn ln theo s ln nhn nt, khi
nhn nt KEY2 th gi tr trn hai LED 7 on gim dn ln theo s ln nhn nt, khi nhn nt KEY0 th
qu trnh m li tip tc. T la chn phng php kt ni cc nt nhn v LED 7 on.
Bi 7: Hy vit chng trnh iu khin hin th ng h s trn su LED 7 on (LED3 LED7) c th
iu chnh dc thi gian bng cc nt nhn:
Nt KEY0: dng chn la tnh nng iu chnh (Gi / Pht / Giy) hoc dng
thot khi qu trnh iu chnh thi gian.
Nt KEY1: dng tng gi tr thi gian.
Nt KEY2: dng gim gi tr thi gian.
Trong qu trnh iu chnh thi gian th ng h dng hot ng v khi chn la tnh nng iu
chnh no (Gi / Pht / Giy) th cp LED 7 on tng ng s sng tt lin tc. T la chn
phng php kt ni cc nt nhn v LED 7 on.
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.






TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










G. H THNG IU KHIN CNG TC.
Gio trnh thc hnh vi x l. 193 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin cc thit b ngoi vi bng cng tc.
Trnh by mt s ng dng iu khin cc thit b ngoi vi c s dng cng tc.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin cng tc.
Nm c s v nguyn l hot ng ca khi cng tc trn m hnh th nghim.
Bit cch vit cc chng trnh ng dng c s dng cng tc iu khin cc thit b ngoi vi khc
nhau.




G. H THNG IU KHIN CNG TC.
Bi tp: Chng trnh iu khin cng tc v hin th ln tm LED mc logic hin ti (LED sng = mc cao,
LED tt = mc thp) ca tm cng tc gt (SWITCH c ni vi Port0, LED c ni vi Port1 (c s
dng b m o)).
Gio trnh thc hnh vi x l. 194 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J34 (SWITCH) khi cng tc.
Dng dy bus 3 ni J103 (POWER) khi dy LED v J106 (POWER) khi cng tc vi ngun +5V
khi ngun.
P
O
W
E
R
S
W
I
T
C
H
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN CONG TAC LAM 8 LED HOAT DONG
;***************************************************
;KET NOI: 8 LED -> PORT1 SWITCH -> PORT0
;***************************************************
ORG 00H
MAIN:
MOV P1,#00H ;TAT CAC LED
SW0: ;SW0
JB P0.0,SW0OK ;KIEM TRA CONG TAC SW0 - Y: SW0 = 1
CLR P1.0 ;SW0 NHA -> LED TAT
SJMP SW1 ;KIEM TRA CONG TAC KE TIEP
SW0OK:
SETB P1.0 ;SW0 NHAN -> LED SANG
SW1: ;SW1
JB P0.1,SW1OK ;KIEM TRA CONG TAC SW1 - Y: SW1 = 1
CLR P1.1 ;SW1 NHA -> LED TAT
SJMP SW2 ;KIEM TRA CONG TAC KE TIEP
SW1OK:
SETB P1.1 ;SW1 NHAN -> LED SANG
SW2: ;SW2
JB P0.2,SW2OK ;KIEM TRA CONG TAC SW2 - Y: SW2 = 1
CLR P1.2 ;SW2 NHA -> LED TAT
SJMP SW3 ;KIEM TRA CONG TAC KE TIEP
SW2OK:
SETB P1.2 ;SW2 NHAN -> LED SANG
SW3: ;SW3
JB P0.3,SW3OK ;KIEM TRA CONG TAC SW3 - Y: SW3 = 1
CLR P1.3 ;SW3 NHA -> LED TAT
SJMP SW4 ;KIEM TRA CONG TAC KE TIEP
SW3OK:
SETB P1.3 ;SW3 NHAN -> LED SANG
SW4: ;SW4
JB P0.4,SW4OK ;KIEM TRA CONG TAC SW4 - Y: SW4 = 1
CLR P1.4 ;SW4 NHA -> LED TAT
SJMP SW5 ;KIEM TRA CONG TAC KE TIEP
SW4OK:
SETB P1.4 ;SW4 NHAN -> LED SANG
SW5: ;SW5
G. H THNG IU KHIN CNG TC.
Bi tp: Chng trnh iu khin cng tc v hin th ln tm LED mc logic hin ti (LED sng = mc cao,
LED tt = mc thp) ca tm cng tc gt (SWITCH c ni vi Port0, LED c ni vi Port1 (c s
dng b m o)).
Gio trnh thc hnh vi x l. 195 Bin son: Phm Quang Tr
JB P0.5,SW5OK ;KIEM TRA CONG TAC SW5 - Y: SW5 = 1
CLR P1.5 ;SW5 NHA -> LED TAT
SJMP SW6 ;KIEM TRA CONG TAC KE TIEP
SW5OK:
SETB P1.5 ;SW5 NHAN -> LED SANG
SW6: ;SW6
JB P0.6,SW6OK ;KIEM TRA CONG TAC SW6 - Y: SW6 = 1
CLR P1.6 ;SW6 NHA -> LED TAT
SJMP SW7 ;KIEM TRA CONG TAC KE TIEP
SW6OK:
SETB P1.6 ;SW6 NHAN -> LED SANG
SW7: ;SW7
JB P0.7,SW7OK ;KIEM TRA CONG TAC SW7 - Y: SW7 = 1
CLR P1.7 ;SW7 NHA -> LED TAT
SJMP SW0 ;KIEM TRA CONG TAC KE TIEP
SW7OK:
SETB P1.7 ;SW7 NHAN -> LED SANG
SJMP SW0
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin hin th gi tr nh phn ca tm cng tc gt di dng s HEX
trn hai LED 7 on (LED6 v LED7).
Bi 2: Hy vit chng trnh iu khin hin th gi tr nh phn ca tm cng tc gt di dng s DEC
trn ba LED 7 on (LED5, LED6 v LED7).
Bi 3: Hy vit chng trnh iu khin cng tc v biu din cc kiu hin th trn 8 LED.
SW1 = ON (mc thp): 8 LED tt ht (khng hot ng).
SW2 = ON (mc thp): 8 LED sng tt lin tc.
SW3 = ON (mc thp): 8 LED sng dn v tt ht lin tc.
SW4 = ON (mc thp): 8 LED m ln nh phn 8 bit lin tc.
SW5 = ON (mc thp): 8 LED sng ui lin tc.
SW6 = ON (mc thp): 8 LED sng dn lin tc.
SW7 = ON (mc thp): 8 LED sng ht v tt dn lin tc.
SW8 = ON (mc thp): 8 LED m xung nh phn 8 bit lin tc.
Bi 4: Hy vit chng trnh iu khin cng tc ng ngt RELAY1. RELAY1 s ng khi cc cng
tc c gt ng v tr chn trc (SW1, 3, 5, 7 = ON v SW2, 4, 6, 8 = OFF) v nhn nt nhn KEY0.
Ngc li th RELAY1 s ngt. Khi RELAY1 ng th trn LED ma trn hin th ch Y, cn khi RELAY1
ngt th LED ma trn hin th ch N.
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.




TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










H. H THNG IU KHIN RELAY.
Gio trnh thc hnh vi x l. 197 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
iu khin cc thit b ngoi vi cng sut ln thng qua vic iu khin cc relay.
Trnh by mt s ng dng iu khin ng ngt cc thit b ngoi vi cng sut ln bng cch s dng
relay.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin ng ngt relay.
Nm c s v nguyn l hot ng ca khi relay trn m hnh th nghim.
Bit cch vit cc chng trnh ng dng c s dng cc relay iu khin cc thit b ngoi vi khc
nhau.



H. H THNG IU KHIN RELAY.
Bi tp: Chng trnh iu khin RELAY1 v RELAY2 ng ngt tun t v lin tc. Thi gian gia hai ln
ng ngt l 1s (RELAY1 v RELAY2 c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 198 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 2 ni J64 (PORT1) khi vi iu khin vi J18 (RELAY CONTROL) khi relay (ch
l ta ch ni 2 bit thp ca J64 vi J18).
Dng dy bus 3 ni J111 (POWER) khi relay vi ngun +5V khi ngun.
R
E
L
A
Y
C
O
N
T
R
O
L
P
O
W
E
R
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN 2 RELAY DONG NGAT
;***************************************************
;KET NOI: RELAY CONTROL -> PORT1
;***************************************************
ORG 00H
CHOPTAT:
MOV P1,#00000001B ;RELAY1 DONG, RELAY2 NGAT
LCALL DELAY1S
MOV P1,#00000010B ;RELAY2 DONG, RELAY1 NGAT
LCALL DELAY1S
SJMP CHOPTAT ;QUAY LAI
;****************************************************
DELAY1S: ;CHUONG TRINH CON TAO THOI GIAN TRE 1S
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#HIGH(-10000)
MOV TL0,#LOW(-10000)
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
H. H THNG IU KHIN RELAY.
Bi tp: Chng trnh iu khin RELAY1 v RELAY2 ng ngt tun t v lin tc. Thi gian gia hai ln
ng ngt l 1s (RELAY1 v RELAY2 c ni vi P1.0 v P1.1).
Gio trnh thc hnh vi x l. 199 Bin son: Phm Quang Tr
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin RELAY2 ng ngt lin tc. Thi gian gia hai ln ng ngt l
3s. T la chn phng php kt ni relay.
Bi 2: Hy vit chng trnh iu khin RELAY1 ng ngt lin tc sau mi 1 giy ng thi vi
RELAY2 ng ngt sau mi 2 giy. T la chn phng php kt ni relay.
Bi 3: Hy vit chng trnh iu khin sao cho khi ta nhn:
Nhn nt nhn KEY1 th LED 7 on hin th s 1 v RELAY1 ng.
Nhn nt nhn KEY2 th LED 7 on hin th s 1 v RELAY2 ng.
Nhn nt nhn KEY0 th LED 7 on hin th s 0 v c hai relay u ngt.
T la chn phng php kt ni relay, nt nhn v LED 7 on.
Bi 4: Hy vit chng trnh iu khin sao cho khi ta nhn:
Nhn phm s 1 th LED ma trn hin th s 1 v RELAY1 ng.
Nhn phm s 2 th LED ma trn hin th s 1 v RELAY2 ng.
Nhn phm ESC th LED ma trn hin th s 0 v c hai relay u ngt.
T la chn phng php kt ni relay, bn phm v LED ma trn.
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.




TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










I. H THNG IU KHIN MOTOR BC.
Gio trnh thc hnh vi x l. 201 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Tm hiu nguyn l hot ng ca cc loi ng c bc cng sut nh.
Tm hiu nguyn l iu khin ng c bc bng vi iu khin v ng dng lp trnh iu khin hot
ng cho cc ng c bc ny.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin ng c bc quay thun/ngc, quaylin tc/tng bc, .
Nm c s v nguyn l hot ng ca khi ng c bc trn m hnh th nghim.
Nm c nguyn l hot ng v nguyn l iu khin ng c bc.
Bit cch vit cc chng trnh ng dng iu khin ng c bc hot ng theo cc ch khc nhau.



I. H THNG IU KHIN MOTOR BC.
Bi 1: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h (CTRL MOTOR c ni vi Port0).
Gio trnh thc hnh vi x l. 202 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J31 (CONTROL MOTOR) khi
motor bc.
Dng dy bus 4 ni J30 (MOTOR 1) khi motor bc vi cc dy iu khin ca motor bc (s dng
loi motor bc c 5 dy (4 iu khin +1 ngun) hoc loi 6 dy (2 iu khin + 1 ngun v 2 iu khin
+ 1 ngun).
Dng dy bus 3 ni J105 (POWER) khi motor bc vi ngun +5V khi ngun.
Dng dy bus 1 ni cc dy ngun ca motor bc vi ngun +5V khi ngun.

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN DONG CO BUOC (MOTOR 1) QUAY LIEN TUC
;***************************************************
;KET NOI: MOTOR CONTROL -> PORT0 (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV P0,#00000001B ;XUAT PORT DIEU KHIEN MOTOR - BUOC 1
ACALL DELAY
MOV P0,#00000010B ;XUAT PORT DIEU KHIEN MOTOR - BUOC 2
ACALL DELAY
MOV P0,#00000100B ;XUAT PORT DIEU KHIEN MOTOR - BUOC 3
ACALL DELAY
MOV P0,#00001000B ;XUAT PORT DIEU KHIEN MOTOR - BUOC 4
ACALL DELAY
SJMP MAIN ;QUAY LAI
;****************************************************
DELAY: ;CHUONG TRINH CON TAO THOI GIAN TRE 1S
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#HIGH(-10000)
MOV TL0,#LOW(-10000)
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
I. H THNG IU KHIN MOTOR BC.
Bi 1: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h (CTRL MOTOR c ni vi Port0).
Gio trnh thc hnh vi x l. 203 Bin son: Phm Quang Tr
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)


I. H THNG IU KHIN MOTOR BC.
Bi 2: Chng trnh iu khin STEPPER1 quay cng chiu kim ng h mt vng ri dng li (CTRL
MOTOR c ni vi Port0).
Gio trnh thc hnh vi x l. 204 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN DONG CO BUOC (MOTOR 1) QUAY 1 VONG
;***************************************************
;KET NOI: MOTOR CONTROL -> PORT0 (ACT = 0), 1.8 DEG/STEP (200 STEP/ROUND)
;***************************************************
ORG 00H
MAIN:
MOV P0,#00H ;DUA MOTOR VE TRANG THAI TINH
MOV R0,#0 ;BIEN DEM SO BUOC
MOV A,#00000001B ;TRANG THAI BAN DAU (BUOC 1)
STEPNEXT:
MOV P0,A ;XUAT XUNG DIEU KHIEN MOTOR
ACALL DELAY
RL A ;CHUYEN DICH SANG TRANG THAI XUNG DIEU KHIEN KE TIEP
INC R0 ;DEM SO BUOC QUAY
CJNE A,#00010000B,NEXT ;KIEM TRA TRANG THAI XUNG DIEU KHIEN (4 BUOC ?) - Y: CHUA DU
MOV A,#00000001B ;DUA XUNG DIEU KHIEN VE TRANG THAI BAN DAU (BUOC 1)
NEXT:
CJNE R0,#200,STEPNEXT ;KIEM TRA MOTOR DA QUAY DU 1 VONG - 200 BUOC
SJMP $ ;DUNG KHI DA DU 1 VONG
;****************************************************
DELAY: ;CHUONG TRINH CON TAO THOI GIAN TRE 1S
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#HIGH(-10000)
MOV TL0,#LOW(-10000)
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)


I. H THNG IU KHIN MOTOR BC.
Bi 3: Chng trnh iu khin STEPPER1 quay bng cch nhn nt KEY0: quay thun, KEY1: quay ngc,
KEY2: dng (CTRL MOTOR c ni vi Port0, MOMENTARY SW: c ni vi Port1).
Gio trnh thc hnh vi x l. 205 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J31 (CONTROL MOTOR) khi
motor bc.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J76 (MOMENTARY SW) khi nt nhn.
Dng dy bus 4 ni J30 (MOTOR 1) khi motor bc vi cc dy iu khin ca motor bc (s dng
loi motor bc c 5 dy (4 iu khin +1 ngun) hoc loi 6 dy (2 iu khin + 1 ngun v 2 iu khin
+ 1 ngun).
Dng hai dy bus 3 ni J105 (POWER) khi motor bc v J114 (POWER) khi nt nhn vi ngun
+5V khi ngun.
Dng dy bus 1 ni cc dy ngun ca motor bc vi ngun +5V khi ngun.

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN DONG CO BUOC (MOTOR 1) QUAY THUAN/NGHICH KHI NHAN NUT NHAN
;***************************************************
;KET NOI: MOTOR CONTROL -> PORT0 (ACT = 0) MOMENTARY SW -> PORT1 (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV A,#01H ;XUNG KHIEN BUOC 1
MOV P0,A ;XUAT XUNG KHIEN
MOV R0,#0 ;BIEN NHAN GIA TRI CUA NUT DUOC NHAN (KEY0 = 0)
TESTKEY:
JB P1.0,NEXTKEY1 ;Y: KEY0 KHONG DUOC NHAN
MOV R0,#0 ;NAP GIA TRI CUA NUT -> KEY0 NHAN
NEXTKEY1:
JB P1.1,NEXTKEY2 ;Y: KEY1 KHONG DUOC NHAN
MOV R0,#1 ;NAP GIA TRI CUA NUT -> KEY1 NHAN
NEXTKEY2:
JB P1.2,COMPARE ;Y: KEY2 KHONG DUOC NHAN
MOV R0,#2 ;NAP GIA TRI CUA NUT -> KEY2 NHAN
COMPARE:
CJNE R0,#1,NEXT_COM1 ;Y: NUT NHAN KHONG PHAI LA KEY1
RL A ;NUT NHAN LA KEY1 -> QUAY THUAN 1 BUOC
ACALL ROTATE
NEXT_COM1:
CJNE R0,#2,NEXT_COM2 ;Y: NUT NHAN KHONG PHAI LA KEY2
RR A ;NUT NHAN LA KEY2 -> QUAY NGHICH 1 BUOC
ACALL ROTATE
I. H THNG IU KHIN MOTOR BC.
Bi 3: Chng trnh iu khin STEPPER1 quay bng cch nhn nt KEY0: quay thun, KEY1: quay ngc,
KEY2: dng (CTRL MOTOR c ni vi Port0, MOMENTARY SW: c ni vi Port1).
Gio trnh thc hnh vi x l. 206 Bin son: Phm Quang Tr
NEXT_COM2:
SJMP TESTKEY ;QUAY TRO VE
;****************************************************
ROTATE: ;CTC DIEU KHIEN QUAY MOTOR
CJNE A,#10H,BIT4_BIT0 ;KIEM TRA TRANG THAI XUNG
MOV A,#01H ;DIEU CHING TRANG THAI XUNG VE BUOC 1
BIT4_BIT0:
CJNE A,#80H,BIT7_BIT3 ;KIEM TRA TRANG THAI XUNG
MOV A,#08H ;DIEU CHING TRANG THAI XUNG VE BUOC 4
BIT7_BIT3:
MOV P0,A ;XUAT XUNG KHIEN
ACALL DELAY
RET
;****************************************************
DELAY: ;CHUONG TRINH CON TAO THOI GIAN TRE 1S
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
LOOP2:
MOV TH0,#HIGH(-10000)
MOV TL0,#LOW(-10000)
SETB TR0
JNB TF0,$
CLR TR0
CLR TF0
DJNZ R0,LOOP2
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin STEPPER2 quay ngc chiu kim ng h.
Bi 2: Hy vit chng trnh iu khin STEPPER1 v 2 quay cng chiu kim ng h.
Bi 3: Hy vit chng trnh iu khin STEPPER1 quay ngc chiu kim ng h v STEPPER2 quay
cng chiu kim ng h. T la chn phng php kt ni motor bc.
Bi 4: Hy vit chng trnh iu khin STEPPER1 hot ng khi ta nhn phm trn bn phm:
Nhn phm 1: STEPPER1 quay cng chiu kim ng h.
Nhn phm 2: STEPPER1 quay ngc chiu kim ng h.
Nhn phm ESC: STEPPER1 dng.
Bi 5: Hy vit chng trnh iu khin STEPPER1 quay cng chiu kim ng h khi ta nhn phm trn
bn phm:
Nhn phm 1: STEPPER1 tng dn tc quay.
Nhn phm 2: STEPPER1 gim dn tc quay.
Nhn phm ESC: STEPPER1 dng.
Bi 6: Hy vit chng trnh iu khin STEPPER1 hot ng khi ta nhn nut nhn:
Nhn nt KEY0: STEPPER1 quay cng chiu kim ng h.
Nhn nt KEY1: STEPPER1 quay ngc chiu kim ng h.
Nhn nt KEY2: STEPPER1 tng dn tc quay.
Nhn nt KEY3: STEPPER1 gim dn tc quay.
Nhn nt KEY4: STEPPER1 dng.
Bi 7: Hy vit chng trnh iu khin STEPPER1 quay cng chiu kim ng h, motor s quay khi ta
nhp t bn phm s bc cn quay (0 n 200) v nhn phm ENTER, motor s dng bt c lc no khi
ta nhn phm ESC. S bc motor nhp vo v s bc motor quay s c hin th ln ba LED 7 on.
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.



TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










J. H THNG IU KHIN NGT (INTERRUPT).
Gio trnh thc hnh vi x l. 208 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Thit k cc ng dng iu khin thc t c s dng ngt (Interrupt).
So snh u v nhc im ca cc chng trnh iu khin c s dng ngt v khng s dng ngt.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Tham kho trc hot ng ca ngt (Interrupt) cc ch khc nhau.
Nm c phng php lp trnh v iu khin c s dng cc ngt.



J. H THNG IU KHIN NGT (INTERRUPT).
Bi 1: Chng trnh iu khin to sng vung tun hon c tn s 10 Hz (s dng ngt Timer) ti chn P0.0
v hin th mc logic ti chn ny ln LED0 (LED0 c ni vi P0.0).
Gio trnh thc hnh vi x l. 209 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT0 / DATA) khi vi iu khin vi J12 (BAR LED 2) khi dy LED.
Dng dy bus 3 ni J103 (POWER) khi dy LED vi ngun +5V khi ngun.

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN TAO SONG VUONG TAI P0.0 CO SU DUNG NGAT TIMER0
;***************************************************
;KET NOI: 8 LED -> PORT0
;***************************************************
ORG 00H ;DIEM NHAP RESET
SJMP MAIN
ORG 0BH ;DIEM NHAP ISR TIMER0
T0ISR:
CPL P0.0 ;DAO TRANG THAI P0.0 (TAO XUNG)
RETI
ORG 30H ;DIEM NHAP CHUONG TRINH CHINH
MAIN:
MOV TMOD,#01H ;TIMER0 -> TIMER 16 BIT
MOV TH0,#(-50000) ;THOI GIAN TRE = 50 MS (THOI GIAN XUNG O MUC THAP HOAC MUC CAO)
MOV TL0,#(-50000) ;CHU KY = 2 x 50 = 100 MS -> F = 10 Hz
SETB TR0 ;CHO TIMER BAT DAU CHAY
MOV IE,#82H ;CHO PHEP NGAT TIMER0 HOAT DONG
SJMP $ ;DUNG YEN
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)
J. H THNG IU KHIN NGT (INTERRUPT).
Bi 2: Chng trnh iu khin m s xung ti chn INT0 (s dng ngt ngoi) v hin th s xung ny (ti a
l 255 ln) ln ba LED 7 on (LED7 LED4 c ni vi Port0, SEL IN1 c ni vi Port1, PULSE
c ni vi chn INT0).
Gio trnh thc hnh vi x l. 210 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 4 ni J64 (PORT1) khi vi iu khin vi J1 (SEL IN1) khi LED 7 on (ch l ta
ch ni 4 bit thp ca J64 vi J1)
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng dy bus 1 ni J33 (PULSE) khi to xung vi J66 (PORT3 / FUNCTION) khi vi iu khin
(ch l ta ch ni bit 2 (chn INT0\) ca J66 v J33 li vi nhau).
Dng dy bus 3 ni J113 (POWER) khi to xung v J110 (POWER) khi LED 7 on vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
q
P
O
W
E
R
P
U
L
S
E

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN DEM SO XUNG TAI CHAN INT0 (SU DUNG NGAT NGOAI INT0)
;***************************************************
;KET NOI: 7 SEG -> PORT0, SEL LED -> PORT1, PULSE -> INT0
;***************************************************
ORG 00H ;DIEM NHAP RESET
SJMP MAIN
ORG 03H ;DIEM NHAP ISR NGOAI 0
EX0ISR:
INC 40H ;TANG GIA TRI XUNG KICH
RETI
ORG 30H ;DIEM NHAP CHUONG TRINH CHINH
MAIN:
MOV 40H,#0 ;NAP GIA TRI XUNG KICH BAN DAU
MOV IE,#81H ;CHO PHEP NGAT NGOAI 0 HOAT DONG
SETB IT0 ;DAT CHE DO NGAT NGOAI KICH KHOI CANH
MP1:
MOV R7,40H ;SO LUONG XUNG KICH
ACALL BIN8TOBCD ;CHUYEN DOI BIN SANG BCD
ACALL BCD4TO7SEG ;CHUYEN DOI BCD SANG 7 DOAN
ACALL DISP7SEGMUL4 ;HIEN THI
SJMP MP1
;***************************************************
BIN8TOBCD: ;CTC CHUYEN DOI SO BINARY 8 BIT (<= 255) SANG SO BCD.
PUSH ACC
PUSH B
MOV B,#10
MOV A,R7
DIV AB ;LAY R7 CHIA CHO 10
PUSH B ;CAT SO HANG DON VI
MOV B,#10
DIV AB ;LAY KET QUA TREN CHIA TIEP CHO 10
MOV R7,A ;R7 = TRAM
MOV A,B ;LAY SO HANG CHUC
J. H THNG IU KHIN NGT (INTERRUPT).
Bi 2: Chng trnh iu khin m s xung ti chn INT0 (s dng ngt ngoi) v hin th s xung ny (ti a
l 255 ln) ln ba LED 7 on (LED7 LED4 c ni vi Port0, SEL IN1 c ni vi Port1, PULSE
c ni vi chn INT0).
Gio trnh thc hnh vi x l. 211 Bin son: Phm Quang Tr
SWAP A ;DUA SO HANG CHUC LEN 4 BIT CAO
POP B ;LAY SO HANG DON VI TU STACK
ORL A,B ;KET HOP SO HANG CHUC VA SO HANG DON VI
MOV R6,A ;R6 = CHUC - DON VI
POP B
POP ACC
RET
;***************************************************
BCD4TO7SEG: CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (2 SO BCD NEN).
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
;***************************************************
DISP7SEGMUL4: ;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN)
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0F7H ;MA QUET
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
J. H THNG IU KHIN NGT (INTERRUPT).
Bi 2: Chng trnh iu khin m s xung ti chn INT0 (s dng ngt ngoi) v hin th s xung ny (ti a
l 255 ln) ln ba LED 7 on (LED7 LED4 c ni vi Port0, SEL IN1 c ni vi Port1, PULSE
c ni vi chn INT0).
Gio trnh thc hnh vi x l. 212 Bin son: Phm Quang Tr
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin m s ln nhn nt nhn KEY1 (s dng ngt ngoi) v hin th
s ln nhn nt ny (ti a l 255 ln) ln ba LED 7 on (LED4, LED5 v LED6). T la chn phng
php kt ni nt nhn v LED 7 on.
Bi 2: Hy vit chng trnh iu khin m s ln nhn nt nhn KEY1 v KEY2 (s dng hai ngt
ngoi) v hin th s ln nhn nt KEY1 (ti a l 255 ln) ln ba LED 7 on (LED0, LED1 v LED2),
hin th s ln nhn nt KEY2 (ti a l 255 ln) ln ba LED 7 on (LED5, LED6 v LED7). T la chn
phng php kt ni nt nhn v LED 7 on.
Bi 3: Hy vit chng trnh iu khin to sng vung tun hon c tn s 5 Hz (s dng ngt Timer) ti
chn P1.0 v hin th mc logic ti chn ny ln LED0. T la chn phng php kt ni LED.
Bi 4: Hy vit chng trnh iu khin to sng vung tun hon c tn s 1 Hz (s dng ngt Timer) ti
chn P1.0 v hin th mc logic ti chn ny ln LED0. T la chn phng php kt ni LED.
Bi 5: Hy vit chng trnh iu khin ng thi to hai sng vung tun hon c tn s 1 Hz v 5 Hz (s
dng ngt Timer) ti chn P1.0 v P1.1, hin th mc logic ti cc chn ny ln LED0 v LED1. T la
chn phng php kt ni LED.
Bi 6: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Ch Counter:
o S dng ngt ngoi 0. Mi ln nhn nt l mt ln tc ng cho ngt.
o Chng trnh phc v ngt ngoi 0 s lm cng vic tng ni dung nh cha kt qu ln 1 n
v.
o Chng trnh chnh s lin tc ly ni dung ca nh cha kt qu i sang m BCD nn v hin
th ln LED 7 on.







TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










K. H THNG IU KHIN TIMER/COUNTER.
Gio trnh thc hnh vi x l. 214 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Tm hiu cc ch hot ng ca Timer v Counter.
Kho st nguyn l hot ng v phng php lp trnh iu khin Timer v Counter.
Thit k cc ng dng thc t c s dng cc b nh thi gian (Timer) v cc b m s kin (Counter).

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Nm vng phng php vn hnh cc Timer v Counter c trong chip vi iu khin.
Bit cch lp trnh Timer v Counter cc ch khc nhau, cch tnh ton cc khong thi gian nh thi
mong mun.
Thit k v iu khin c cc ng dng c s dng Timer v Counter nh: ng h, b m sn phm,




K. H THNG IU KHIN TIMER/COUNTER.
Bi 1: Chng trnh iu khin m lin tc s lng xung (0000 9999) c a vo chn T1 ca vi iu
khin v hin th s lng xung ny ln cc LED 7 on (PULSE c ni vi chn T1, LED7 LED4
c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 215 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 4 ni J64 (PORT1) khi vi iu khin vi J1 (SEL IN1) khi LED 7 on (ch l ta
ch ni 4 bit thp ca J64 vi J1).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng dy bus 1 ni J33 (PULSE) khi to xung vi J66 (PORT3 / FUNCTION) khi vi iu khin
(ch l ta ch ni bit 5 (chn T1) ca J66 v J33 li vi nhau).
Dng dy bus 3 ni J113 (POWER) khi to xung v J110 (POWER) khi LED 7 on vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
q
P
O
W
E
R
P
U
L
S
E

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN TIMER/COUNTER DEM (0000 - 9999) VA HIEN THI XUNG TAI CHAN T1
;***************************************************
;KET NOI: PORT0 -> 7 SEGMENT (DP -> A), PORT1 -> SELECT LED, PULSE -> T1 PIN
;***************************************************
ORG 00H
MAIN:
MOV TMOD,#50H ;COUNTER 16 BIT (MODE 1)
MOV TH1,#0 ;XOA COUNTER 1
MOV TL1,#0
SETB TR1 ;CHO PHEP COUNTER BAT DAU HOAT DONG
MP1:
MOV R7,TH1 ;CHUYEN SO XUNG DEM DUOC (TH1, TL1) RA HIEN THI
MOV R6,TL1
ACALL BIN16TOBCD ;DOI MA BIN SANG MA BCD
ACALL BCD4TO7SEG ;DOI MA BCD SANG MA 7 DOAN
ACALL DISP7SEGMUL4 ;HIEN THI GIA TRI LEN LED
SJMP MP1
;***************************************************
BIN16TOBCD: ;CTC CHUYEN DOI SO BINARY 16 BIT (<= 9999) SANG SO BCD.
PUSH ACC
PUSH B
MOV B,#10
ACALL DIV16TO8 ;LAY R7,R6 CHIA CHO 10
PUSH B ;CAT SO HANG DON VI
MOV B,#10
ACALL DIV16TO8 ;TIEP TUC CHIA CHO 10
PUSH B ;CAT SO HANG CHUC
MOV B,#10
MOV A,R6
DIV AB ;TIEP TUC CHIA CHO 10
PUSH B ;CAT SO HANG TRAM, ACC CHUA SO HANG NGAN
SWAP A ;DUA SO HANG NGAN LEN 4 BIT CAO
POP B ;LAY SO HANG TRAM TU STACK
K. H THNG IU KHIN TIMER/COUNTER.
Bi 1: Chng trnh iu khin m lin tc s lng xung (0000 9999) c a vo chn T1 ca vi iu
khin v hin th s lng xung ny ln cc LED 7 on (PULSE c ni vi chn T1, LED7 LED4
c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 216 Bin son: Phm Quang Tr
ORL A,B ;KET HOP SO HANG NGAN VA SO HANG TRAM
MOV R7,A ;R7 = NGAN - TRAM
POP ACC ;LAY SO HANG CHUC TU STACK
SWAP A ;DUA SO HANG CHUC LEN 4 BIT CAO
POP B ;LAY SO HANG DON VI TU STACK
ORL A,B ;KET HOP SO HANG CHUC VA SO HANG DON VI
MOV R6,A ;R6 = CHUC - DON VI
POP B
POP ACC
RET
;***************************************************
DIV16TO8: ;CTC CHIA SO BINARY 16 BIT CHO SO BINARY 8 BIT.
PUSH 02H ;CAT THANH GHI R2
PUSH ACC ;CAT THANH GHI A
MOV R2,#16 ;CHO PHEP DICH 16 LAN
CLR A
DIVIDE:
XCH A,R6
CLR C ;DICH BIT 7 CU R6 VAO
RLC A ;CARRY, BIT 0 CUA R6
XCH A,R6 ;BANG 0.
XCH A,R7 ;DICH BIT CARRY VAO
RLC A ;BIT 0 CUA R7
XCH A,R7
RLC A ;DICH BIT 7 CUA R7 VAO A.
CJNE A,B,NOT_EQUAL ;XEM SO BIT DA DICH >=
SJMP A_GREATER_EQ_B ;SO CHIA CHUA ?
NOT_EQUAL:
JC BELOW ;N: THUONG SO = 0 TRONG R6.
A_GREATER_EQ_B:
SUBB A,B ;Y: SO DU CAT TRONG A.
XCH A,R6
ORL A,#1 ;THUONG SO = 1
XCH A,R6 ;CAT TRONG R6
BELOW:
DJNZ R2,DIVIDE ;DICH TIEP DEN 16 LAN.
XCH A,B ;SAU 16 LAN DICH TA DUOC B = SO DU.
POP ACC
POP 02H
RET
;***************************************************
BCD4TO7SEG: ;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (2 SO BCD NEN).
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC
MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN
MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO
MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO
MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO
POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
K. H THNG IU KHIN TIMER/COUNTER.
Bi 1: Chng trnh iu khin m lin tc s lng xung (0000 9999) c a vo chn T1 ca vi iu
khin v hin th s lng xung ny ln cc LED 7 on (PULSE c ni vi chn T1, LED7 LED4
c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 217 Bin son: Phm Quang Tr
RET
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
;***************************************************
DISP7SEGMUL4: ;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN).
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0F7H ;MA QUET
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)

K. H THNG IU KHIN TIMER/COUNTER.
Bi 2: Chng trnh iu khin o tn s ca xung (0000 9999, n v l Hz) c a vo chn T1 ca vi
iu khin v hin th tn s ca xung ny ln cc LED 7 on (PULSE c ni vi chn T1, LED7
LED4 c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 218 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN TIMER/COUNTER DO TAN SO XUNG TAI CHAN T1
;***************************************************
;KET NOI: PORT0 -> 7 SEGMENT (DP -> A), PORT1 -> SELECT LED, PULSE -> T1 PIN
;***************************************************
ORG 00H ;DIEM NHAP RESET
SJMP MAIN
ORG 0BH ;DIEM NHAP ISR TIMER0
LJMP T0ISR
ORG 30H ;DIEM NHAP CHUONG TRINH CHINH
MAIN:
MOV TMOD,#51H ;TIMER1: COUNTER 16 BIT (MODE 1), TIMER0: TIMER 16 BIT (MODE 1)
MOV TH0,#HIGH(-50000) ;NAP GIA TRI THOI GIAN TRE 50 MS
MOV TL0,#LOW(-50000)
SETB TR0 ;CHO TIMER BAT DAU CHAY
MOV IE,#82H ;CHO PHEP NGAT TIMER0 HOAT DONG
MP1:
MOV 40H,#0 ;NAP GIA TRI BIEN DEM SO LAN NGAT TIMER0
MOV R7,TH1 ;CHUYEN SO XUNG DEM DUOC (TH1, TL1) RA HIEN THI
MOV R6,TL1
MOV TH1,#0 ;XOA COUNTER 1, CHUAN BI CHO LAN DEM XUNG TIEP THEO
MOV TL1,#0
SETB TR1 ;COUNTER BAT DAU DEM
ACALL BIN16TOBCD ;DOI MA BIN SANG MA BCD
ACALL BCD4TO7SEG ;DOI MA BCD SANG MA 7 DOAN
MP2:
ACALL DISP7SEGMUL4 ;HIEN THI GIA TRI LEN LED
MOV A,40H
CJNE A,#20,MP2 ;KIEM TRA DU 20 LAN NGAT = 1S
CLR TR1 ;DUNG COUNTER SAU 1S -> SO XUNG TRONG 1S = TAN SO XUNG
SJMP MP1
;***************************************************
BIN16TOBCD: ;CTC CHUYEN DOI SO BINARY 16 BIT (<= 9999) SANG SO BCD.
PUSH ACC
PUSH B
MOV B,#10
ACALL DIV16TO8 ;LAY R7,R6 CHIA CHO 10
PUSH B ;CAT SO HANG DON VI
MOV B,#10
ACALL DIV16TO8 ;TIEP TUC CHIA CHO 10
PUSH B ;CAT SO HANG CHUC
MOV B,#10
MOV A,R6
DIV AB ;TIEP TUC CHIA CHO 10
PUSH B ;CAT SO HANG TRAM, ACC CHUA SO HANG NGAN
SWAP A ;DUA SO HANG NGAN LEN 4 BIT CAO
POP B ;LAY SO HANG TRAM TU STACK
ORL A,B ;KET HOP SO HANG NGAN VA SO HANG TRAM
MOV R7,A ;R7 = NGAN - TRAM
POP ACC ;LAY SO HANG CHUC TU STACK
SWAP A ;DUA SO HANG CHUC LEN 4 BIT CAO
POP B ;LAY SO HANG DON VI TU STACK
ORL A,B ;KET HOP SO HANG CHUC VA SO HANG DON VI
MOV R6,A ;R6 = CHUC - DON VI
POP B
POP ACC
RET
;***************************************************
DIV16TO8: ;CTC CHIA SO BINARY 16 BIT CHO SO BINARY 8 BIT.
PUSH 02H ;CAT THANH GHI R2
PUSH ACC ;CAT THANH GHI A
K. H THNG IU KHIN TIMER/COUNTER.
Bi 2: Chng trnh iu khin o tn s ca xung (0000 9999, n v l Hz) c a vo chn T1 ca vi
iu khin v hin th tn s ca xung ny ln cc LED 7 on (PULSE c ni vi chn T1, LED7
LED4 c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 219 Bin son: Phm Quang Tr
MOV R2,#16 ;CHO PHEP DICH 16 LAN
CLR A
DIVIDE:
XCH A,R6
CLR C ;DICH BIT 7 CU R6 VAO
RLC A ;CARRY, BIT 0 CUA R6
XCH A,R6 ;BANG 0.
XCH A,R7 ;DICH BIT CARRY VAO
RLC A ;BIT 0 CUA R7
XCH A,R7
RLC A ;DICH BIT 7 CUA R7 VAO A.
CJNE A,B,NOT_EQUAL ;XEM SO BIT DA DICH >=
SJMP A_GREATER_EQ_B ;SO CHIA CHUA ?
NOT_EQUAL:
JC BELOW ;N: THUONG SO = 0 TRONG R6.
A_GREATER_EQ_B:
SUBB A,B ;Y: SO DU CAT TRONG A.
XCH A,R6
ORL A,#1 ;THUONG SO = 1
XCH A,R6 ;CAT TRONG R6
BELOW:
DJNZ R2,DIVIDE ;DICH TIEP DEN 16 LAN.
XCH A,B ;SAU 16 LAN DICH TA DUOC B = SO DU.
POP ACC
POP 02H
RET
;***************************************************
BCD4TO7SEG: ;CTC GIAI MA TU SO BCD NEN SANG MA 7 DOAN (2 SO BCD NEN).
PUSH DPH ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH DPL
PUSH ACC

MOV DPTR,#CODE7SEG ;DIA CHI VUNG MA 7 DOAN

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 60H,A ;CAT BCD HANG DON VI VAO O NHO

MOV A,R6 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 61H,A ;CAT BCD HANG CHUC VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0FH ;XOA 4 BIT CAO
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 62H,A ;CAT BCD HANG TRAM VAO O NHO

MOV A,R7 ;LAY SO BCD CAN GIAI MA
ANL A,#0F0H ;XOA 4 BIT THAP
SWAP A ;HOAN CHUYEN CAO - THAP
MOVC A,@A+DPTR ;LAY MA 7 DOAN TUONG UNG
MOV 63H,A ;CAT BCD HANG NGAN VAO O NHO

POP ACC ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP DPL
POP DPH
RET
CODE7SEG: ;VUNG CHUA MA 7 DOAN (0 -> 9)
DB 0C0H,0F9H,0A4H,0B0H,99H,92H,82H,0F8H,80H,90H
;***************************************************
DISP7SEGMUL4: ;CTC QUET HIEN THI LED 7 DOAN (4 LED 7 DOAN).
PUSH ACC ;CAT TAM THOI GIA TRI CAC THANH GHI
PUSH 00H
MOV A,#0F7H ;MA QUET
K. H THNG IU KHIN TIMER/COUNTER.
Bi 2: Chng trnh iu khin o tn s ca xung (0000 9999, n v l Hz) c a vo chn T1 ca vi
iu khin v hin th tn s ca xung ny ln cc LED 7 on (PULSE c ni vi chn T1, LED7
LED4 c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 220 Bin son: Phm Quang Tr
MOV R0,#63H ;DIA CHI VUNG MA HIEN THI
DISP:
MOV P0,@R0 ;XUAT MA HIEN THI
MOV P1,A ;XUAT MA QUET
LCALL DELAYLED
MOV P1,#0FFH ;CHONG LAM
DEC R0 ;LAY MA HIEN THI KE TIEP
RR A ;CHUYEN SANG LED KE TIEP
CJNE R0,#5FH,DISP ;KIEM TRA DA QUET XONG CHUA
POP 00H ;PHUC HOI GIA TRI CHO CAC THANH GHI
POP ACC
RET
;***************************************************
DELAYLED: ;CHUONG TRINH CON TAO THOI GIAN TRE 2.5MS
PUSH 00H
PUSH 01H
MOV R1,#5
DEL: MOV R0,#250
DJNZ R0,$
DJNZ R1,DEL
POP 01H
POP 00H
RET
;***************************************************
T0ISR: ;ISR TIMER0 - 50MS
CLR TR0
CLR TF0
MOV TH0,#HIGH(-50000) ;NAP GIA TRI THOI GIAN TRE 50 MS
MOV TL0,#LOW(-50000)
INC 40H ;TANG BIEN DEM SO LAN NGAT - 1 LAN = 50MS
SETB TR0
RETI
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)

K. H THNG IU KHIN TIMER/COUNTER.
Bi 3: Chng trnh iu khin o rng ca xung (n v l ms) c a vo chn INT0 ca vi iu khin
v hin th rng ca xung ny ln cc LED 7 on (PULSE c ni vi chn INT0, LED7 LED4
c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 221 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 4 ni J64 (PORT1) khi vi iu khin vi J1 (SEL IN1) khi LED 7 on (ch l ta
ch ni 4 bit thp ca J64 vi J1).
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J4 (7SEG IN MUL) khi gii m.
Dng dy bus 1 ni J33 (PULSE) khi to xung vi J66 (PORT3 / FUNCTION) khi vi iu khin
(ch l ta ch ni vo bit 2 (chn INT0) ca J66).
Dng dy bus 3 ni J113 (POWER) khi to xung v J110 (POWER) khi LED 7 on vi ngun
+5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
q
P
O
W
E
R
P
U
L
S
E

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin to xung 1 Hz (s dng Timer) ti chn P1.0 v hin th mc logic
ti chn ny ln LED. T la chn phng php kt ni LED.
Bi 2: Hy vit chng trnh iu khin to xung 3 Hz (s dng Timer) ti chn P1.0 v hin th mc logic
ti chn ny ln LED. T la chn phng php kt ni LED.
Bi 3: Hy vit chng trnh iu khin to xung 1 Hz hoc 3 Hz (s dng Timer) ti chn P1.0 ty thuc
vo ta nhn hay nh nt nhn KEY0 v hin th mc logic ti chn ny ln LED. T la chn phng php
kt ni LED v nt nhn.
Bi 4: Hy vit chng trnh iu khin m lin tc s lng xung c a vo chn T0 ca vi iu
khin v hin th s lng xung ny ln cc LED 7 on. T la chn phng php kt ni thit b to
xung v cc LED 7 on.
Bi 5: Hy vit chng trnh iu khin o tn s ca xung (n v tnh l Hz) c a vo chn T0 ca
vi iu khin v hin th gi tr tn s ln LED 7 on. T la chn phng php kt ni thit b to xung
v cc LED 7 on.
Bi 6: Hy vit chng trnh iu khin o rng xung (n v tnh l ms) c a vo chn T0 ca vi
iu khin v hin th gi tr rng xung ln LED 7 on. T la chn phng php kt ni thit b to
xung v cc LED 7 on.
Bi 7: Thc hin thay i tn s ca thit b to xung (bng cch iu chnh bin tr trn thit b) hoc thay
i ngun to xung khc vo. Thc hin li cc bi tp trn v quan st trn LED 7 on nhn xt s
thay i ca chng trnh.
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Ch Counter:
o S dng Timer1 ch 1 counter.
K. H THNG IU KHIN TIMER/COUNTER.
Bi 3: Chng trnh iu khin o rng ca xung (n v l ms) c a vo chn INT0 ca vi iu khin
v hin th rng ca xung ny ln cc LED 7 on (PULSE c ni vi chn INT0, LED7 LED4
c ni vi Port0, SEL IN1 c ni vi Port1).
Gio trnh thc hnh vi x l. 222 Bin son: Phm Quang Tr
o Khi cho Timer1 chy, c lin tc cc gi tr ca TH1 v TL1.
o i qua m BCD nn v hin th ln LED 7 on.
Ch o tn s:
o Vit chng trnh m s xung trong 1s v hin th ln LED 7 on (trong khi m th khng hin
th s m m vn hin th gi tr tn s trc ).
Ch o rng xung (ch Gate = 1):
o Vit chng trnh s dng Timer0 ch Gate = 1.






TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H








L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Gio trnh thc hnh vi x l. 224 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
ng dng port ni tip ca vi iu khin m rng port nhp v xut.
iu khin vic thu pht ni tip.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch hot ng ca port ni tip cc ch khc nhau.
Bit cch lp trnh iu khin vic xut nhp d liu thng qua port ni tip cc ch khc nhau.



L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Bi 1: Chng trnh iu khin (ng dng m rng port xut) xut lin tc cc gi tr 00H, 01H, 03H, 07H,
0FH, 1FH, 3FH, 7FH v FFH ra 8 LED thng qua port ni tip v s dng vi mch 4094, mi ln xut
cch nhau 1s.
Gio trnh thc hnh vi x l. 225 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J45 (PARALLEL OUT 2) khi thanh ghi dch vi J12 (BAR LED 2) khi dy
LED.
Dng dy bus 1 ni J39 (SERIAL IN 1) khi thanh ghi dch vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 1 (IN) ca J39 vi bit 0 (RXD) ca J66).
Dng dy bus 1 ni J39 (SERIAL IN 1) khi thanh ghi dch vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 2 (CLK) ca J39 vi bit 1 (TXD) ca J66).
Dng hai dy bus 3 ni J115 (POWER) khi thanh ghi dch v J103 (POWER) khi LED dy vi
ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
P
A
R
A
L
L
E
L
O
U
T

z
P
O
W
E
R
S
E
R
I
A
L
I
N

z
P
A
R
A
L
L
E
L
O
U
T

z
S
E
R
I
A
L
I
N

z
P
A
R
A
L
L
E
L
I
N
S
E
R
I
A
L
O
U
T

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN THU PHAT DU LIEU DANG NOI TIEP (MO RONG PORT XUAT)
;***************************************************
;KET NOI: LED -> PARALLEL OUT, RXD -> IN, TXD -> CLK
;***************************************************
ORG 00H
MAIN:
MOV SCON,#12H ;KHOI TAO SERIAL PORT (MODE0, REN = 1, TI = 1, RI = 0)
MOV DPTR,#DATABYTE ;NAP DIA CHI VUNG DU LIEU
MOV R0,#0 ;OFFSET VUNG DU LIEU
WAIT:
JNB TI,WAIT ;KIEM TRA CO TI (KIEM TRA PHAT XONG)
CLR TI ;XOA CO TI
MOV A,R0 ;LAY OFFSET
MOVC A,@A+DPTR ;LAY DU LIEU TU VUNG DU LIEU
MOV SBUF,A ;PHAT DU LIEU RA SERIAL PORT
ACALL DELAY
INC R0 ;CHUYEN SANG DU LIEU KE TIEP
CJNE R0,#9,WAIT ;KIEM TRA DA PHAT XONG HET CAC DU LIEU TRONG VUNG DU LIEU
SJMP MAIN
;***************************************************
DELAY:
PUSH 00H
MOV R0,#100
MOV TMOD,#01H
DEL:
MOV TH0,#0D8H
MOV TL0,#0F0H
SETB TR0
JNB TF0,$
CLR TR0
L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Bi 1: Chng trnh iu khin (ng dng m rng port xut) xut lin tc cc gi tr 00H, 01H, 03H, 07H,
0FH, 1FH, 3FH, 7FH v FFH ra 8 LED thng qua port ni tip v s dng vi mch 4094, mi ln xut
cch nhau 1s.
Gio trnh thc hnh vi x l. 226 Bin son: Phm Quang Tr
CLR TF0
DJNZ R0,DEL
POP 00H
RET
DATABYTE:
DB 00H,01H,03H,07H,0FH,1FH,3FH,7FH,0FFH
END
1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)
L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Bi 2: Chng trnh iu khin (ng dng m rng port nhp) thc hin lin tc vic nhp d liu t 8 cng
tc thng qua port ni tip v s dng vi mch 74165, d liu nhp vo ny s c xut ra 8 LED.
Gio trnh thc hnh vi x l. 227 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 8 ni J41 (PARALLEL IN) khi thanh ghi dch vi J34 (SWITCH) khi cng tc.
Dng dy bus 1 ni J43 (SERIAL OUT) khi thanh ghi dch vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 0 (CLK) ca J43 vi bit 1 (TXD) ca J66).
Dng dy bus 1 ni J43 (SERIAL OUT) khi thanh ghi dch vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 1 (LD) ca J43 vi bit 2 (INT0\) ca J66).
Dng dy bus 1 ni J43 (SERIAL OUT) khi thanh ghi dch vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 2 (OUT) ca J43 vi bit 0 (RXD) ca J66).
Dng ba dy bus 3 ni J115 (POWER) khi thanh ghi dch, J106 (POWER) khi cng tc v J103
(POWER) khi LED dy vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
P
A
R
A
L
L
E
L
O
U
T

z
P
O
W
E
R
S
E
R
I
A
L
I
N

z
P
A
R
A
L
L
E
L
O
U
T

z
S
E
R
I
A
L
I
N

z
P
A
R
A
L
L
E
L
I
N
S
E
R
I
A
L
O
U
T

P
O
W
E
R
S
W
I
T
C
H

z

1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN THU PHAT DU LIEU DANG NOI TIEP (MO RONG PORT NHAP)
;***************************************************
;KET NOI: LED -> P1, RXD -> OUT, TXD -> CLK, INT0 -> LD, SW -> PARALLEL IN
;***************************************************
ORG 00H
MAIN:
MOV SCON,#02H ;KHOI TAO SERIAL PORT (MODE0, REN = 0, TI = 1, RI = 0)
MP1:
CLR P3.2 ;INT = LD = 0
NOP ;NAP GIA TRI CHO VI MACH CHUYEN DOI (PARALLEL -> SERIAL)
SETB P3.2 ;INT = LD = 1
SETB REN ;REN = 1 - CHO PHEP THU DU LIEU
WAIT:
JNB RI,WAIT ;KIEM TRA CO RI (KIEM TRA THU XONG)
CLR RI ;XOA CO RI
CLR REN ;REN = 0 - KHONG CHO PHEP THU
MOV A,SBUF ;DOC DU LIEU DA THU DUOC TU SERIAL PORT
MOV P1,A ;XUAT RA LED
SJMP MP1
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)

L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Bi 3: Chng trnh iu khin (ng dng m rng thu pht ni tip) to mt bng d liu gm 9 bytes (00H,
01H, 03H, 07H, 0FH, 1FH, 3FH, 7FH, FFH). Thc hin vic xut tng byte ca bng ny ra port ni
tip (chn TXD) ri thu vo port ni tip (chn RXD) v ct vo RAM ni c a ch bt u l 40H.
Vic xut d liu c iu khin bng nt nhn KEY0, mi ln xut/nhp mt byte. D liu sau khi
nhp vo c xut ra 8 LED (c s dng b m o) ng thi vi vic ghi vo RAM ni.
Gio trnh thc hnh vi x l. 228 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 1 ni J66 (PORT3 / FUNCTION) khi vi iu khin vi J66 (PORT3 / FUNCTION)
khi vi iu khin (ch l ta ch ni bit 0 (RXD) ca J66 vi bit 1 (TXD) ca J66).
Dng dy bus 1 ni J76 (MONENTARY SW) khi nt nhn vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 0 (KEY0) ca J76 vi bit 2 (INT0\) ca J66).
Dng dy bus 1 ni J43 (SERIAL OUT) khi thanh ghi dch vi J66 (PORT3 / FUNCTION) khi vi
iu khin (ch l ta ch ni bit 2 (OUT) ca J43 vi bit 0 (RXD) ca J66).
Dng hai dy bus 3 ni J114 (POWER) khi nt nhn v J103 (POWER) khi LED dy vi ngun
+5V khi ngun.
M
O
M
E
N
T
A
R
Y
S
W
P
O
W
E
R
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S


1.2 V lu gii thut v vit chng trnh iu khin.
;***************************************************
;CHUONG TRINH DIEU KHIEN THU PHAT DU LIEU DANG NOI TIEP.
;***************************************************
;KET NOI: LED -> P1, RXD -> TXD, KEY0 -> INT0 (ACT = 0)
;***************************************************
ORG 00H
MAIN:
MOV TMOD,#20H ;TIMER 1: MODE 2 - TAO TOC DO BAUD SERIAL PORT
MOV TH1,#-26 ;BAUD RATE = 1200
SETB TR1 ;TIMER HOAT DONG
MOV SCON,#52H ;SERIAL: MODE 1, TI = 1, RI = 0, REN = 1
MP1:
MOV DPTR,#DATABYTE ;NAP DIA CHI VUNG DU LIEU
MP2:
MOV R0,#50 ;BIEN LAP - CHONG DOI PHIM
KEY_NHAN:
JB INT0,$ ;CHO PHIM DUOC NHAN
DJNZ R0,KEY_NHAN ;GIAM BIEN LAP - CHONG DOI (PHIM DA NHAN)
MOV R0,#50 ;BIEN LAP - CHONG DOI PHIM
KEY_NHA:
JNB INT0,$ ;CHO PHIM DUOC NHA
DJNZ R0,KEY_NHA ;GIAM BIEN LAP - CHONG DOI (PHIM DA NHAN)
;PHIM DA DUOC NHAN VA NHA HOAN TAT
MOV A,#0 ;OFFSET CUA DU LIEU
MOVC A,@A+DPTR ;LAY DU LIEU TRONG VUNG DU LIEU
ACALL TRANS_DATA ;GOI CTC PHAT DU LIEU QUA SERIAL PORT
ACALL RECEI_DATA ;GOI CTC THU DU LIEU QUA SERIAL PORT
MOV 40H,A ;NAP DU LIEU THU DUOC VAO O NHO 40H
MOV P1,40H ;XUAT PORT HIEN THI
INC DPTR ;CHUYEN SANG DU LIEU KE TIEP
L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Bi 3: Chng trnh iu khin (ng dng m rng thu pht ni tip) to mt bng d liu gm 9 bytes (00H,
01H, 03H, 07H, 0FH, 1FH, 3FH, 7FH, FFH). Thc hin vic xut tng byte ca bng ny ra port ni
tip (chn TXD) ri thu vo port ni tip (chn RXD) v ct vo RAM ni c a ch bt u l 40H.
Vic xut d liu c iu khin bng nt nhn KEY0, mi ln xut/nhp mt byte. D liu sau khi
nhp vo c xut ra 8 LED (c s dng b m o) ng thi vi vic ghi vo RAM ni.
Gio trnh thc hnh vi x l. 229 Bin son: Phm Quang Tr
MOV A,DPL ;KIEM TRA DA PHAT/THU XONG VUNG DU LIEU
CJNE A,#LOW(DATABYTE+9),MP2
SJMP MP1 ;QUAY TRO LAI
;***************************************************
TRANS_DATA: ;CTC PHAT DU LIEU
JNB TI,$ ;KIEM TRA PHAT XONG
CLR TI ;XOA TI DE CHUAN BI CHO LAN PHAT KE TIEP
MOV SBUF,A ;PHAT DU LIEU
RET
;***************************************************
RECEI_DATA: ;CTC THU DU LIEU
JNB RI,$ ;KIEM TRA THU XONG
CLR RI ;XOA RI DE CHUAN BI CHO LAN THU KE TIEP
MOV A,SBUF ;THU DU LIEU
RET
;***************************************************
DATABYTE: ;VUNG DU LIEU
DB 00H,01H,03H,07H,0FH,1FH,3FH,7FH,0FFH
END
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin vic truyn d liu dng ni tip gia hai chip vi iu khin vi
nhau (phng php ni dy cho tng vi iu khin tng t bi mu 3):
o Vi iu khin 1: Vit chng trnh to mt bng d liu gm 9 bytes (00H, 01H, 03H, 07H, 0FH,
1FH, 3FH, 7FH, FFH). Thc hin vic xut tng byte ca bng ny ra port ni tip (TXD)
truyn sang cho vi iu khin 2, vic xut d liu c iu khin bng nt nhn KEY0, mi ln
xut mt byte. ng thi, vi iu khin 1 lin tc kim tra v thu d liu vo t port ni tip
(RXD) cc d liu do vi iu khin 2 gi sang v ct vo RAM ni c a ch bt u l 40H, d
liu sau khi nhp vo c xut ra 8 LED ng thi vi vic ghi vo RAM ni.
o Vi iu khin 2: Vit chng trnh to mt bng d liu gm 9 bytes (FFH, 7FH, 3FH, 1FH, 0FH,
07H, 03H, 01H, 00H). Thc hin vic xut tng byte ca bng ny ra port ni tip (TXD)
truyn sang cho vi iu khin 1, vic xut d liu c iu khin bng nt nhn KEY1, mi ln
xut mt byte. ng thi, vi iu khin 2 lin tc kim tra v thu d liu vo t port ni tip
(RXD) cc d liu do vi iu khin 2 gi sang v ct vo RAM ni c a ch bt u l 40H, d
liu sau khi nhp vo c xut ra 8 LED ng thi vi vic ghi vo RAM ni.
Bi 2: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Phn m rng port xut:
o Port thu pht ni tip ca 8051 c th s dng m rng thnh nhiu port xut song song.
thc hin iu ny, s dng port ni tip ch thanh ghi dch (Shift Register Mode 0) v vi
mch chuyn i t ni tip ra song song (Serial In Parallel Out) nh 74164, 4094,
o Ch thanh ghi dch: RXD l ng ra d liu ni tip, TXD l ng ra xung clock.
o Vit chng trnh: xut d liu ra port ni tip ch thanh ghi dch.
Phn m rng port nhp:
o Port thu pht ni tip ca 8051 c th s dng m rng thnh nhiu port nhp song song.
thc hin iu ny, s dng port ni tip ch thanh ghi dch (Shift Register Mode 0) v vi
mch chuyn i song song t ra ni tip (Serial Out Parallel In) nh 74165.
o Ch thanh ghi dch: RXD l ng ra d liu ni tip, TXD l ng ra xung clock.
o Vit chng trnh:
Cho LD\ = 0 nhp d liu t cc cng tc.
Cho LD\ = 1 chun b thc hin vic ghi dch.
Nhp d liu t port ni tip ch thanh ghi dch.
Xut d liu ra cc LED.
Phn thu pht ni tip:
L. H THNG IU KHIN THU PHT D LIU DNG NI TIP.
Bi 3: Chng trnh iu khin (ng dng m rng thu pht ni tip) to mt bng d liu gm 9 bytes (00H,
01H, 03H, 07H, 0FH, 1FH, 3FH, 7FH, FFH). Thc hin vic xut tng byte ca bng ny ra port ni
tip (chn TXD) ri thu vo port ni tip (chn RXD) v ct vo RAM ni c a ch bt u l 40H.
Vic xut d liu c iu khin bng nt nhn KEY0, mi ln xut/nhp mt byte. D liu sau khi
nhp vo c xut ra 8 LED (c s dng b m o) ng thi vi vic ghi vo RAM ni.
Gio trnh thc hnh vi x l. 230 Bin son: Phm Quang Tr
o Ni chn RXD ca vi iu khin 1 vi chn TXD ca vi iu khin 2.
o Ni chn TXD ca vi iu khin 1 vi chn RXD ca vi iu khin 2.





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Gio trnh thc hnh vi x l. 232 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Kho st nguyn l hot ng v phng php iu khin vi mch PPI 8255.
Kho st phng php m rng port xut nhp ca vi iu khin bng cch s dng cc vi mch 8255,
74573 v 74245.
Kho st nguyn l v phng php lp trnh iu khin xut nhp d liu theo phng php song song.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Nm c s v nguyn l hot ng ca khi m rng port I/O trn m hnh th nghim.
Nm c nguyn l hot ng v phng php iu khin vi mch PPI 8255.
Bit cch lp trnh iu khin xut nhp d liu theo phng php song song.
Bit cch lp trnh v nm c phng php m rng port xut nhp ca vi iu khin bng cch s dng
cc vi mch 8255, 74573 v 74245.




M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Bi 1: Chng trnh iu khin Port I/O, lm cho 8 LED m ln nh phn 8 bit (LED c ni vi DATAOUT
A, CS573A c ni vi SELCHIP 0). S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 233 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 1 ni J70 (SELECT CHIP) khi vi iu khin vi J92 (CS573A) khi m rng port I/O
(ch l ty thuc vo tm a ch yu cu m ta ni dy bus 1 vo ng bit tng ng ca J70).
Dng dy bus 8 ni J85 (DATA OUT) khi m rng port I/O vi J11 (BAR LED 1) khi dy LED.
Dng dy hai bus 3 ni J107 (POWER) khi m rng port I/O v J103 (POWER) khi dy LED vi
ngun +5V khi ngun.
LED DAY
BAR LED z BAR LED z POWER
VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
NGUO N
+V
MO RONG PORT IJO
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

z

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 2)

M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Bi 2: Chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v hin th mc
logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED (LED c ni
vi DATAOUT A, SWITCH c ni vi DATAIN, CS573A c ni vi SELCHIP 0, CS245 c ni
vi SELCHIP 1). S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 234 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 1 ni J70 (SELECT CHIP) khi vi iu khin vi J92 (CS573A) khi m rng port I/O
(ch l ty thuc vo tm a ch yu cu m ta ni dy bus 1 vo ng bit tng ng ca J70).
Dng dy bus 1 ni J70 (SELECT CHIP) khi vi iu khin vi J83 (CS245) khi m rng port I/O
(ch l ty thuc vo tm a ch yu cu m ta ni dy bus 1 vo ng bit tng ng ca J70).
Dng dy bus 8 ni J85 (DATA OUT) khi m rng port I/O vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 8 ni J80 (DATA IN) khi m rng port I/O vi J34 (SWITCH) khi cng tc.
Dng dy ba bus 3 ni J107 (POWER) khi m rng port I/O, J106 (POWER) khi cng tc v J103
(POWER) khi dy LED vi ngun +5V khi ngun.
LED DAY
BAR LED z BAR LED z POWER
VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
NGUON
+V
MO RONG PORT IJO
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

z
CONG
TAC
P
O
W
E
R
S
W
I
T
C
H

B
z

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin Port I/O, lm cho 8 LED tt ht v sng dn lin tc (LED c
ni vi DATAOUT B, CS573B c ni vi SELCHIP 5).
Bi 2: Hy vit chng trnh iu khin Port I/O, lm cho 16 LED sng ht v tt dn lin tc (LED c
ni vi DATAOUT A v DATAOUT B, CS573A c ni vi SELCHIP 4, CS573B c ni vi
SELCHIP 5).
Bi 3: Hy vit chng trnh iu khin Port I/O, lm cho LED 7 on hin th m BCD t 0 ln 9 lin
tc (LED0 c ni vi DATAOUT A, CS573A c ni vi SELCHIP 6).
Bi 4: Hy vit chng trnh iu khin Port I/O, lm cho hai LED 7 on hin th m BCD t 00 ln 99
lin tc (LED0 c ni vi DATAOUT A, LED1 c ni vi DATAOUT B, CS573A c ni vi
SELCHIP 6, CS573B c ni vi SELCHIP 7).
Bi 5: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v
hin th mc logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED
(LED c ni vi DATAOUT A, SWITCH c ni vi DATAIN, CS573A c ni vi SELCHIP 4,
CS245 c ni vi SELCHIP 1).
Bi 6: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc nt nhn KEY0 KEY7 v
hin th trng thi hin ti (LED sng = nt nhn, LED tt = nt nh) ca cc nt nhn ny ln LED (LED
c ni vi DATAOUT A, PUSH KEY c ni vi DATAIN, CS573A c ni vi SELCHIP 5,
CS245 c ni vi SELCHIP 2).
M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Bi 2: Chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v hin th mc
logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED (LED c ni
vi DATAOUT A, SWITCH c ni vi DATAIN, CS573A c ni vi SELCHIP 0, CS245 c ni
vi SELCHIP 1). S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 235 Bin son: Phm Quang Tr
Bi 7: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc nt nhn KEY0 KEY7 v
hin th tn ca cc nt nhn ny ln LED 7 on (LED0 c ni vi DATAOUT A, PUSH KEY c
ni vi DATAIN, CS573A c ni vi SELCHIP 6, CS245 c ni vi SELCHIP 3).
Bi 8: Sinh vin t mnh suy ngh v pht trin thm chng trnh.

M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Bi 3: Chng trnh iu khin Port I/O, lm cho 8 LED m ln nh phn 8 bit (LED c ni vi PortA,
CS8255 c ni vi SELCHIP 0). S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 236 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 1 ni J70 (SELECT CHIP) khi vi iu khin vi J88 (CS8255) khi m rng port I/O
(ch l ty thuc vo tm a ch yu cu m ta ni dy bus 1 vo ng bit tng ng ca J70).
Dng dy bus 8 ni J79 (PORT A) khi m rng port I/O vi J11 (BAR LED 1) khi dy LED.
Dng dy hai bus 3 ni J107 (POWER) khi m rng port I/O v J103 (POWER) khi dy LED vi
ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

B
z

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)

M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Bi 4: Chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v hin th mc
logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED. S dng c
ch b nh ngoi.
Gio trnh thc hnh vi x l. 237 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 1 ni J70 (SELECT CHIP) khi vi iu khin vi J88 (CS8255) khi m rng port I/O
(ch l ty thuc vo tm a ch yu cu m ta ni dy bus 1 vo ng bit tng ng ca J70).
Dng dy bus 8 ni J79 (PORT A) khi m rng port I/O vi J11 (BAR LED 1) khi dy LED.
Dng dy bus 8 ni J84 (PORT B) khi m rng port I/O vi J34 (MOMENTARY SW) khi cng
tc.
Dng dy ba bus 3 ni J107 (POWER) khi m rng port I/O, J106 (POWER) khi cng tc v J103
(POWER) khi dy LED vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

B
z
P
O
W
E
R
S
W
I
T
C
H
B

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin Port I/O, lm cho 8 LED sng ui lin tc (LED c ni vi
PortB, CS8255 c ni vi SELCHIP 5).
Bi 2: Hy vit chng trnh iu khin Port I/O, lm cho 16 LED sng ui lin tc (LED c ni vi
PortA v PortB, CS8255 c ni vi SELCHIP 4).
Bi 3: Hy vit chng trnh iu khin Port I/O, lm cho LED 7 on hin th m HEX t F xung A
lin tc (LED0 c ni vi PortA, CS8255 c ni vi SELCHIP 6).
Bi 4: Hy vit chng trnh iu khin Port I/O, lm cho hai LED 7 on hin th m HEX t 00 ln FF
lin tc (LED0 c ni vi PortA, LED1 c ni vi PortB, CS8255 c ni vi SELCHIP 7).
Bi 5: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v
hin th mc logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED
(LED c ni vi PortA, SWITCH c ni vi PortB, CS8255 c ni vi SELCHIP 4).
Bi 6: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc nt nhn KEY0 KEY7 v
hin th trng thi hin ti (LED sng = nt nhn, LED tt = nt nh) ca cc nt nhn ny ln LED (LED
c ni vi PortA, PUSH KEY c ni vi PortB, CS8255 c ni vi SELCHIP 5).
Bi 7: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc nt nhn KEY0 KEY7 v
hin th tn ca cc nt nhn ny ln LED 7 on (LED0 c ni vi PortA, PUSH KEY c ni vi
PortB, CS8255 c ni vi SELCHIP 6).
Bi 8: Hy vit chng trnh iu khin Port I/O, lin tc c cc gi tr t cc nt nhn KEY0 KEY7 v
hin th tn ca cc nt nhn ny ln LED ma trn (COL-RED c ni vi PortA, ROW c ni vi
PortB, PUSH KEY c ni vi PortC, CS8255 c ni vi SELCHIP 6).
M. H THNG IU KHIN PORT I/O (IU KHIN XUT/NHP QUA CC THIT B NGOI VI).
Bi 4: Chng trnh iu khin Port I/O, lin tc c cc gi tr t cc cng tc gt SW0 SW7 v hin th mc
logic hin ti (LED sng = mc cao, LED tt = mc thp) ca cc cng tc ny ln LED. S dng c
ch b nh ngoi.
Gio trnh thc hnh vi x l. 238 Bin son: Phm Quang Tr
Bi 9: Sinh vin t mnh suy ngh v pht trin thm chng trnh.




TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










N. H THNG IU KHIN ADC.
Gio trnh thc hnh vi x l. 240 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Kho st nguyn l hot ng ca vi mch ADC 0809.
Thc hin vic bin i t tn hiu tng t (Analog) sang tn hiu s (Digital).

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Tham kho trc v nm c hot ng ca vi mch ADC 0809.



N. H THNG IU KHIN ADC.
Bi 1: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo (knh
IN0) ln hai LED 7 on (LED1 v LED0; di dng s HEX t 00H -> FFH). S dng c ch b nh
ngoi.
Gio trnh thc hnh vi x l. 241 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J49 (DIGITAL OUT) khi ADC.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J90 (DATA OUT) khi m rng port I/O vi J4 (7SEG IN MUL) khi LED 7
on.
Dng dy bus 8 ni J85 (DATA OUT) khi m rng port I/O vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 1 ni J77 (V1) khi to p vi J48 (ANALOG IN) khi ADC (ch l ta ch ni bit 0
(IN0) ca J48 v J77 li vi nhau).
Dng dy bus 1 ni J93 (CS573B) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 0 (0000H 1FFFH) ca J70 v J93 li vi nhau).
Dng dy bus 1 ni J92 (CS573A) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 1 (2000H 3FFFH) ca J70 v J92 li vi nhau).
Dng dy bus 1 ni J60 (CS0809) khi ADC vi J70 (SELECT CHIP) khi vi iu khin (ch l ta
ch ni bit 2 (4000H 5FFFH) ca J70 v J60 li vi nhau).
Dng bn dy bus 3 ni D35 (POWER) khi to p, D34 (POWER) khi ADC, J107 (POWER) khi
m rng port I/O v J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S

B
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

B
A
N
A
L
O
G
I
N
C
S
o
B
o
q
D
I
G
I
T
A
L
O
U
T
P
O
W
E
R
T
A
O

A
P
V
z
P
O
W
E
R
V
z
V

V
q

z
z
z
z
B

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
N. H THNG IU KHIN ADC.
Bi 1: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo (knh
IN0) ln hai LED 7 on (LED1 v LED0; di dng s HEX t 00H -> FFH). S dng c ch b nh
ngoi.
Gio trnh thc hnh vi x l. 242 Bin son: Phm Quang Tr
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)

N. H THNG IU KHIN ADC.
Bi 2: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca knh ng vo (knh
IN0) ln ba LED 7 on (LED2, LED1 v LED0; di dng s DEC t 0 -> 255). S dng c ch b
nh ngoi.
Gio trnh thc hnh vi x l. 243 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)

N. H THNG IU KHIN ADC.
Bi 3: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr in p ca knh ng vo
(knh IN0) ln bn LED 7 on (LED3: hng n v; LED2, LED1 v LED0: ba s phn thp phn).
S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 244 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Qu trnh kt ni thit b th nghim tng t nh Bi 1.
1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 4)


N. H THNG IU KHIN ADC.
Bi 4: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh ng vo
(knh IN0 v IN1) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 -> 255),
LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt KEY0.
S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 245 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J76 (MOMENTARY SW) khi nt nhn vi J80 (DATA IN) khi m rng port
I/O.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J49 (DIGITAL OUT) khi ADC.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J90 (DATA OUT) khi m rng port I/O vi J4 (7SEG IN MUL) khi LED 7
on.
Dng dy bus 8 ni J85 (DATA OUT) khi m rng port I/O vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 1 ni J77 (V1) khi to p vi J48 (ANALOG IN) khi ADC (ch l ta ch ni bit 0
(IN0) ca J48 v J77 li vi nhau).
Dng dy bus 1 ni J78 (V2) khi to p vi J48 (ANALOG IN) khi ADC (ch l ta ch ni bit 1
(IN1) ca J48 v J78 li vi nhau).
Dng dy bus 1 ni J93 (CS573B) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 0 (0000H 1FFFH) ca J70 v J93 li vi nhau).
Dng dy bus 1 ni J92 (CS573A) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 1 (2000H 3FFFH) ca J70 v J92 li vi nhau).
Dng dy bus 1 ni J83 (CS245) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 2 (4000H 5FFFH) ca J70 v J83 li vi nhau).
Dng dy bus 1 ni J60 (CS0809) khi ADC vi J70 (SELECT CHIP) khi vi iu khin (ch l ta
ch ni bit 4 (6000H 7FFFH) ca J70 v J60 li vi nhau).
Dng nm dy bus 3 ni D35 (POWER) khi to p, D34 (POWER) khi ADC, J114 (POWER)
khi nt nhn, J107 (POWER) khi m rng port I/O v J110 (POWER) khi LED 7 on vi ngun
+5V khi ngun.
LED OAN
SEG IN
SEL INz POWER SEL INz
SEGo IN SEG IN SEGq IN
SEG IN
MUL
SEL LED IN
B B B B
VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
NGUON
+V

B
B
MO RONG PORT IJO
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

B
ADC
A
N
A
L
O
G
I
N
C
S
o
B
o
q
D
I
G
I
T
A
L
O
U
T
P
O
W
E
R
T
A
O

A
P
V
z
P
O
W
E
R
V
z
V

V
q


z
B
z
z
z
B
B
NUT NHAN
M
O
M
E
N
T
A
R
Y
S
W
P
O
W
E
R
z
B
z


1.2 V lu gii thut v vit chng trnh iu khin.

N. H THNG IU KHIN ADC.
Bi 4: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh ng vo
(knh IN0 v IN1) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 -> 255),
LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt KEY0.
S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 246 Bin son: Phm Quang Tr
1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh
ng vo (IN0 v IN1) ln bn LED 7 on (LED1 v LED0: knh IN0; LED4 v LED3: knh IN1; di
dng s HEX t 00H -> FFH). S dng c ch b nh ngoi.
Bi 2: Hy vit chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca ba knh
ng vo (IN2, IN3 v IN4) ln su LED 7 on (LED1 v LED0: knh IN2; LED4 v LED3: knh IN3;
LED7 v LED6: knh IN4; di dng s HEX t 00H -> FFH). S dng c ch b nh ngoi.
Bi 3: Hy vit chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh
ng vo (knh IN6, IN7) ln su LED 7 on (LED2, LED1 v LED0: knh IN6; LED7, LED6 v LED5:
knh IN7; di dng s DEC t 0 -> 255). S dng c ch b nh ngoi.
Bi 4: Hy vit chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca tm knh
ng vo (knh IN0 -> IN7) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 ->
255), LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn ln lt
cc nt nhn KEY0 -> KEY7. S dng c ch b nh ngoi.
Bi 5: Hy vit chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca tm knh
ng vo (knh IN0 -> IN7) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 ->
255), LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt
KEY0. S dng c ch b nh ngoi.
Bi 6: Hy vit chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr in p ca
hai knh ng vo (knh IN0, IN1) ln bn LED 7 on (LED3: hng n v; LED2, LED1 v LED0: ba s
phn thp phn), LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch
nhn nt KEY0. S dng c ch b nh ngoi.
Bi 7: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Qu trnh bin i A/D c thc hin qua cc bc sau:
o Xc lp a ch chn knh ng vo (A0, A1, A2).
o To xung START/ALE bng cch xut mt gi tr bt k ra ngoi vi ADC0809 vi a ch ngoi vi
chn (CS: Chip Select).
o To thi gian delay 120 s.
o c gi tr t ngoi vi ADC0809 vi a ch ngoi vi chn (gi tr ny c tm t 0 - 255 ty
theo in p ng vo t 0 - 5V phn gii l 5V/255 = 19,6 mV).
o i gi tr ny thnh in p (tnh bng mV) bng cch nhn vi 19,6 20.
o i gi tr ny ra m BCD nn.
o Xut ra LED 7 on hin th.
i s nh phn 8 bit thnh m BCD nn (packed BCD):
o Ly gi tr cn chuyn i (0 255) chia cho 10 S d s l m BCD hng n v.
o Ly kt qu (thng s) ca php chia trn chia tip cho 10 S d s l m BCD hng chc.
o Kt qu (thng s) ca php chia trn M BCD hng trm.
o Kt hp m BCD ca hng chc v hng n v li thnh m BCD nn. Cn m BCD ca hng
trm th gi nguyn.
o Chng trnh mu:
;***************************************************
;CTC CHUYEN DOI SO BINARY 8 BIT (<= 255) SANG SO BCD.
;***************************************************
;NAME: BIN8TOBCD.
;INPUT: R7 = SO BCD 8 BIT (<= 255).
;OUTPUT: R7,R6 = SO BCD (TRAM - CHUC - DON VI).
;***************************************************
BIN8TOBCD:
PUSH ACC
PUSH B
MOV B,#10
N. H THNG IU KHIN ADC.
Bi 4: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh ng vo
(knh IN0 v IN1) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 -> 255),
LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt KEY0.
S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 247 Bin son: Phm Quang Tr
MOV A,R7
DIV AB ;LAY R7 CHIA CHO 10
PUSH B ;CAT SO HANG DON VI
MOV B,#10
DIV AB ;LAY KET QUA TREN CHIA TIEP CHO 10
MOV R7,A ;R7 = TRAM
MOV A,B ;LAY SO HANG CHUC
SWAP A ;DUA SO HANG CHUC LEN 4 BIT CAO
POP B ;LAY SO HANG DON VI TU STACK
ORL A,B ;KET HOP SO HANG CHUC VA SO HANG DON VI
MOV R6,A ;R6 = CHUC - DON VI
POP B
POP ACC
RET
;***************************************************
i s nh phn 16 bit thnh m BCD nn:
o Cng vic thc hin tng t nh i vi s nh phn 8 bit l ln lt chia gi tr cn chuyn i
cho 10. Tuy nhin, cn phi to ra mt chng trnh con thc hin vic chia s 16 bit cho 10 (v gi tr cn i
lc ny l s 16 bit).
o Chng trnh mu:
;***************************************************
;CTC CHUYEN DOI SO BINARY 16 BIT (<= 9999) SANG SO BCD.
;***************************************************
;NAME: BIN16TOBCD.
;INPUT: R7,R6 = SO BCD 16 BIT (<= 9999).
;OUTPUT: R7,R6 = SO BCD (NGAN - TRAM - CHUC - DON VI).
;***************************************************
BIN16TOBCD:
PUSH ACC
PUSH B
MOV B,#10
ACALL DIV16TO8 ;LAY R7,R6 CHIA CHO 10
PUSH B ;CAT SO HANG DON VI
MOV B,#10
ACALL DIV16TO8 ;TIEP TUC CHIA CHO 10
PUSH B ;CAT SO HANG CHUC
MOV B,#10
MOV A,R6
DIV AB ;TIEP TUC CHIA CHO 10
PUSH B ;CAT SO HANG TRAM, ACC CHUA SO HANG NGAN
SWAP A ;DUA SO HANG NGAN LEN 4 BIT CAO
POP B ;LAY SO HANG TRAM TU STACK
ORL A,B ;KET HOP SO HANG NGAN VA SO HANG TRAM
MOV R7,A ;R7 = NGAN - TRAM
POP ACC ;LAY SO HANG CHUC TU STACK
SWAP A ;DUA SO HANG CHUC LEN 4 BIT CAO
POP B ;LAY SO HANG DON VI TU STACK
ORL A,B ;KET HOP SO HANG CHUC VA SO HANG DON VI
MOV R6,A ;R6 = CHUC - DON VI
POP B
POP ACC
RET
;***************************************************
;CTC CHIA SO BINARY 16 BIT CHO SO BINARY 8 BIT.
;***************************************************
;NAME: DIV16TO8.
;INPUT: R7,R6: SO BINARY 16 BIT
; B: SO BINARY 8 BIT
;OUTPUT: R7,R6: KET QUA CUA PHEP CHIA
; B: SO DU CUA PHEP CHIA
;***************************************************
DIV16TO8:
PUSH 02H ;CAT THANH GHI R2
PUSH ACC ;CAT THANH GHI A
N. H THNG IU KHIN ADC.
Bi 4: Chng trnh iu khin bin i A/D thng qua ADC0809 v hin th gi tr ca hai knh ng vo
(knh IN0 v IN1) ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn t 0 -> 255),
LED7 hin th knh ng vo. Vic chuyn i knh bin i c thc hin bng cch nhn nt KEY0.
S dng c ch b nh ngoi.
Gio trnh thc hnh vi x l. 248 Bin son: Phm Quang Tr
MOV R2,#16 ;CHO PHEP DICH 16 LAN
CLR A
DIVIDE:
XCH A,R6
CLR C ;DICH BIT 7 CU R6 VAO
RLC A ;CARRY, BIT 0 CUA R6
XCH A,R6 ;BANG 0.
XCH A,R7 ;DICH BIT CARRY VAO
RLC A ;BIT 0 CUA R7
XCH A,R7
RLC A ;DICH BIT 7 CUA R7 VAO A.
CJNE A,B,NOT_EQUAL ;XEM SO BIT DA DICH >=
SJMP A_GREATER_EQ_B ;SO CHIA CHUA ?
NOT_EQUAL:
JC BELOW ;N: THUONG SO = 0 TRONG R6.
A_GREATER_EQ_B:
SUBB A,B ;Y: SO DU CAT TRONG A.
XCH A,R6
ORL A,#1 ;THUONG SO = 1
XCH A,R6 ;CAT TRONG R6
BELOW:
DJNZ R2,DIVIDE ;DICH TIEP DEN 16 LAN.
XCH A,B ;SAU 16 LAN DICH TA DUOC B = SO DU.
POP ACC
POP 02H
RET
;***************************************************








TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










O. H THNG IU KHIN DAC.
Gio trnh thc hnh vi x l. 250 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Kho st nguyn l hot ng ca vi mch DAC 0808.
Thc hin vic bin i t tn hiu s (Digital) sang tn hiu tng t (Analog).

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Tham kho trc v nm c hot ng ca vi mch DAC 0808.



O. H THNG IU KHIN DAC.
Bi 1: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin bin i gi tr lu trong thanh
ghi R0 thnh in p tng t. Gi tr trong thanh ghi R0 thay i lin tc 00H, 40H, 80H, C0H v
FFH, mi ln cch nhau 2 giy.
Gio trnh thc hnh vi x l. 251 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J37 (DIGITAL IN) khi DAC.
Dng my o VOM o in p ti J38 (ANALOG OUT) khi DAC.
Dng dy bus 8 ni J108 (POWER) khi DAC vi ngun 5V, 12V khi ngun.
VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
DAC
P
O
W
E
R
D
I
G
I
T
A
L
I
N
A
N
A
L
O
G
O
U
T
NGUO N
V, zzV
B
B
VOM
z

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)

O. H THNG IU KHIN DAC.
Bi 2: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin bin i gi tr lu trong thanh
ghi R0 thnh in p tng t. Gi tr trong thanh ghi R0 thay i lin tc t 00H -> FFH, mi ln
cch nhau 2 giy v c hin th ln ba LED 7 on (LED2, LED1 v LED0; di dng s thp phn
t 0 -> 255).
Gio trnh thc hnh vi x l. 252 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J4 (7SEG IN MUL) khi LED 7 on.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 8 ni J65 (PORT2 / A8..A15) khi vi iu khin vi J37 (DIGITAL IN) khi DAC.
Dng my o VOM o in p ti J38 (ANALOG OUT) khi DAC.
Dng dy bus 8 ni J108 (POWER) khi DAC vi ngun 5V, 12V khi ngun.
Dng dy bus 8 ni J110 (POWER) khi LED 7 on vi ngun +5V khi ngun.
P
O
R
T

o
P
O
R
T

z
P
O
R
T

P
O
R
T

z
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
P
O
W
E
R
D
I
G
I
T
A
L
I
N
A
N
A
L
O
G
O
U
T

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
(xem thm trong phn hng dn v phn bi tp ca Bi 3)

O. H THNG IU KHIN DAC.
Bi 3: Chng trnh iu khin bin i D/A thng qua DAC0808 v thc hin to sng sin c tn s bt k ti
ng ra.
Gio trnh thc hnh vi x l. 253 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J64 (PORT1) khi vi iu khin vi J37 (DIGITAL IN) khi DAC.
Dng my hin sng (Oscilloscope) kim tra dng sng ti J38 (ANALOG OUT) khi DAC.
Dng dy bus 8 ni J108 (POWER) khi DAC vi ngun 5V, 12V khi ngun.
VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
DAC
P
O
W
E
R
D
I
G
I
T
A
L
I
N
A
N
A
L
O
G
O
U
T
NGUO N
V, zzV
B
B
z
OSC

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Thc hin ln lt cc bc t 1.3 n 1.8 tng t nh bi trn.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin bin i D/A thng qua vi mch DAC0808 v thc hin to sng
tam gic c tn s bt k.
Bi 2: Hy vit chng trnh iu khin bin i D/A thng qua vi mch DAC0808 v thc hin to sng
vung c tn s bt k.
Bi 3: Hy vit chng trnh iu khin bin i D/A thng qua vi mch DAC0808 v thc hin bin i
gi tr lu trong thanh ghi R0 thnh in p tng t. Gi tr trong thanh ghi R0 thay i theo vic nhn nt
KEY0 hoc KEY1 (KEY0: tng gi tr, KEY1: gim gi tr) t 00H -> FFH v c hin th ln ba LED 7
on (LED2, LED1 v LED0; di dng s thp phn t 0 -> 255).
Bi 4: Hy vit chng trnh iu khin bin i D/A thng qua vi mch DAC0808 v thc hin to sng
sin c tn s thay i trong mt khong tn s t chn ty theo vic nhn nt KEY0 hoc KEY1 (KEY0:
tng tn s, KEY1: gim tn s ) v c hin th ln ba LED 7 on (LED2, LED1 v LED0; di dng
s thp phn t 0 -> 255)..
Bi 5: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Phn to sng sin:
o To mt bng d liu gm 128 bytes l gi tr ca 128 mu c c t hnh sin, nh cao nht ca
hnh sin c gi tr l 255 (FFH), nh thp nht ca hnh sin c gi tr l 0 (00H). Do trc ca hnh sin c
gi tr l 127,5 (80H hay 7FH).
o Gi tr ca mu th x l: 5 , 127 5 , 127
128
360
sin +


O
x

o Lin tc xut bng gi tr ny ra vi mch DAC0808, thay i thi gian delay gia cc ln xut s
to ra cc tn s khc nhau.





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H






P. H THNG IU KHIN O NHIT .
Gio trnh thc hnh vi x l. 255 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Kho st v s dng cm bin nhit LM335.
Minh ha ng dng ca h thng vi iu khin vo cng vic o lng, hin th v iu khin.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Tham kho trc nguyn l hot ng ca cc dng mch khuch i dng Op-Amp v cm bin nhit
LM335



P. H THNG IU KHIN O NHIT .
Bi tp: Chng trnh o nhit v hin th gi tr ln bn LED 7 on (mt LED hin th phn thp phn).
Gio trnh thc hnh vi x l. 256 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.
Tt ngun cp cho m hnh th nghim.
Dng dy bus 8 ni J63 (PORT0 / DATA) khi vi iu khin vi J49 (DIGITAL OUT) khi ADC.
Dng bn dy bus 8 ni J5 (7SEG7 IN), J6 (7SEG6 IN), J7 (7SEG5 IN) v J8 (7SEG4 IN) khi LED 7
on vi J4 (7SEG IN MUL) khi LED 7 on (ta ni chung cc bus ny li vi nhau thng qua khi
m rng).
Dng dy bus 8 ni J90 (DATA OUT) khi m rng port I/O vi J4 (7SEG IN MUL) khi LED 7
on.
Dng dy bus 8 ni J85 (DATA OUT) khi m rng port I/O vi J2 (SEL LED IN) khi LED 7 on.
Dng dy bus 1 ni J89 (V OUT) khi cm bin nhit vi J48 (ANALOG IN) khi ADC (ch l ta
ch ni bit 0 (IN0) ca J48 v J89 li vi nhau).
Dng dy bus 1 ni J93 (CS573B) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 0 (0000H 1FFFH) ca J70 v J93 li vi nhau).
Dng dy bus 1 ni J92 (CS573A) khi m rng port I/O vi J70 (SELECT CHIP) khi vi iu khin
(ch l ta ch ni bit 1 (2000H 3FFFH) ca J70 v J92 li vi nhau).
Dng dy bus 1 ni J60 (CS0809) khi ADC vi J70 (SELECT CHIP) khi vi iu khin (ch l ta
ch ni bit 2 (4000H 5FFFH) ca J70 v J60 li vi nhau).
Dng ba dy bus 3 ni D34 (POWER) khi ADC, J107 (POWER) khi m rng port I/O v J110
(POWER) khi LED 7 on vi ngun +5V khi ngun.
Dng dy bus 4 ni J35 (POWER) khi cm bin nhit vi ngun 5V khi ngun.
NGUON
V
CAM
BIEN
NHIET
V

I
N
z
V

I
N
z
P
O
W
E
R
L
M

V

O
U
T
LED OAN
SEG IN
SEL INz POWER SEL INz
SEGo IN SEG IN SEGq IN
SEG IN
MUL
SEL LED IN
B B B B
VI IEU KHIEN
P
O
R
T

o
Ao..A
P
O
R
T

z
P
O
R
T

P
O
R
T

z
SELECT
CHIP
CLOCK
OUT
P
O
R
T

z
P
O
R
T

q
o

P
I
N
S
z
o

P
I
N
S
POWER
SW
NGUON
+V

B
B
MO RONG PORT IJO
P
O
R
T

A
D
A
T
A

I
N
P
O
R
T

C
P
O
R
T

B
D
A
T
A

O
U
T
D
A
T
A

O
U
T
C
S
B
z

P
O
W
E
R
C
S
z
q

C
S

A
C
S

B
ADC
A
N
A
L
O
G
I
N
C
S
o
B
o
q
D
I
G
I
T
A
L
O
U
T
P
O
W
E
R

B
z
z
z
z
B
B
z

1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
P. H THNG IU KHIN O NHIT .
Bi tp: Chng trnh o nhit v hin th gi tr ln bn LED 7 on (mt LED hin th phn thp phn).
Gio trnh thc hnh vi x l. 257 Bin son: Phm Quang Tr
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin o nhit v hin th ln bn LED 7 on (mt LED hin th
phn thp phn) vi nhng yu cu sau:
o Cho bit trc nhit l 50
OC
.
o o nhit hin ti.
o Nu nhit o c nh hn nhit t th kch ng RELAY 1.
o Nu nhit o c ln hn nhit t th kch ngt RELAY 1.
Bi 2: Hy vit chng trnh iu khin o nhit v hin th ln bn LED 7 on (mt LED hin th
phn thp phn) vi nhng yu cu sau:
o Cho php t trc nhit l (10
OC
- 50
OC
) bng cc nt nhn KEY0 (tng nhit ) v KEY1
(gim nhit ).
o o nhit hin ti.
o Nu nhit o c nh hn nhit t th kch ng RELAY 1.
o Nu nhit o c ln hn nhit t th kch ngt RELAY 1.
Bi 3: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
Cn chnh khi cm bin nhit:
o Chnh bin tr R137 sao cho V1 = 2,73 + 0,01 x tOC (V). Trong thc t chnh xc th ta nhng
LM335 vo nc ang tan (0
OC
).
o Chnh bin tr R133 sao cho V2 = 2,73 V.
o nhit vi phn gii 0,5
OC
:
o Nhit sau khi qua khi cm bin nhit s to ra mt in p ti J93 (V OUT) c gi tr l VOUT
= 39 * tOC (mV).
o Vi phn gii ca ADC0809 l 19,6 mV th in p trn sau khi qua ADC0809 s c gi tr l
39 * tOC / 19,6 2 * tOC.
o hin th gi tr nhit ln LED 7 on vi mt s phn thp phn th gi tr hin th s phi l
10 * t. Do , gi tr thu c sau khi bin i A/D s c nhn vi 5 ri bin i qua m BCD nn hin
th ln LED 7 on.






TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H










Q. H THNG IU KHIN MOTOR DC.
Gio trnh thc hnh vi x l. 259 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Tm hiu nguyn l hot ng ca cc loi ng c DC cng sut nh.
Tm hiu nguyn l iu khin ng c DC bng vi iu khin v ng dng lp trnh iu khin hot
ng cho cc ng c DC ny.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Bit cch vit cc chng trnh iu khin ng c quay thun/ngc, thay i tc quay, .
Nm c s v nguyn l hot ng ca khi ng c DC trn m hnh th nghim.
Nm c nguyn l hot ng v nguyn l iu khin ng c DC.
Bit cch vit cc chng trnh ng dng iu khin ng c DC hot ng theo cc ch khc nhau.



Q. H THNG IU KHIN MOTOR DC.
Bi tp: Chng trnh
Gio trnh thc hnh vi x l. 260 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.


1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin
Bi 2: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
:
o




TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H











R. H THNG IU KHIN SERIAL EEPROM.
Gio trnh thc hnh vi x l. 262 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Tm hiu nguyn l lu tr thng tin v phng php ghi/c d liu t mt s b nh Serial EEPROM
thng dng ca hng Atmel: 24C01, 24C04, ...
iu khin vic ghi/c d liu gia vi iu khin vi b nh Serial EEPROM.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Nm c nguyn l lu tr thng tin v phng php ghi/c d liu t b nh Serial EEPROM.
Bit cch lp trnh v iu khin vic ghi/c d liu gia vi iu khin vi b nh Serial EEPROM.



R. H THNG IU KHIN SERIAL EEPROM.
Bi tp: Chng trnh
Gio trnh thc hnh vi x l. 263 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.


1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin
Bi 2: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
:
o





TRUNG TM TH NGHIM THC HNH IN T
B MN IN T CNG NGHIP

T T I I L LI I U U H H N NG G D D N N
T TH H N NG GH HI I M M - - T TH H C C H H N NH H









S. H THNG IU KHIN RTC (REAL TIME CLOCK).
Gio trnh thc hnh vi x l. 265 Bin son: Phm Quang Tr

A. MC CH:

Thc hnh lp trnh ng dng trn my tnh, bin dch chng trnh, np vo vi iu khin v s dng m
hnh th nghim kim chng.
iu khin thit b ngoi vi bng cc port ca vi iu khin.
Kho st v s dng cc loi RTC (ng h thi gian thc) thng dng trn thc t.
Tm hiu nguyn l hot ng mt s thit b iu khin ng dng RTC giao tip vi vi iu khin.

B. YU CU:

Nm vng tp lnh ca vi iu khin MCS-51.
Tham kho trc nguyn l hot ng ca cc RTC thng dng nh: PCF8583, DS1307, (Serial RTC)
v DS12887 (Parallel RTC).
Thit k mt s thit b iu khin ng dng RTC giao tip vi vi iu khin.


S. H THNG IU KHIN RTC (REAL TIME CLOCK).
Bi tp: Chng trnh
Gio trnh thc hnh vi x l. 266 Bin son: Phm Quang Tr
1 Trnh t tin hnh th nghim:
1.1 Kt ni thit b th nghim.


1.2 V lu gii thut v vit chng trnh iu khin.

1.3 Lu chng trnh v bin dch chng trnh.
1.4 Kim tra li v hiu chnh li nu c.
1.5 Gn chip vi iu khin th nghim vo socket tng ng trn khi np chip v bt ngun cho khi np chip
hot ng.
1.6 Np chng trnh vo vi iu khin.
1.7 S dng vi iu khin va np gn vo socket tng ng trn khi vi iu khin.
1.8 Bt ngun cho m hnh th nghim. Quan st kt qu hot ng, nu kt qu hot ng khng ng yu
cu ca bi th phi quay li kim tra vic kt ni mch, hiu chnh chng trnh v lm li cc bc t
bc 3 n bc 9.
2 Bi tp:
Bi 1: Hy vit chng trnh iu khin
Bi 2: Sinh vin t mnh suy ngh v pht trin thm chng trnh.
3 Hng dn:
:
o

You might also like