You are on page 1of 382

multiSIM

Schematic Capture, Simulation & Programmable Logic

Educator User Guide

DESIGN SOLUTIONS FOR EVERY DESKTOP

multiSIM and Electronics Workbench copyright 1989, 1992-2000 Interactive Image Technologies Ltd. All rights reserved. All other brand or product names are trademarks or registered trademarks of their respective companies or organizations. Portions of this product are provided under license from: Green Mountain Computing Systems Metamor, Inc.

ISBN 1-55169-088-8 Rev. 1 2000 Interactive Image Technologies Ltd. All rights reserved. Published May 2000. Printed in Canada.

Preface
Congratulations on choosing Multisim from Electronics Workbench. We are confident that it will deliver years of increased productivity and superior designs. Electronics Workbench is the worlds leading supplier of circuit design tools. Our products are used by more customers than those of any other EDA vendor, so we are sure you will be pleased with the value delivered by Multisim, and by any other Electronics Workbench products you may select.

Documentation Conventions
When Multisim manuals refer to a toolbar button, an image of the button appears in the left column. The manuals show circuits in black and white, although Multisim is configured to use color by default. (You can change the color scheme.) When you see the icon in the left column, the functionality described is only available in certain version of Multisim, or to users who have purchased add-in modules. Multisim manuals use the convention Menu/Item to indicate menu commands. For example, File/Open means choose the Open command from the File menu. Multisim manuals use the convention of an arrow (!) to indicate the start of procedural information. Multisim manuals use the construction CTRL-KEY and ALT-KEY to indicate when you need to hold down the Ctrl or Alt key on your keyboard and press another key.

The Multisim Documentation Set


Multisim documentation consists of a Getting Started and Tutorial manual, this User Guide, and on-line help. All Multisim users receive PDF versions of the Getting Started and Tutorial manual and the User Guide. Depending on your version of Multisim, you may also receive a printed version of the manuals.

Getting Started and Tutorial


The Getting Started and Tutorial manual introduces you to the Multisim interface. It also offers an introductory tutorial that takes you through the stages of circuit design, simulation, analysis and reporting.

User Guide
The User Guide describes Multisim and its many functions in detail. The manual is organized based on the stages of circuit design, and explains all aspects of using Multisim, in detail.

On-Line Help
Multisim offers a full help file system to support your use of the product. Choose Help/Multisim Manual to display the help file that explains the Multisim program in detail, or choose Help/Multisim Reference to display the help file that contains reference material (from the appendices) such as details on all the components families provided with Multisim. Both are standard Windows help files, offering a table of contents and index. In addition, you can display context-sensitive help by pressing F1 from amy command or window, or by clicking the Help button on any screen that offers it.

Adobe PDF Files


Both the Getting Started and Tutorial manual and the full User Guide, including appendices, are provided on the Multisim CD as Adobe PDF files and are accessible from the Multisim program folder on the Windows Start menu. To open PDF files, you will need Adobes free Acrobat Reader program, available for download at www.adobe.com.

License Agreement
Please read the license agreement included in the Multisim Getting Started and Tutorial Manual carefully before installing and using the software contained in this package. By installing and using the software, you are agreeing to be bound by the terms of this license. If you do not agree to the terms of this license, simply return the unused software within thirty days to the place where you obtained it and your money will be refunded.

C h a p te r Introduction

What is Multisim? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1 Multisim Educational Editions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1

C h a p te r 2 User Interface
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1 Introduction to the Multisim Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 Introduction to the Design Bar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3 Customizing the Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About User Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Controlling Circuit Display. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Controlling Circuit Window Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting Autosave, Symbol Set, Default Path and Wiring Options . . . . . . . . . . . . . . . . Print Page Setup Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Customization Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4 2-4 2-5 2-6 2-8 2-9 2-9

System Toolbar Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10 Menus and Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 File Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 File/NewCtrl+N . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 File/OpenCtrl+O . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 File/Close . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 File/SaveCtrl+S . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 File/Save As . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 File/Print . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 File/Print Preview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 File/Print Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-12 File/Recent Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 File/Exit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 Edit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 Edit/Place Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 Edit/Place Junction Ctrl+J . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13

Multisim User Guide

Edit/Place Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Place Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Place Text. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/UndoCtrl+Z . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/RedoCtrl+Y. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/CutCtrl+X . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/CopyCtrl+C. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/PasteCtrl+V . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Paste as Subcircuit/MacroCtrl+B . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/DeleteDel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Replace Part. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Select AllCtrl+A . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Flip HorizontalAlt+X . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Flip VerticalAlt+Y . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/90 ClockwiseCtrl+R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/90 CounterCWShift+Ctrl+R . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Set Sheet Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Set Title Block. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/User PreferencesCtrl+U . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Global Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Edit/Circuit Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Toolbars . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Status Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/(Show/Hide) Simulation Error Log / Audit Trail . . . . . . . . . . . . . . . . . . . View/(Show/Hide) Command Line Interface . . . . . . . . . . . . . . . . . . . . . . . . . View/(Show/Hide) Grapher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Show Simulate Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Grid Visible . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Show Page Bounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Show Title Block and Border. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Color . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Show . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/Zoom. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . View/FindCtrl+F . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simulate Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simulate/Run/Stop. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simulate/Pause/Resume . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simulate/Default Instrument Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simulate/Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Simulate/Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

2-14 2-14 2-14 2-14 2-14 2-14 2-14 2-14 2-14 2-15 2-15 2-15 2-15 2-15 2-15 2-16 2-16 2-16 2-16 2-16 2-16 2-16 2-17 2-17 2-17 2-17 2-17 2-17 2-18 2-18 2-18 2-18 2-18 2-18 2-18 2-19 2-19 2-19 2-19 2-19 2-19 2-21

ii

Electronics Workbench

Simulate/Postprocess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 Simulate/VHDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 Simulate/Verilog HDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Simulate/Auto Fault Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Simulate/Global Component Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Transfer Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Transfer/Transfer to Ultiboard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Transfer/Transfer to other PCB Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Transfer/Backannotate from Ultiboard . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 Transfer/VHDL Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Transfer/Verilog HDL Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Transfer/Export Simulation Results to MathCAD . . . . . . . . . . . . . . . . . . . . . . 2-25 Transfer/Export Simulation Results to Excel . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Transfer/Export Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Tools Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Tools/Create Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Tools/Edit Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 Tools/Copy Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Tools/Delete Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Tools/Database Management. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Tools/Update Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Tools/Remote Control/Design Sharing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Window Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Window/Cascade . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Window/Tile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 Window/Arrange Icons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 Window (open files) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 Help Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 Help/Multisim Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 Help/Multisim Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 Help/Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 Help/About Multisim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27

C h a p te r 3 Schematic Capture
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1 Introduction to Schematic Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1

Multisim User Guide

iii

Setting up Your Circuit Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting up a Sheet Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Displaying or Hiding the Grid, Title Block and Page Borders. . . . . . . . . . . . . . . . . . . Selecting a Symbol Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

3-1 3-2 3-2 3-3

Selecting Components from the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3 Placing Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4 Choosing a Component and Using the Browser Screen . . . . . . . . . . . . . . . . . . . . . . 3-4 Using the In Use List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 Moving a Placed Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 Copying a Placed Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 Replacing a Placed Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9 Controlling Component Color. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 Wiring Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wiring Components Automatically . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Wiring Components Manually . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Combining Automatic and Manual Wiring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting User Preferences for Wiring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Modifying the Wire Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Controlling Wire Color . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 3-11 3-12 3-13 3-13 3-13 3-14

Manually Adding a Junction (Connector) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14 Rotating/Flipping Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15 Placed Component Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Displaying Identifying Information about a Placed Component . . . . . . . . . . . . . . . . Viewing a Placed Components Value/Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Controlling How a Placed Component is Used in Analyses. . . . . . . . . . . . . . . . . . . 3-16 3-16 3-18 3-20

Finding Components in Your Circuit. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21 Labelling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Modifying Component Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Modifying Node Numbers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Adding a Title Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Adding Miscellaneous Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Adding a Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Subcircuits and Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Subcircuits vs. Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting up a Circuit for Use as a Subcircuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Adding Subcircuits to a Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21 3-22 3-23 3-23 3-24 3-25 3-26 3-26 3-27 3-27

Printing the Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28

iv

Electronics Workbench

Placing a Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29 Using the Pop-up Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . From Circuit Window, with no Component Selected . . . . . . . . . . . . . . . . . . . . . . . . From Circuit Window, with Component or Instrument Selected . . . . . . . . . . . . . . . . From Circuit Window, with Wire Selected. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30 3-30 3-31 3-32

C h a p te r 4 Components
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 Structure of the Component Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 Database Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 Displaying Database Level Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2 Classification of Components in the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-3 Component Families List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4 Sources Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5 Basic Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7 Diodes Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9 Transistors Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10 Analog Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12 TTL Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13 CMOS Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14 Miscellaneous Digital Toolbar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 Mixed Chips Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16 Indicators Toolbar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17 Miscellaneous Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18 Controls Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19 RF Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20 Electro-mechanical Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20 Locating Components in the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 Browsing for Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 Standard Searching for Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 Types of Information Stored for Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pre-Defined Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . General Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Common Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Component-Specific Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-23 4-24 4-24 4-25 4-26

Multisim User Guide

Component Nominal Values and Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26

C h a p te r 5 Component Editing
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1 Introduction to Component Editing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1 Managing the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2 Editing Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5 Editing a Component, General Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7 Editing a Component, Electronic Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8 Adding Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9 Removing Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14 Copying Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15 Editing and Creating a Component Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Copying a Components Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Creating and Editing a Components Symbol with the Symbol Editor . . . . . . . . . . . Symbol Editor Menus. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Symbol Editor Palette . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Working with the Symbol Editor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Shape . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16 5-17 5-18 5-19 5-20 5-21 5-21 5-21 5-22

Creating or Editing a Component Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25 Copying a Components Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27 Loading an Existing Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28 Creating and Editing Component Footprints . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29 Pin Group Naming Convention . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30 Pin Type Naming Convention . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30

vi

Electronics Workbench

C h a p te r Instruments

About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1 Introduction to the Multisim Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1 Working with Multiple Instruments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4 Default Instrument Analysis Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5 Bode Plotter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6 Magnitude or Phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7 Vertical and Horizontal Axes Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7 Base Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7 Horizontal Axis Scale (.1 mHz 999.9 GHz) . . . . . . . . . . . . . . . . . . . . . . . . . 6-8 Vertical Axis Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8 Readouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8 Distortion Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-9 Harmonic Distortion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10 SINAD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10 Function Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-11 Waveform Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Signal Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Frequency (1Hz 999 MEGHz) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Duty Cycle (1% 99%). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Amplitude ( 999 kV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Offset (-999 kV and 999 kV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Rise Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Logic Converter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13 Deriving a Truth Table from a Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13 Entering and Converting a Truth Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-14 Entering and Converting a Boolean Expression . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-14 Logic Analyzer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Start, Stop & Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Triggering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15 6-16 6-17 6-18

Multimeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-19 Measurement Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-19 Ammeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-20

Multisim User Guide

vii

Voltmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Ohmmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Decibels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signal Mode (AC or DC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Internal Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

6-20 6-21 6-21 6-22 6-22

Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-23 Oscilloscope . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Time Base (0.1 ns/Div 1s/Div) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . X Position (-5.00 5.00) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Axes (Y/T, A/B, and B/A) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Grounding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Channel A and Channel B Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Volts per Division (010m V/Div 5 kV/Div) . . . . . . . . . . . . . . . . . . . . . . . . . Y Position (-3.00 3.00) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Input Coupling (AC, 0, and DC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trigger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trigger Edge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trigger Level (-999kV 999 kV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Trigger Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Using Cursors and Readouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-24 6-25 6-25 6-25 6-25 6-26 6-26 6-26 6-26 6-27 6-27 6-27 6-27 6-28

Spectrum Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28 Wattmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29 Word Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Entering Words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Creating, Saving and Reusing Word Patterns . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Addressing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Triggering . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Frequency and Data Ready . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-30 6-31 6-31 6-32 6-32 6-33 6-33

Ammeter and Voltmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-33

C h a p te r Simulation

About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1

viii

Electronics Workbench

Introduction to Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 What Type of Simulation Should I Use? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 What Kind of Simulation Does Multisim Support? . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-2 Using Multisim Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Start/Stop/Pause Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Interactive Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Circuit Consistency Check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Miscellaneous SPICE Simulation Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Component Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Menu-Driven Simulation from Netlist Without Schematic . . . . . . . . . . . . . . . . . 7-3 7-3 7-4 7-4 7-4 7-4 7-5

Multisim SPICE Simulation: Technical Detail . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5 BSpice/XSpice Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5 Circuit Simulation Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6 Four Stages of Circuit Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6 Equation Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7 Equation Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7 Numerical Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8 User Setting: Maximum Integration Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9 Convergence Assistance Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9 Gmin Stepping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9 Source Stepping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10 RF Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10 VHDL Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11 Verilog HDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11

C h a p te r Analyses

About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 Introduction to Multisim Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 Working with Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2 General Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2 The Analysis Parameters Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3 The Output Variables Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3 Choosing How Output Variables are to be Handled . . . . . . . . . . . . . . . . . . . . . 8-4

Multisim User Guide

ix

Filtering the Variable Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Adding Parameters to the Variable List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Miscellaneous Options Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . The Summary Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Incomplete Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

8-4 8-5 8-6 8-8 8-9

DC Operating Point Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 About the DC Operating Point Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 Setting DC Operating Point Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 Troubleshooting DC Operating Point Analysis Failures . . . . . . . . . . . . . . . . . . . . . . 8-10 AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11 About the AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11 Setting AC Analysis Frequency Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11 Transient Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About the Transient Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting Transient Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Troubleshooting Transient Analysis Failures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-13 8-13 8-14 8-15

Fourier Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16 About the Fourier Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16 Setting Fourier Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17 Noise Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About the Noise Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Noise Analysis Example. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting Noise Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19 8-19 8-20 8-21

Distortion Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23 About the Distortion Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23 Setting Distortion Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-24 DC Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25 Setting DC Sweep Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26 DC and AC Sensitivity Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About the Sensitivity Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Sensitivity Analyses Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Setting Sensitivity Analysis Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-27 8-27 8-28 8-30

Parameter Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31 About the Parameter Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31 Setting Parameter Sweep Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31 Temperature Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34 About the Temperature Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34

Electronics Workbench

Setting Temperature Sweep Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . 8-35 Transfer Function Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36 About the Transfer Function Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36 Setting Transfer Function Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-37 Worst Case Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-38 About the Worst Case Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-38 Setting Worst Case Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-41 Pole Zero Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-42 About the Pole Zero Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-42 About Circuit Stability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-43 About the Bode Phase Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-44 Setting Pole Zero Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-45 Monte Carlo Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-47 About the Monte Carlo Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-47 Setting Monte Carlo Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-50 RF Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-50 Batched Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-51 User-Defined Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-52 Noise Figure Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-53 Viewing the Analysis Results: Error Log/Audit Trail . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-53 Viewing the Analysis Results: Grapher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-54 Working with Pages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-56 Working with Graphs. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Grids and Legends . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Cursors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Zoom and Restore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Title . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Axes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Traces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-57 8-57 8-58 8-59 8-60 8-61 8-62

Viewing Charts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-63 Cut, Copy and Paste . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-63 Print and Print Preview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-64 Analysis Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-65

Multisim User Guide

xi

C h a p te r 9 Postprocessor
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 Introduction to the Postprocessor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 Using the Postprocessor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Basic Steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Using the Default Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Creating Multiple Traces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Working with Pages, Graphs and Charts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2 9-2 9-4 9-6 9-7

Postprocessor Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8 Available Functions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8

C h a p te r 10 HDLs and Programmable Logic


About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1 Overview of HDLs within Multisim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About HDLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Using Multisim with Programmable Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Using Multisim for Modeling Complex Digital ICs . . . . . . . . . . . . . . . . . . . . . . . . . . How to Use HDLs in Multisim. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Introduction to VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . VHDL: A Standard Language . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . A Brief History of VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2 10-2 10-2 10-3 10-3 10-4 10-5 10-5

C h a p te r Reports

11

About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1 Bill of Materials (BOM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1

xii

Electronics Workbench

Database Family List. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3 Component Detail Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4 Instrument Maps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-6

C h a p te r 12 Transfer/Communication
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 Introduction to Transfer/Communication . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 Transferring Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 Transferring from Multisim to Ultiboard for PCB Layout . . . . . . . . . . . . . . . . . . . . . . 12-1 Transferring to Other PCB Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2 Exporting Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3 Exporting to MathCAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3 Exporting to Excel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3

C h a p te r 13 Educators Guide
About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 Circuit Creators Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 Assigning Faults to Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 Setting a Placed Components Faults. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2 Using the Auto Fault Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 Using Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 Setting Global Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4 Setting Circuit Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6 Setting Passwords for Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9 Remote Control/Circuit Sharing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10

Multisim User Guide

xiii

C h a p te r RF

14

About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1 Introduction to the Multisim RF Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1 Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About RF Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Multisims RF Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Theoretical Explanation of the RF Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Striplines/Microstrips/Waveguides. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RF Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RF Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RF Inductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Active Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2 14-2 14-2 14-3 14-3 14-5 14-5 14-6 14-7

RF Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 Spectrum Analyzer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 About the Spectrum Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 Using Multisims Spectrum Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 Frequency Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-10 Frequency Spans. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-10 Frequency Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-11 Amplitude Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-12 Reference Level. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-12 Frequency Resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13 Examples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13 Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-15 About the Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-15 Using the Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-16 Marker Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17 Trace Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17 Format Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17 Data Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18 Mode Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18 RF Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . RF Characterizer Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Matching Network Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Noise Figure Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Noise Figure Analysis Tabs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18 14-18 14-20 14-25 14-26

xiv

Electronics Workbench

RF Model Makers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-27 Waveguide . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-27 Microstrip Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-28 Open End Microstrip Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-29 RF Spiral Inductor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-31 Strip Line Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-32 Stripline Bend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-33 Lossy Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-34 Interdigital Capacitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-36 Tutorial: Designing RF Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-37 Selecting Type of RF Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-38 Selecting an RF Transistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-38 Selecting a DC-operating Point . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-39 Selecting the Biasing Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-39 Selecting an Operating Frequency Point . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-41 Analyzing the RF Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-41

Index

Multisim User Guide

xv

xvi

Electronics Workbench

Introduction

C h a p te r
1.1 1.2

Introduction
What is Multisim? . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1 Multisim Educational Editions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-1

Multisim User Guide

Introduction

Electronics Workbench

Introduction

Chapter 1 Introduction
This manual assumes that you are familiar with Windows applications and know how, for example, to choose a menu from a command, use the mouse to select an item, and enable/disable an option box. If you are new to Windows, see your Windows documentation for help.

1.1

What is Multisim?
Multisim Version 6 is the latest generation of the worlds most popular electronic design and education software from Electronics Workbench. It is a complete system design tool that offers a large component database, schematic entry, full analog/digital SPICE simulation, VHDL/Verilog design entry/simulation, FPGA/CPLD synthesis, RF capabilities, postprocessing features and seamless transfer to PCB layout packages such as Ultiboard, also from Electronics Workbench. It offers a single, easy-to-use graphical interface for all your design and analysis needs.

1.2

Multisim Educational Editions


Multisim is available in three editions for the educational community: Education, Lab, and Student. The Education edition is for use by professors, teachers, instructors, tutors etc., and is typically used for the creation of demonstrations, examples, assignments, or tests. The Lab edition is for use by students at school in a multi-station laboratory environment. It is available either in networked or standalone configurations. The Student edition is for use by students for home study on their personal computer.

Multisim User Guide

1-1

Introduction

Introduction

1-2

Electronics Workbench

User Interface

C h a p te r 2 User Interface
2.1 2.2 2.3 2.4 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-1 Introduction to the Multisim Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-2 Introduction to the Design Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-3 Customizing the Interface. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.1 About User Preferences. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.2 Controlling Circuit Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.3 Controlling Circuit Window Display . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.4 Setting Autosave, Symbol Set, Default Path and Wiring Options . . . . . . . . . . 2.4.5 Print Page Setup Tab. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2.4.6 Other Customization Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-4 2-4 2-5 2-6 2-8 2-9 2-9

2.5 2.6

System Toolbar Buttons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-10 Menus and Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 2.6.1 File Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-11 2.6.1.1 File/New . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-11 2.6.1.2 File/Open . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-11 2.6.1.3 File/Close . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-11 2.6.1.4 File/Save . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-11 2.6.1.5 File/Save As. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12 2.6.1.6 File/Print . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12 2.6.1.7 File/Print Preview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12 2.6.1.8 File/Print Setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-12 2.6.1.9 File/Recent Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-13 2.6.1.10 File/Exit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-13 2.6.2 Edit Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-13 2.6.2.1 Edit/Place Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-13 2.6.2.2 Edit/Place Junction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-13 2.6.2.3 Edit/Place Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-14 2.6.2.4 Edit/Place Input/Output . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-14 2.6.2.5 Edit/Place Text. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-14

Multisim User Guide

2.6.2.6 Edit/Undo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.6.2.7 Edit/Redo. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.6.2.8 Edit/Cut . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.6.2.9 Edit/Copy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.6.2.10 Edit/Paste . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.6.2.11 Edit/Paste as Subcircuit/Macro . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-14 2.6.2.12 Edit/Delete . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.6.2.13 Edit/Replace Part . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.6.2.14 Edit/Select All . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.6.2.15 Edit/Flip Horizontal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.6.2.16 Edit/Flip Vertical . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.6.2.17 Edit/90 Clockwise . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-15 2.6.2.18 Edit/90 CounterCW . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.2.19 Edit/Set Sheet Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.2.20 Edit/Set Title Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.2.21 Edit/Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.2.22 Edit/User Preferences . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.2.23 Edit/Global Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.2.24 Edit/Circuit Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-16 2.6.3 View Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.6.3.1 View/Toolbars . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.6.3.2 View/Status Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.6.3.3 View/(Show/Hide) Simulation Error Log / Audit Trail . . . . . . . . . . . . 2-17 2.6.3.4 View/(Show/Hide) Command Line Interface . . . . . . . . . . . . . . . . . . 2-17 2.6.3.5 View/(Show/Hide) Grapher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-17 2.6.3.6 View/Show Simulate Switch . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.7 View/Grid Visible . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.8 View/Show Page Bounds . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.9 View/Show Title Block and Border . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.10 View/Color . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.11 View/Show. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.12 View/Zoom . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-18 2.6.3.13 View/Find. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.6.4 Simulate Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.6.4.1 Simulate/Run/Stop . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.6.4.2 Simulate/Pause/Resume . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.6.4.3 Simulate/Default Instrument Settings . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.6.4.4 Simulate/Instruments. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-19 2.6.4.5 Simulate/Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-21 2.6.4.6 Simulate/Postprocess . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 2.6.4.7 Simulate/VHDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-23 2.6.4.8 Simulate/Verilog HDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24

User Interface

Electronics Workbench

2.6.5

2.6.6

2.6.7

2.6.8

2.6.4.9 Simulate/Auto Fault Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-24 2.6.4.10 Simulate/Global Component Tolerances . . . . . . . . . . . . . . . . . . . .2-24 Transfer Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-24 2.6.5.1 Transfer/Transfer to Ultiboard . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-24 2.6.5.2 Transfer/Transfer to other PCB Layout . . . . . . . . . . . . . . . . . . . . . .2-24 2.6.5.3 Transfer/Backannotate from Ultiboard . . . . . . . . . . . . . . . . . . . . . . .2-24 2.6.5.4 Transfer/VHDL Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-25 2.6.5.5 Transfer/Verilog HDL Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . .2-25 2.6.5.6 Transfer/Export Simulation Results to MathCAD . . . . . . . . . . . . . . .2-25 2.6.5.7 Transfer/Export Simulation Results to Excel . . . . . . . . . . . . . . . . . .2-25 2.6.5.8 Transfer/Export Netlist . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-25 Tools Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25 2.6.6.1 Tools/Create Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-25 2.6.6.2 Tools/Edit Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-25 2.6.6.3 Tools/Copy Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-26 2.6.6.4 Tools/Delete Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-26 2.6.6.5 Tools/Database Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-26 2.6.6.6 Tools/Update Models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-26 2.6.6.7 Tools/Remote Control/Design Sharing . . . . . . . . . . . . . . . . . . . . . .2-26 Window Menu. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-26 2.6.7.1 Window/Cascade . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-26 2.6.7.2 Window/Tile . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-26 2.6.7.3 Window/Arrange Icons . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-27 2.6.7.4 Window (open files) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-27 Help Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-27 2.6.8.1 Help/Multisim Help . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-27 2.6.8.2 Help/Multisim Reference . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-27 2.6.8.3 Help/Release Notes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-27 2.6.8.4 Help/About Multisim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .2-27

User Interface

Multisim User Guide, Rev 1

User Interface

Electronics Workbench

User Interface

Chapter 2 User Interface


2.1 About this Chapter
This chapter explains the basic operation of the Multisim user interface, and briefly describes all available Multisim commands. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

Multisim User Guide, Rev 1

2-1

User Interface

2.2
User Interface

Introduction to the Multisim Interface


Multisims user interface consists of the following basic elements:
Zoom toolbar Multisim Design Bar In Use list

Menus

System toolbar

Component toolbar

Circuit window

Database selector

Status line

Note Your circuit window may, by default, have a black background; however, for the purposes of this document, we show a white background. To change the background color, see 2.4.2 Controlling Circuit Display on page 2-5. Menus are, as in all Windows applications, where you find commands for all functions. The system toolbar contains buttons for commonly-performed functions, as described in 2.5 System Toolbar Buttons on page 2-10. The zoom toolbar allows you to zoom in and out on the circuit. The Multisim Design Bar is an integral part of Multisim, and is explained in more detail in 2.3 Introduction to the Design Bar on page 2-3. The In Use list lists all the components used in the current circuit, for easy re-use.

2-2

Electronics Workbench

Introduction to the Design Bar

The component toolbar contains Parts Bin buttons that let you open component family toolbars (which, in turn, contain buttons for each family of components in the Parts Bin), as described in 3.5.1 Choosing a Component and Using the Browser Screen on page 3-4. The circuit window is where you build your circuit designs. The database selector allows you to choose which database levels are to be visible as Component toolbars, as described in 4.2.1 Database Levels on page 4-1. The status line displays useful information about the current operation and a description of the item the cursor is currently pointing to. User Interface

2.3

Introduction to the Design Bar


The Design Bar is a central component of Multisim, allowing you easy access to the sophisticated functions offered by the program. The Design Bar guides you through the logical steps of building, simulating, analyzing and, eventually, exporting your design. Although Design Bar functions are available from conventional menus, this manual assumes you are taking advantage of the ease of use offered by the Design Bar. The Component design button is selected by default, since the first logical activity is to place components on the circuit window. For details on the functions associated with this button, see Chapter 4, Components. The Component Editing button lets you modify the components in Multisim, or add components. For details on the functions associated with this button, see Chapter 5, Component Editing. The Instruments button lets you attach instruments to your circuit. For details on the functions associated with this button, see Chapter 6, Instruments. The Simulate button lets you simulate your design. For details on the functions associated with this button, see Chapter 7, Simulation. The Analysis button lets you choose the analysis you want to perform on your circuit. For details on the functions associated with this button, see Chapter 8, Analyses. The Postprocessor button lets you perform further operations on the results of your simulation. For details on the functions associated with this button, see Chapter 9, Postprocessor. The VHDL/Verilog HDL button allows you to work with VHDL modeling (not available in all versions). For details on the functions associated with this button, see Chapter 10, HDLs and Programmable Logic.

Multisim User Guide, Rev 1

2-3

User Interface

The Reports button lets you print reports about your circuits (list of components, component details, instrument maps). For details on the functions associated with this button, see Chapter 11, Reports. User Interface The Transfer button lets you communicate with and export to other PCB layout programs, such as Ultiboard, also from Electronics Workbench. You can also export simulation results to programs such as MathCAD and Excel. For details on the functions associated with this button, see Chapter 12, Transfer/Communication.

2.4
2.4.1

Customizing the Interface


About User Preferences
You can customize virtually any aspect of the Multisim interface, including the toolbars, the colors used in your circuit, page size, zoom factor, time for autosave, symbol set (ANSI or DIN) and printer setup. Your customization settings are saved individually with each circuit file you use so you could, for example, have one color scheme for one circuit and another for a different circuit. You can also override the settings for individual instances (for example, change one particular component from red to orange) or for the entire circuit. To change settings for the current circuit only, you generally right-click on the circuit window and select an option from the pop-up menu. To change the default settings to be used for all subsequent circuits, you use the Edit/User
Preferences command. Any newly created circuit will use these settings.

2-4

Electronics Workbench

Customizing the Interface

2.4.2

Controlling Circuit Display


You can control the way your circuit and its components appear on the screen, and the level of detail which appears. ! To set the default circuit display options for subsequent circuits, choose Edit/User Preferences. The User Preferences screen appears, offering you four tabs of options, with the Circuit tab being the active tab. Use this tab to control the colors and display details for your circuit.
Shows the results of enabling the options on the right Enable those items you want, by default, to be shown. You can override your choices for a particular component, as described in 3.9.1 Displaying Identifying Information about a Placed Component on page 3-16.

User Interface

Set up the desired color scheme (see below)

! To set the circuit options for the current circuit, right-click on the circuit window and choose either Show, which displays a screen identical to the Show options in the Circuit tab of the User Preferences screen (shown above), or Color, which displays a screen identical to the Color options in the Circuit tab. Multisim comes with several color schemes that affect the circuit window background color, wire color, and component color. You can also develop your own color scheme to meet your individual needs. ! To use one of the built-in color schemes: 1. Choose the scheme from the drop-down list. A representation of the schemes settings appears in the preview box below the list. 2. To save your settings and close the screen, click OK. To cancel your settings, click Cancel.

Multisim User Guide, Rev 1

2-5

User Interface ! To create a custom color scheme: 1. Choose Custom from the drop-down list. 2. Click on the color bar next to any items. A Color selector screen appears. User Interface 3. Click on the color you want to use for that item and click OK. You are returned to the User Preferences screen. The results of your choice appear in the preview box. 4. Repeat until all your color settings are made. 5. To save your settings and close the screen, click OK. To cancel your settings, click Cancel.

2.4.3

Controlling Circuit Window Display


Circuit window display options determine the appearance and behavior of the circuit window. ! To set the default circuit window options for subsequent circuits, choose Edit/User Preferences and click the Workspace tab.
Shows the results of enabling the options on the right

Enable those items you want, by default, to be shown.

Set up the desired sheet sizes.

Set up the desired zoom level at which the component window appears.

! To set the circuit window options for the current circuit, do one or all of the following: to show or hide the grid, page bounds or title block, right-click on the circuit window and choose the corresponding command (Grid Visible, Show Page Bounds, or Show Title Block and Border) from the menu that appears

2-6

Electronics Workbench

Customizing the Interface

to set the sheet size, choose Edit/Set Sheet Sizea screen similar to the Default sheet size of the User preferences screen appears to set the zoom level, choose View/Zoom, or use the zoom buttons. Multisim comes with several sheet sizes that you can use for laying out your circuit. You can modify any of the settings of these sizes. ! To use one of the provided sheet sizes as the default: 1. Choose the sheet size from the drop-down list. That sizes settings (orientation and measurements) appear. 2. To save your settings and close the screen, click OK. To cancel your settings, click Cancel. ! To modify the settings for a specific sheet size: 1. Choose the desired sheet size from the drop-down list. That sizes settings (orientation and measurements) appear. 2. Change any of the settings. 3. To save your settings and close the screen, click OK. To cancel your settings, click Cancel.

User Interface

Multisim User Guide, Rev 1

2-7

User Interface

2.4.4

Setting Autosave, Symbol Set, Default Path and Wiring Options


These options apply to both the current circuit and subsequent circuits. ! To set the autosave options, symbol set, default path and folder, and wiring options, choose Edit/User Preferences and click the Preferences tab.
Enable or disable autosave and specify the interval at which autosave will be performed.

User Interface

Select the symbol set to be used for components. The graphic changes to represent the selected symbol set. To override this setting for individual components, see 5.10.2 Creating and Editing a Components Symbol with the Symbol Editor on page 5-18.

Control the degree of automation used in wiring. See Chapter 3, Schematic Capture for details.

2-8

Electronics Workbench

Customizing the Interface

2.4.5

Print Page Setup Tab


! To set the default print settings for subsequent circuits, choose Edit/User Preferences and click the Print page setup tab.
Enable to output circuit in black and white (for non-color printers). When disabled, colored components print in shades of grey. Enable to include background in printed copy. Use for color printers or white on black output. Set page margins for printed output. Select an option to scale the circuit down or up in printed output.

User Interface

! To set these options for the current circuit, choose File/Print Setup and click Page Setup. The above options are presented on a series of tabs.

2.4.6

Other Customization Options


You can also customize the interface by showing or hiding, dragging to a new location and, optionally, resizing any of the following: system toolbar Design Bar In Use list database selector. These changes apply to all circuits you are working with. Moved or resized items will return to that location and size when next opened. Finally, you can use the View menu to display or hide various elements, as described in 2.6.3 View Menu on page 2-17.

Multisim User Guide, Rev 1

2-9

User Interface

2.5
User Interface

System Toolbar Buttons


The system toolbar offers the following standard Windows functions:
Saves the active circuit. Copies the selected elements and places them on the Windows clipboard. Prints the active circuit. Launches the Multisim help file. Zooms in or out on the circuit, increasing or decreasing the view Removes the selected elements and places them on the Windows clipboard. Inserts the contents of the Windows clipboard at the cursor location.

Creates a circuit file.

Opens a circuit file.

2-10

Electronics Workbench

Menus and Commands

2.6

Menus and Commands


This section explains, in brief, all available Multisim commands. It is intended primarily as a reference. User Interface

2.6.1

File Menu
Contains commands for managing circuit files created with Multisim.

2.6.1.1 File/New

Ctrl+N

Opens an untitled circuit window that can be used to create a circuit. The new window opens using your circuit preferences. Until you save, the circuit window is named Circuit#, where # is a consecutive number. For example, you could have Circuit1, Circuit2, Circuit3, and so on. You can create an unlimited number of circuits in one session. Note Users of versions other than Professional or Power Professional can only have one circuit open at a time. For these users, the File/New command closes the currently open circuit file.

2.6.1.2 File/Open

Ctrl+O

Opens a previously created circuit file or netlist. Displays a file browser. If necessary, change to the location of the file you want to open. Note You can open files created with Version 5 of Electronics Workbench, files created in Multisim and netlist files.

2.6.1.3 File/Close
Closes the active circuit file. If any changes were made since the last save of the file, you are prompted to save those changes before closing.

2.6.1.4 File/Save

Ctrl+S

Saves the active circuit file. If this is the first time the file is being saved, displays a file browser. If you want, change to the desired location for saving the file. You can save a circuit file with a name of any length. The extension .msm is added to the file name automatically. For example, a circuit named Mycircuit will be saved as Mycircuit.msm. Tip To preserve the original circuit without changes, choose File/Save As.

Multisim User Guide, Rev 1

2-11

User Interface

2.6.1.5 File/Save As
Saves the current circuit with a new file name. The original circuit remains unchanged. Tip Use this command to experiment safely on a copy of a circuit, without changing the original. User Interface

2.6.1.6 File/Print
Prints all or some aspects of a circuit and/or its instruments on a printer attached to your system. You can choose one of the following to print: circuit see 3.13 Printing the Circuit on page 3-28 database see component list see instrument maps see

2.6.1.7 File/Print Preview


Previews the circuit as it will be printed. Opens a separate window, where you can move from page to page and zoom in for details. You can also print what you preview. For details, see 3.13 Printing the Circuit on page 3-28.

2.6.1.8 File/Print Setup


Changes the page setup for a selected printer.

2-12

Electronics Workbench

Menus and Commands

When you click Page Setup, you can set the page characteristics for this printer.

User Interface

These settings apply only to the current circuit. For details on these fields, see 2.4.5 Print Page Setup Tab on page 2-9.

2.6.1.9 File/Recent Files


Displays a list of all recently opened circuit files. To re-open a file, select it from the list.

2.6.1.10 File/Exit
Closes all open circuit windows and exits Multisim. If you have unsaved changes in any circuits, you are prompted to save or cancel them.

2.6.2

Edit Menu
Contains commands for removing, duplicating or selecting information. If a command is not applicable to the selected item (for example, a component), it is dimmed.

2.6.2.1 Edit/Place Component


Lets you browse the entire database (Multisim master level, corporate library level and user level) for components to be placed. For details, see 3.5.1 Choosing a Component and Using the Browser Screen on page 3-4.

2.6.2.2 Edit/Place Junction

Ctrl+J

Places a connector when you click. For details, see 3.7 Manually Adding a Junction (Connector) on page 3-14.

Multisim User Guide, Rev 1

2-13

User Interface

2.6.2.3 Edit/Place Bus

Ctrl+G

Places a bus with segments created as you click. For details, see 3.14 Placing a Bus on page 3-29. User Interface

2.6.2.4 Edit/Place Input/Output

Ctrl+I

Adds connecting nodes to a circuit for use as a subcircuit. For details, see 3.12.2 Setting up a Circuit for Use as a Subcircuit on page 3-27.

2.6.2.5 Edit/Place Text

Ctrl+T

Lets you place text on the circuit. For details, see 3.11.4 Adding Miscellaneous Text on page 3-24.

2.6.2.6 Edit/Undo
Undoes the most recently performed action.

Ctrl+Z Ctrl+Y Ctrl+X

2.6.2.7 Edit/Redo
Redoes the last undone actions.

2.6.2.8 Edit/Cut

Removes selected components, circuits or text. What you cut is placed on the Windows clipboard so you can paste it elsewhere.

2.6.2.9 Edit/Copy

Ctrl+C

Copies selected components, circuits or text. The copy is placed on the Windows clipboard. You can then use the Paste command to paste the copy elsewhere, including other applications such as word processors.

2.6.2.10 Edit/Paste

Ctrl+V

Places the contents of the clipboard. The cursor shows a ghosted image of the item to be pasted. Click to indicate where the item is to be pasted.

2.6.2.11 Edit/Paste as Subcircuit/Macro

Ctrl+B

Places the contents of the clipboard as a subcircuit. For details, see 3.12.3 Adding Subcircuits to a Circuit on page 3-27.

2-14

Electronics Workbench

Menus and Commands

2.6.2.12 Edit/Delete

Del

Permanently removes selected components or text. Does not place the selection on the clipboard and does not affect anything currently on the clipboard. User Interface Caution Use the Delete command with care. Deleted information cannot be retrieved, although the most recent deletion can be recovered using the Undo command.

Note Deleting a component or instrument removes it from the circuit window, not from its toolbar.

2.6.2.13 Edit/Replace Part


Before using, select a component on the circuit window to be replaced. Invokes the Browser screen (see Chapter 3, Schematic Capture for more information) from which you can select a new component. Click OK to replace the old component with the new one.

2.6.2.14 Edit/Select All


Selects all items in the active circuit window.

Ctrl+A

Tip To select all but a few items, use the Select All command and then deselect the ones you dont want by CTRL-clicking.

2.6.2.15 Edit/Flip Horizontal

Alt+X

Flips the selection horizontally. For details, see 3.8 Rotating/Flipping Components on page 3-15.

2.6.2.16 Edit/Flip Vertical

Alt+Y

Flips the selection vertically. For details, see 3.8 Rotating/Flipping Components on page 315.

2.6.2.17 Edit/90 Clockwise

Ctrl+R

Rotates the selection 90 degrees clockwise. For details, see 3.8 Rotating/Flipping Components on page 3-15.

Multisim User Guide, Rev 1

2-15

User Interface

2.6.2.18 Edit/90 CounterCW

Shift+Ctrl+R

Rotates the selection 90 degrees counter-clockwise. For details, see 3.8 Rotating/Flipping Components on page 3-15. User Interface

2.6.2.19 Edit/Set Sheet Size


Sets the sheet size on which the circuit is designed. Choose from the drop-down list and modify settings (orientation and size) if necessary. For details, see 3.3.1 Setting up a Sheet Size on page 3-2.

2.6.2.20 Edit/Set Title Block


Lets you enter data to appear in the circuits title block. For details, see 3.11.3 Adding a Title Block on page 3-23.

2.6.2.21 Edit/Description
Opens a window in which you can enter comments or information about the circuit. For details, see 3.11.4 Adding Miscellaneous Text on page 3-24.

2.6.2.22 Edit/User Preferences

Ctrl+U

Lets you specify default preferences for this circuit. For details, see 2.4 Customizing the Interface on page 2-4.

2.6.2.23 Edit/Global Restrictions


Lets you set global restrictions on Multisims functionality for other users. You control these restrictions through the use of passwords. For details, see 13.4.1 Setting Global Restrictions on page 13-4.

2.6.2.24 Edit/Circuit Restrictions


Lets you set restrictions on a particular circuits functionality for other users. You control these restrictions through the use of passwords. For details, see 13.4.2 Setting Circuit Restrictions on page 13-6.

2-16

Electronics Workbench

Menus and Commands

2.6.3

View Menu
2.6.3.1 View/Toolbars
Shows or hides the selected toolbar. Choose to show or hide any or all of the following toolbars: system toolbar design toolbar components toolbar instruments toolbar database selector zoom toolbar (the zoom buttons of the system toolbar) In Use list. For information on these elements, see 2.2 Introduction to the Multisim Interface on page 2-2. User Interface

2.6.3.2 View/Status Bar


Shows/hides the status bar, which provides useful information about the current operation and a description of the item the cursor is currently pointing to.

2.6.3.3 View/(Show/Hide) Simulation Error Log / Audit Trail


Shows/hides the simulation log, which records all the events of a circuit simulation. For details about this log, see 7.3.1 Start/Stop/Pause Simulation on page 7-3.

2.6.3.4 View/(Show/Hide) Command Line Interface


Opens a window into which you can type Xspice commands to be executed. Press RETURN to execute the command. The command is listed at the top part of the window and any errors are reported in the error log/audit trail.

2.6.3.5 View/(Show/Hide) Grapher


Shows/hides the Grapher screen, which shows the results of simulation on a graph or chart. For details about this screen, see 8.23 Viewing the Analysis Results: Grapher on page 8-54.

Multisim User Guide, Rev 1

2-17

User Interface

2.6.3.6 View/Show Simulate Switch


Shows or hides the simulation on/off switch. An alternative to using the Design Bar button or menu commands. The switch looks like this: User Interface

2.6.3.7 View/Grid Visible


Shows or hides a grid in the background of the circuit window. This helps you place elements in specific locations on a grid. For details, see 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2.

2.6.3.8 View/Show Page Bounds


Shows or hides page boundaries in the circuit window. This helps you note where circuits will appear on printed output. For details, see 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2.

2.6.3.9 View/Show Title Block and Border


Shows or hides the circuits title block and border. For details, see 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2.

2.6.3.10 View/Color
Lets you choose or modify the color scheme for the circuit. Overrides the defaults set in File/ Preferences. For details, see 2.4.2 Controlling Circuit Display on page 2-5.

2.6.3.11 View/Show
Lets you choose what component elements appear on the circuit window. Overrides the defaults set in File/Preferences. For details, see 2.4.3 Controlling Circuit Window Display on page 2-6.

2.6.3.12 View/Zoom
Lets you choose a magnification of 33%, 60%, 100%, 200% or other for viewing the circuit.

2-18

Electronics Workbench

Menus and Commands

2.6.3.13 View/Find

Ctrl+F

Displays a list of the reference IDs in the current circuit. You can select one or more of these reference IDs, whose associated components are then selected in the circuit window. For details, see 3.10 Finding Components in Your Circuit on page 3-21. User Interface

2.6.4

Simulate Menu
2.6.4.1 Simulate/Run/Stop
Runs or stops the circuit. Running a circuit starts a sequence of mathematical operations to compute values for the nodes (testpoints) in the circuit. Tip A digital circuit can also be activated from the word generator. See Chapter 6, Instruments for more information.

2.6.4.2 Simulate/Pause/Resume
Pauses/resumes the current simulation.

2.6.4.3 Simulate/Default Instrument Settings


Allows you to set defaults settings for instruments that are based on a transient analysis (such as the oscilloscope, spectrum analyzer and logic analyzer). For details, see 6.4 Default Instrument Analysis Settings on page 6-5.

2.6.4.4 Simulate/Instruments
Contains commands you use to place instruments (an alternative to using the instruments toolbar or the Design Bar). For details on these instruments, see Chapter 6, Instruments. Note Ammeter and voltmeter instruments are available from the Indicators Parts Bin.

Simulate/Instruments/Multimeter
Places a multimeter on the circuit window. A multimeter is used to measure AC or DC voltage or current, resistance, or decibel loss between two nodes in a circuit. For details, see 6.10 Multimeter on page 6-19.

Simulate/Instruments/Function Generator
Places a function generator on the circuit window. A function generator is a voltage source that supplies sine, triangular or square waves. It provides a convenient and realistic way to supply power to a circuit. For details, see 6.7 Function Generator on page 6-11.

Multisim User Guide, Rev 1

2-19

User Interface

Simulate/Instruments/Wattmeter
Places a wattmeter on the circuit window. A wattmeter provides the combined functions of a voltmeter and an ammeter. It is used to measure the magnitude of the active power, that is, the product of the voltage difference and the current flowing through the current terminals in a circuit. For details, see 6.14 Wattmeter on page 6-29.

User Interface

Simulate/Instruments/Oscilloscope
Places an oscilloscope on the circuit window. The dual-channel oscilloscope displays the magnitude and frequency variations of electronic signals. It can provide a graph of the strength of one or two signals over time, or allow comparison of one waveform to another. For details, see 6.12 Oscilloscope on page 6-24.

Simulate/Instruments/Bode Plotter
Places a Bode plotter on the circuit window. A Bode plotter produces a graph of a circuits frequency response and is useful for analyzing filter circuits. For details, see 6.5 Bode Plotter on page 6-6.

Simulate/Instruments/Word Generator
Places a word generator on the circuit window. A word generator sends digital words or patterns of bits into circuits to test them. For details, see 6.15 Word Generator on page 6-30.

Simulate/Instruments/Logic Analyzer
Places a logic analyzer on the circuit window. A logic analyzer displays the levels of up to 16 digital signals in a circuit. It is used for fast data acquisition of logic states and advanced timing analysis to help design large systems and carry out troubleshooting. For details, see 6.9 Logic Analyzer on page 6-15.

Simulate/Instruments/Logic Converter
Places a logic converter on the circuit window. A logic converter is able to perform several transformations of a circuit representation. It has no real world counterpart. For details, see 6.8 Logic Converter on page 6-13.

Simulate/Instruments/Distortion Analyzer
Places a distortion analyzer on the circuit window. A typical distortion analyzer provides distortion measurements for audio signals in the range of 20 Hz to 100 KHz. For details, see 6.6 Distortion Analyzer on page 6-9.

Simulate/Instruments/Spectrum Analyzer
Places a spectrum analyzer on the circuit window. The spectrum analyzer is used to measure frequency versus amplitude. For details, see 6.13 Spectrum Analyzer on page 6-28.

2-20

Electronics Workbench

Menus and Commands

Simulate/Instruments/Network Analyzer
Places a network analyzer on the circuit window. The network analyzer is used to measure the scattering parameters (or S-parameters) of a circuit, commonly used to characterize a circuit intended to operate at higher frequencies. For details, see 6.11 Network Analyzer on page 6-23.

User Interface

2.6.4.5 Simulate/Analyses
Contains commands you use to set up and run the circuits analysis (an alternative to using the Design Bar). For details on these analyses, see Chapter 8, Analyses.

Simulate/Analyses/DC Operating Point


Sets up and runs DC operating point analysis, which determines the DC operating point of a circuit. For details, see 8.4 DC Operating Point Analysis on page 8-9.

Simulate/Analyses/AC Analysis
Sets up and runs AC analysis, in which the DC operating point is first calculated to obtain linear, small-signal models for all nonlinear components. Then a complex matrix (containing both real and imaginary components) is created. For details, see 8.5 AC Analysis on page 8-11.

Simulate/Analyses/Transient Analysis
Sets up and runs Transient analysis, also called time-domain transient analysis, which computes the circuits response as a function of time. For details, see 8.6 Transient Analysis on page 8-13.

Simulate/Analyses/Fourier Analysis
Sets up and runs Fourier analysis, which evaluates the DC, fundamental and harmonic components of a time-domain signal. For details, see 8.7 Fourier Analysis on page 8-16.

Simulate/Analyses/Noise Analysis
Sets up and runs Noise analysis, which is used to detect the magnitude of noise power in the output of electronic circuits. For details, see 8.8 Noise Analysis on page 8-19.

Simulate/Analyses/Distortion Analysis
Sets up and runs Distortion analysis, which measures harmonic distortion and intermodulation distortion products. For details, see 8.9 Distortion Analysis on page 8-23.

Multisim User Guide, Rev 1

2-21

User Interface

Simulate/DC Sweep
Sets up and runs DC sweep analysis, which computes the DC operating point of a node in the circuit for various values of one or two DC sources in the circuit. For details, see 8.10 DC Sweep Analysis on page 8-25. User Interface

Simulate/Analyses/Sensitivity
Sets up and runs Sensitivity analysis, which calculates the sensitivity of an output node voltage or current with respect to the parameters of all components (DC sensitivity) or one component (AC sensitivity) in a circuit. For details, see 8.11 DC and AC Sensitivity Analyses on page 8-27.

Simulate/Analyses/Parameter Sweep
Sets up and runs Parameter Sweep analysis, which verifies the operation of a circuit by simulating it across a range of values for a component parameter. For details, see 8.12 Parameter Sweep Analysis on page 8-31.

Simulate/Analyses/Temperature Sweep
Sets up and runs Temperature Sweep analysis, which quickly verifies the operation of a circuit by simulating it at different temperatures. The effect is the same as simulating the circuit several times, once for each different temperature. You control the temperature values. For details, see 8.13 Temperature Sweep Analysis on page 8-34.

Simulate/Analyses/Transfer Function
Sets up and runs Transfer Function analysis, which calculates the DC small-signal transfer function between an input source and two output nodes (for voltage) or an output variable (for current) in a circuit. It also calculates input and output resistances. For details, see 8.14 Transfer Function Analysis on page 8-36.

Simulate/Analyses/Worst Case
Sets up and runs Worst Case analysis, a statistical analysis that lets you explore the worst possible effects on circuit performance of variations in component parameters. For details, see 8.15 Worst Case Analysis on page 8-38.

Simulate/Analyses/Pole Zero
Sets up and runs Pole Zero analysis, which finds the poles and zeros in the small-signal AC transfer function of a circuit. For details, see 8.16 Pole Zero Analysis on page 8-42.

2-22

Electronics Workbench

Menus and Commands

Simulate/Analyses/Monte Carlo
Sets up and runs Monte Carlo analysis, a statistical analysis that lets you explore how changing component properties affects circuit performance. For details, see 8.17 Monte Carlo Analysis on page 8-47. User Interface

Simulate/Analyses/Batched Analyses
Sets up and runs batched analyses, which let you set up a series of different analyses, or different variations on the same analysis, to be performed on a circuit in sequence. For details, see 8.19 Batched Analyses on page 8-51.

Simulate/Analyses/User-Defined Analysis
Sets up and runs a user-defined analysis. This command presents you with a screen into which you can type the SPICE commands to be executed to perform the analysis. For details, see 8.20 User-Defined Analyses on page 8-52.

Simulate/Analyses/Noise Figure Analysis


This analysis is part of Multisims RF Design module (standard in the Power Professional version, optional in the Professional version) and is described in Chapter 14, RF.

Simulate/Analyses/RF Analyses
Included in the menu for the purposes of completeness. Since RF analyses are available from the Network Analyzer instrument, this command places the Network Analyzer for you. For details, see 14.4.2 Network Analyzer on page 14-15.

Simulate/Analyses/Stop
Stops the currently running analysis.

2.6.4.6 Simulate/Postprocess
Opens the Postprocessor screen, which you use to combine the results of several analyses in different ways. To use the Postprocessor, you must have performed at least one analysis on your circuit. For details, see Chapter 9, Postprocessor.

2.6.4.7 Simulate/VHDL Simulation


Runs the VHDL simulation module. For details, see Chapter 10, HDLs and Programmable Logic.

Multisim User Guide, Rev 1

2-23

User Interface

2.6.4.8 Simulate/Verilog HDL Simulation


Runs the Verilog HDL simulation module. For details, see Chapter 10, HDLs and Programmable Logic. User Interface

2.6.4.9 Simulate/Auto Fault Option


Applies faults to randomly selected components in the circuit. You choose the number of faults (either in total, or the number of each type of fault) to be applied. For details, see 13.3.2 Using the Auto Fault Option on page 13-3.

2.6.4.10 Simulate/Global Component Tolerances


Multisim components are, by default, ideal they have no internal resistance and their output is consistent. You can choose to use global components instead. These randomly introduce variances to simulate the performance of actual, physical components. Global component settings affect the simulation results. See 4.5 Component Nominal Values and Tolerances on page 4-26 for details.

2.6.5

Transfer Menu
2.6.5.1 Transfer/Transfer to Ultiboard
Displays a file browser where you choose or enter a file name for the transferred data. A file of the correct format is created. If you plan to use backannotation, you must save your file immediately.

2.6.5.2 Transfer/Transfer to other PCB Layout


Displays a file browser where you choose or enter a file name for the transferred data. You can also choose the appropriate file type from a list of available types.

2.6.5.3 Transfer/Backannotate from Ultiboard


Backannotates changes made to a circuit in Ultiboard (for example, deleted components) to the Multisim circuit file. Displays a file browser where you choose the backannotation file corresponding to your circuit file. The circuit file must be open before you use this command.

2-24

Electronics Workbench

Menus and Commands

2.6.5.4 Transfer/VHDL Synthesis


Runs the VHDL Synthesis program on a file created from the current circuit. You are prompted to save the file, and then VHDL Synthesis appears with the file loaded in it. For details, see Chapter 10, HDLs and Programmable Logic. User Interface

2.6.5.5 Transfer/Verilog HDL Synthesis


Runs the VHDL Synthesis program on a file created from the current circuit. You are prompted to save the file, and then VHDL Synthesis appears with the file loaded in it. For details, see Chapter 10, HDLs and Programmable Logic.

2.6.5.6 Transfer/Export Simulation Results to MathCAD


Exports the results of your simulation to a file format readable by MathCAD. For details, see Chapter 12, Transfer/Communication.

2.6.5.7 Transfer/Export Simulation Results to Excel


Exports the results of your simulation to a file format readable by Excel. For details, see Chapter 12, Transfer/Communication.

2.6.5.8 Transfer/Export Netlist


Exports the netlist of your design. Opens a standard file browser where you can choose the file name and folder for the exported netlist.

2.6.6

Tools Menu
2.6.6.1 Tools/Create Component
Invokes the Create Component Wizard, which takes you through the steps of creating a component. For details, see 5.7 Adding Components on page 5-9.

2.6.6.2 Tools/Edit Component


Lets you edit any component in the database. For details, see 5.4 Editing Components on page 5-5.

Multisim User Guide, Rev 1

2-25

User Interface

2.6.6.3 Tools/Copy Component


Lets you copy any component in the database. For details, see 5.9 Copying Components on page 5-15. User Interface

2.6.6.4 Tools/Delete Component


Lets you delete any component in the corporate library or user level of the database. For details, see 5.8 Removing Components on page 5-14.

2.6.6.5 Tools/Database Management


Lets you add component families, assign button icons to families, and (for some versions of Multisim) enter or modify user field titles for those component families. For details, see 5.3 Managing the Database on page 5-2.

2.6.6.6 Tools/Update Models


Updates any newly loaded Multisim master models that are being used from the Multisim master database in the active circuit. Use this option only after you have loaded a new Multisim master database.

2.6.6.7 Tools/Remote Control/Design Sharing


Allows you to communicate with and share designs with others, either across a network or using the Internet. For Project/Team Design module or Educational Version users only. For details, see Chapter 13, Educators Guide.

2.6.7

Window Menu
Contains commands used to control the display of Multisim windows. Lists all open circuit windows.

2.6.7.1 Window/Cascade
Arranges circuit windows so that they overlap.

2.6.7.2 Window/Tile
Resizes all open circuit windows so they all show on the screen. Allows you to quickly scan all open circuit files.

2-26

Electronics Workbench

Menus and Commands

2.6.7.3 Window/Arrange Icons


Lines up minimized windows.

2.6.7.4 Window (open files)


Lists the open Multisim circuit files. Select one to display it.

User Interface

2.6.8

Help Menu
Contains commands that display on-line help and Multisim version information. Tip If you want to be able to refer to Help information as you work on a circuit, use the Keep Help on Top function in the Help windows Options menu.

2.6.8.1 Help/Multisim Help


Displays Multisims basic help file. The contents of this help file are identical to the contents of the chapters of this guide.

2.6.8.2 Help/Multisim Reference


Displays Multisims reference help file. The contents of this help file are identical to the contents of the appendices of this guide.

2.6.8.3 Help/Release Notes


Displays version information, additions or corrections to printed documentation, and other useful information.

2.6.8.4 Help/About Multisim


Displays information on the version number of Multisim. Customer support may ask for this number.

Multisim User Guide, Rev 1

2-27

User Interface

User Interface 2-28

Electronics Workbench

C h a p te r 3 Schematic Capture
3.1 3.2 3.3 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1 Introduction to Schematic Capture . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1 Schematic Capture Setting up Your Circuit Window . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-1 3.3.1 Setting up a Sheet Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-2 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders . . . . . . . . . . . . . . 3-2 3.3.3 Selecting a Symbol Set . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3 Selecting Components from the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-3 Placing Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-4 3.5.1 Choosing a Component and Using the Browser Screen . . . . . . . . . . . . . . . . . 3-4 3.5.2 Using the In Use List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 3.5.3 Moving a Placed Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 3.5.4 Copying a Placed Component . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-8 3.5.5 Replacing a Placed Component. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-9 3.5.6 Controlling Component Color. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 Wiring Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-10 3.6.1 Wiring Components Automatically . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-11 3.6.2 Wiring Components Manually . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-12 3.6.3 Combining Automatic and Manual Wiring . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13 3.6.4 Setting User Preferences for Wiring. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13 3.6.5 Modifying the Wire Path . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13 3.6.6 Controlling Wire Color . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14 Manually Adding a Junction (Connector) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-14 Rotating/Flipping Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-15 Placed Component Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-16 3.9.1 Displaying Identifying Information about a Placed Component . . . . . . . . . . . 3-16 3.9.2 Viewing a Placed Components Value/Model . . . . . . . . . . . . . . . . . . . . . . . . 3-18 3.9.3 Controlling How a Placed Component is Used in Analyses . . . . . . . . . . . . . . 3-20

3.4 3.5

3.6

3.7 3.8 3.9

Multisim User Guide

3.10 Finding Components in Your Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-21 3.11 Labelling. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.11.1Modifying Component Labels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.11.2Modifying Node Numbers . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.11.3Adding a Title Block . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.11.4Adding Miscellaneous Text . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.11.5Adding a Description . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.12 Subcircuits and Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.12.1Subcircuits vs. Hierarchy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.12.2Setting up a Circuit for Use as a Subcircuit . . . . . . . . . . . . . . . . . . . . . . . . . . 3.12.3Adding Subcircuits to a Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Schematic Capture 3-21 3-22 3-23 3-23 3-24 3-25 3-26 3-26 3-27 3-27

3.13 Printing the Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-28 3.14 Placing a Bus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-29 3.15 Using the Pop-up Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3.15.1From Circuit Window, with no Component Selected . . . . . . . . . . . . . . . . . . . 3.15.2From Circuit Window, with Component or Instrument Selected . . . . . . . . . . 3.15.3From Circuit Window, with Wire Selected . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-30 3-30 3-31 3-32

Electronics Workbench

Chapter 3 Schematic Capture


3.1 About this Chapter
Schematic Capture This chapter describes all the basic functions involved in creating a circuit in the circuit window. This chapter explains the fundamental steps in circuit creation, but is not intended to describe all the potential aspects of circuit design. For example, you should look to other chapters for details on the component database, instructions on editing components, and information on adding instruments.

3.2

Introduction to Schematic Capture


Schematic capture is the first stage in developing your circuit. In this stage you choose the components you want to use, place them on the circuit window in the desired position and orientation, wire them together, and otherwise prepare your design. Multisim also allows you to modify component properties, orient your circuit on a grid, add text and a title block, add subcircuits and buses, and control the color of the circuit window background, components and wires.

3.3

Setting up Your Circuit Window


Multisim lets you set up the circuit window to match your design requirements. In particular, you can set: sheet size whether or not the grid, page bounds, page borders and title block are visible the symbol set (ANSI or DIN) you want to use.

Multisim User Guide, Rev 1

3-1

Schematic Capture

When you create a component file, the current settings in your user preferences (as described in 2.4.1 About User Preferences on page 2-4) are used as the defaults for these options. You can override the defaults, with your new settings being stored with the circuit file. This allows you to have unique settings for each file you create.

3.3.1

Setting up a Sheet Size


! To set up the sheet size for this circuit: 1. Choose Edit/Set Sheet Size. The Sheet Size screen appears.

Schematic Capture

2. Choose the desired sheet size from the drop-down list. 3. Change any of the characteristics (orientation or size) necessary. 4. To confirm the sheet size, click OK. To cancel it, click Cancel.

3.3.2

Displaying or Hiding the Grid, Title Block and Page Borders


Multisim lets you display a background grid on the circuit window, to help you orient your components. It also lets you show or hide the components title block (explained in more detail in 3.11.3 Adding a Title Block on page 3-23), the page bounds that show the parameters of your sheet size, and the page borders that display a mapping grid. As described in 2.4.3 Controlling Circuit Window Display on page 2-6, the default settings that specify which of these items are displayed is set in user preferences. User preferences are used when a new circuit is created. You can also use the View menu to set these defaults for the current circuit only.

3-2

Electronics Workbench

Selecting Components from the Database ! To affect what is shown or hidden in the current circuit, do one of the following: enable View/Grid Visible, View/Show Page Bounds or View/Show Title Block and Border

or right-click on the circuit window and choose Grid Visible, Page Bounds, or Title Block and Border from the pop-up menu that appears.

3.3.3

Selecting a Symbol Set


Multisim allows you to use either ANSI or DIN symbols on your circuit window. ! To choose the desired symbol set, choose Edit/User Preferences, display the Preferences tab, and select the desired symbol set. Schematic Capture

3.4

Selecting Components from the Database


The first step in schematic capture is placing the appropriate components on your circuit window. Multisim components are stored in a database that contains three levels of data: Multisim master, corporate library, and user. (These database levels are discussed more thoroughly in Chapter 4, Components.) There are two methods of locating a component in this database: through either the component toolbars or Edit/Place Component, you can browse through all the component groups/families, as explained in 3.5.1 Choosing a Component and Using the Browser Screen on page 3-4 by searching a specific component group/family of the database, as explained in Chapter 4, Components. The first of these three choices is used most commonly. The families of components you need to create a circuit are grouped into logical divisions, each grouping represented by a Parts Bin button on the Component toolbar. This logical grouping is a key advantage of Multisim, saving you time and frustration. You can toggle the Component toolbar on and off by clicking the Component button on the Design Bar. Each Parts Bin button on the Component toolbar corresponds to group of components with similar functionality. Placing your cursor over one of these buttons displays another toolbar, the component family toolbar, containing a button for each component family contained in that Parts Bin. Note For a detailed look at the make-up of the component Parts Bins, see 4.2.3 Classification of Components in the Database on page 4-3.

Multisim User Guide, Rev 1

3-3

Schematic Capture

For example:
Placing the cursor on this component toolbar Parts Bin button...

...reveals this component family toolbar.

Schematic Capture

Electronics Workbench provides the unique concept of virtual components in Multisim. Virtual components are not real, that is, cannot be purchased, and have no footprint. They are included for simulation flexibility. Virtual components appear by default in a different color from other components on the circuit window. You control the color as described in 2.4.2 Controlling Circuit Display on page 2-5.

3.5
3.5.1

Placing Components
Choosing a Component and Using the Browser Screen
By default, the Component Design Bar button is enabled and one or more Component toolbars are visible. If no toolbar is visible, click the Component button on the Design Bar. ! To choose and place a component. 1. On the desired Component toolbar, place the cursor on the desired Parts Bin. The associated component family toolbar appears.

3-4

Electronics Workbench

Placing Components

2. From the component family toolbar, click the button for the desired component family. If the selected component family has only a single component, you can simply place the component. For other components, a Browser screen appears. Alternatively, you can display the Browser screen by choosing Edit/Place Component. 3. From the Browser screen, select the desired component from the Component List. Information about that component appears. 4. If you selected the wrong component family from the toolbar, choose the correct component family from the Component family field of the Browser screen. The information in the Select Component area will change accordingly. Tip To make your scroll through the Browsers Components List faster, simply type the first few characters of the components name. Schematic Capture

Multisim User Guide, Rev 1

3-5

Schematic Capture

Indicates the database from which to draw the component (defaults to the database of the selected Parts Bin). Indicates the component family with which you are working (defaults to the selected family toolbar) Information about the component selected in the Component List

Shows the symbol that will be used to represent the component selected in the Component List

Schematic Capture

Lists the components available in the family named in Component Family field. Lists the manufacturers of the component selected in the Component List

Lists the model levels available for the component selected in the Component List

Shows the model data for the component selected in the Component List

Allows you to edit the component. For details, see Chapter 5, Component Editing.

Click to search the database. For details, see 4.3 Locating Components in the Database on page 4-21. Produces a list of the components in the family. For details, see 11.3 Database Family List on page 11-3. Produces detailed information about the selected component. For details, see 11.4 Component Detail Report on page 11-4. Allows you to enter user field information for the selected component. For details, see 13.7 Working with User Fields on page 13-8.

3-6

Electronics Workbench

Placing Components

5. To confirm that this is the component you want to place, click OK. (To cancel placing the component, click Cancel.) The Browser screen disappears and the cursor on the circuit window changes to a ghost image of the component you wish to place. This indicates a component is ready to be placed.
An ghost image of the component appears on the circuit window showing you exactly where the component will be placed

6. Click on the circuit window at the location where you want the component placed. The components symbol and labels appear (unless you have specified that they are not to be displayed, as explained in 3.11.1 Modifying Component Labels on page 3-22), as well as a unique reference ID made up of a letter and number. The letter represents the type of component and the number is a sequential number that indicates the order in which the components were originally placed. For example, the first digital component has the reference ID U1, the next is U2, the first inductor has the reference ID L1, and so on. Note If the component you place is a virtual component (that is, it has no equivalent in the real world, and will therefore not be exported to Ultiboard), it is a different color from real components and the Browser is not required (i.e. no Step 3). This color is set in your preferences, as explained in 2.4.2 Controlling Circuit Display on page 2-5. Note If you are placing a component whose package includes multiple devices (for example, four separate gates), you are prompted to specify which of the sections you want to place. You can choose any one and, if desired, you can use all of the available devices from one chip before starting to use a second.

Schematic Capture

Multisim User Guide, Rev 1

3-7

Schematic Capture

3.5.2

Using the In Use List


Each time you place a component or subcircuit, it is added to the In Use list for easy re-use. To place a copy of any currently placed component, simply select it from the list. The copied component appears at the top of your circuit windowyou can move it to any location you like. For educational purposes, you can hide the In Use list from students view by using restrictions. See 13.4 Using Restrictions on page 13-3 for details.

3.5.3
Schematic Capture

Moving a Placed Component


You can move a placed component to another location by doing one of the following: dragging the component selecting the component and pressing the arrow keys on your keyboard to move it up, down, or to either side. Note A components icon and labels can be moved independently or togetherif you plan to move the component, be sure the whole component is selected, not just its label.
Labels Icon

Note Moving automatically adjust the wiring to an appropriate configuration, unless you have disabled the autowire on move option described in 3.6.4 Setting User Preferences for Wiring on page 3-13.

3.5.4

Copying a Placed Component


! To copy a placed component: 1. Select the desired component and choose Edit/Copy. or Right-click on the desired component, and, from the pop-up menu that appears, choose
Copy.

3-8

Electronics Workbench

Placing Components

2. From the Edit menu, choose Paste. or Right-click anywhere on the circuit window and, from the pop-up menu that appears, choose Paste. 3. The cursor shows a ghosted version of the copied component. Click at the location where you want the copied component placed. Once you have placed the copied component, you can click and drag it to the desired location. You can also copy a component using the Windows control keys for cut (CTRL - X), copy (CTRL - C) and paste (CTRL - V).

3.5.5

Replacing a Placed Component


You can easily replace a placed component by using the Replace button on the components properties screen. ! To replace a placed component: 1. Double-click on the component you want to replace. The components properties screen appears.

Schematic Capture

Multisim User Guide, Rev 1

3-9

Schematic Capture

2. Click Replace. The Browser screen appears, already loaded with the selected components component family. 3. Select a new component from the Component List, and click OK. The new component appears on the circuit window in the place of the previous one.

3.5.6

Controlling Component Color


The default color used for a component and the background color of the circuit window are controlled by your user preferences, as described in 2.4.2 Controlling Circuit Display on page 2-5.

Schematic Capture

! To change the color of the placed component, right-click on the component and choose Color from the pop-up menu that appears. You are presented with a color palette. Choose a color and click OK to apply it to the selected item. ! To change the color of the background, and the default color scheme used throughout the circuit, right-click on the circuit window. The window that appears allows you to set a different color scheme. For more on color schemes, see 2.4.2 Controlling Circuit Display on page 25.

3.6

Wiring Components
Once you have placed components on the circuit window, you will want to wire them together. All components have pins that you can use to wire them to other components or instruments. You can choose to wire components either automatically or manually. Automatic wiring, a feature unique to Multisim, means Multisim finds the path for wire placement for you and avoids wiring through other components or overlapping wires. Manual wiring means you control the flow of the wire on the circuit window. You can easily combine these methods for a single connection, for example, by starting with manual wiring and then switching to automatic.

3-10

Electronics Workbench

Wiring Components

3.6.1

Wiring Components Automatically


! To wire two components together, automatically: 1. Click on a pin from the first component to start the connection (your pointer turns into a + sign) and drag. A wire appears, attached to your cursor. 2. Click on a pin on the second component to finish the connection. Multisim automatically places the wire, which snaps to an appropriate configuration (unless you have disabled the autowire on connection option, as described in 3.6.4 Setting User Preferences for Wiring on page 3-13). The wire is numbered as a node.

Schematic Capture

System-generated node number

Tip If the connection was not successful, you may be trying to place the wire too close to other surrounding components. Try to make the connection at a slightly different location, or use manual wiring, as described in the following section. For information on changing the color of the wire, see 3.6.6 Controlling Wire Color on page 3-14. Note After a wire is connected between two pins the cursor returns to its normal mode and is ready for your next command. ! To delete a wire, click on it and press DELETE or right-click on it and choose Delete from the pop-up menu that appears.

Multisim User Guide, Rev 1

3-11

Schematic Capture

3.6.2

Wiring Components Manually


! To wire two components together, manually: 1. Click on a pin from the first component to start the connection (your pointer turns into a + sign) and drag. A wire appears, attached to your cursor. 2. Control the flow of the wire by clicking on points as you drag. Each click fixes the wire to that point. For example:

The mouse button was clicked at these locations.

Schematic Capture

By default, Multisim skips over (avoids) components to which it is not connected. For example:
The wire skips this component.

To pass through intermediary components instead, position the wire at the desired location beside the intermediary component and press SHIFT while dragging the wire. For example:

The wire passes through this component.

3. Click on the desired pin of the second component to finish the connection. The wire snaps to an appropriate configuration and the connection is numbered. Note To stop the wiring process at any time, press ESC. ! To delete a wire, click on it and press DELETE or right-click on it and choose Delete from the pop-up menu that appears.

3-12

Electronics Workbench

Wiring Components

3.6.3

Combining Automatic and Manual Wiring


You can combine the two methods of wiring when placing a single wire. Multisim assumes you always want to perform automatic wiring until you click somewhere, which locks the wire to that point (this is manual wiring). Multisim then continues with automatic wiring, until you click once moreeither at a destination pin or wire to complete the connection, or at another interim point on the wire you are placing. This method allows you to use automatic wiring for most connections, and use manual wiring only for difficult paths or portions of paths.

3.6.4

Setting User Preferences for Wiring


Schematic Capture You can set your preferences for how Multisim controls the automatic wiring. ! To set your preferences: 1. Choose Edit/User Preferences and select the Preferences tab. 2. In the wiring section of this tab, you can set two options: Autowire on connection and Autowire on move. Enabling Autowire on connection ensures that Multisim will choose the most efficient path to wire the selected components together. Disabling this option gives you more control over the wire path, as Multisim follows the exact path of your cursor. Enabling Autowire on move ensures that, when you move a wire component, Multisim reshapes the wire to the most efficient path. Disabling this option means that the wires will move exactly in the shape and direction that you move a wired component.

3.6.5

Modifying the Wire Path


! To alter the shape of the path once it is placed: 1. Click on the wire. A number of drag points appear on the wire:
Drag points

Multisim User Guide, Rev 1

3-13

Schematic Capture

2. Click any of these and drag to modify the shape. or Move your cursor anywhere on the wire. When your cursor changes to a double arrow, click and drag, in the direction of the arrows, to modify the shape. You can add or remove drag points to give you even more control over the wire shape. ! To add or remove drag points, press CTRL and click on the wire at the location where you want the drag point added or removed.

3.6.6
Schematic Capture

Controlling Wire Color


The default color used for wires is controlled by your user preferences, as described in 2.4.2 Controlling Circuit Display on page 2-5. ! To change the color of the placed wire from its default values, right-click on the wire and choose Color from the pop-up menu that appears. You are presented with a color palette. Choose a color and click OK to apply it to the selected item. ! To change the color scheme (including the default wire color) for the current circuit only, right-click on the circuit window. The window that appears allows you to set a different color scheme. For more on color schemes, see 2.4.2 Controlling Circuit Display on page 2-5.

3.7

Manually Adding a Junction (Connector)


If you want to start a wire at a position that is neither a pin nor a junction, you must add a junction. Multisim automatically inserts junctions when you connect one wire to another wire to differentiate them from wires that are crossing but not connected. ! To manually add a junction: 1. Choose Edit/Place Junction. Your cursor changes to indicate that a junction is ready to be placed. 2. Click on the location where you want the junction placed. A connector appears at the selected location. ! To make a connection from a placed junction: 1. Move your cursor close to the junction, until the cursor looks like this:

2. Click and drag a wire from the junction to the desired location.

3-14

Electronics Workbench

Rotating/Flipping Components

3.8

Rotating/Flipping Components
You can rotate or flip a component by either using the pop-up menu or selecting the component and using commands from the Edit menu. The instructions below describe the pop-up menu method only. ! To rotate a component: 1. Right-click on the component. 2. From the pop-up menu that appears, choose 90 Clockwise to rotate the component 90 degrees clockwise. or Choose 90 CounterCW to rotate the component 90 degrees counter clockwise. Schematic Capture For example:
Unrotated: Rotated 90 degrees clockwise: Rotated 90 degrees counterclockwise:

Note Text associated with the component, such as labels, values, and model information, may be repositioned as a result of your action, but is not rotated. Any wires attached to the component are rerouted automatically. ! To flip a component: 1. Right-click on the component. 2. From the pop-up menu that appears, choose Flip Horizontal to flip the component horizontally. or Choose Flip Vertical to flip the component vertically. Note Text associated with the component, such as labels, values, and model information, may be repositioned, but is not flipped. Any wires attached to the component are rerouted automatically.

Multisim User Guide, Rev 1

3-15

Schematic Capture

For example:
Unflipped: Flipped horizontally Flipped vertically

Schematic Capture

3.9

Placed Component Properties


Each component placed on the circuit window has a set of properties that control certain aspects of it beyond those stored in the Multisim database. These properties affect only the placed component, not other instances of that component in other circuits or other locations in this circuit. Depending on the type of component, these properties determine some or all of the following: the identifying information and labels about the placed component to be displayed on the circuit window (for details, see 3.11.1 Modifying Component Labels on page 3-22) the model of the placed component for some components, how the placed component will be used in analyses the faults to be used for the placed components nodes. The properties also show the components value or model and footprint.

3.9.1

Displaying Identifying Information about a Placed Component


As described in 2.4.2 Controlling Circuit Display on page 2-5, your user preferences determine which of the three pieces of identifying information (label, values and reference ID) is displayed is set in user preferences. User preferences take effect when a new circuit is created. You can also use View/Show to display a window where you can set these defaults for the current circuit only. Finally, you can override these settings for an individual placed component, as described here. For educational purposes, you can also hide this information from students view for all the components in an entire circuit by using restrictions. See 13.4.2 Setting Circuit Restrictions on page 13-6 for details.

3-16

Electronics Workbench

Placed Component Properties ! To set the identifying information to be displayed for a placed component: 1. Double-click on the component. A properties screen for the selected component appears. 2. Click the Display tab.

When this option is enabled, the types of identifying information displayed for this individual component are controlled by this circuits settings.

Schematic Capture

When the above global setting option is not enabled, these options are available and determine which identifying information is displayed for this individual component.

3. Disable the Use Schematic Option global setting option. 4. Enable the identifying information you want displayed for this component, and disable the identifying information you do not want displayed for this component. 5. To cancel your settings, click Cancel. To save your settings, click OK.

Multisim User Guide, Rev 1

3-17

Schematic Capture

3.9.2

Viewing a Placed Components Value/Model


The Values tab of the properties screen for a component shows the value/model being used for the placed component. Depending on the type of component, you see one of two types of tabs. For real components, the tab looks like this:
Identifies the value information of the component being used.

Schematic Capture

3-18

Electronics Workbench

Placed Component Properties

For virtual components, whose value can be set manually, the tab looks similar to this:

Options let you change the default settings of the virtual component.

Schematic Capture

You can modify any of these fields (if a field is not editable, be sure you have enabled its corresponding option). To cancel your changes, click Cancel. To save your changes, click OK. The ability to change the value of a component is allowed only for virtual components. It is important that you understand these components. Virtual components are not real; that is, you could not go to a supplier and purchase them. They are provided for your convenience. Multisim treats them slightly differently from real components in two ways. First, by default, virtual components are shown in a different color from that of real components on your schematic. This is to remind you that, since they are not real, these components will not be exported to PCB Layout software. Second, when you place such parts you do not need to choose from the Browser, since you can set the value of a virtual part to anything you want. Virtual parts include all sources, virtual resistor/capacitor/inductor parts, and numerous others. Virtual parts also include other ideal devices intended to provide theoretical equivalents of, for example, the perfect opamp. For educational purposes, you can hide the values of all components in a circuit from students view by using circuit restrictions. See 13.4.2 Setting Circuit Restrictions on page 136 for details.

Multisim User Guide, Rev 1

3-19

Schematic Capture

3.9.3

Controlling How a Placed Component is Used in Analyses


For some components, you can determine how they are to be used in any analyses you might perform on the circuit. These components offer an additional properties screen tab Analysis Setup. For educational purposes, you can hide analysis information for all components in a circuit. See 13.4.2 Setting Circuit Restrictions on page 13-6 for details. ! To control how the component is used in analyses: 1. Double-click on the component. The properties screen for the component appears. 2. Click the Analysis Setup tab:

Schematic Capture

Enable the options for the analyses in which you want the component used.

Choose which magnitude and/or phase is to be used during the analysis.

3. To cancel your changes, click Cancel. To save your changes, click OK.

3-20

Electronics Workbench

Finding Components in Your Circuit

3.10

Finding Components in Your Circuit


! To quickly find a component in the circuit window: 1. Choose View/Find. A list of the components in your circuit appears.
This is the list of the reference IDs of all components in the circuit.

Components selected here will also be selected in the circuit window.

Schematic Capture

2. Select any number of components (hold down the SHIFT key while clicking to select more than one). 3. Click Select Components. All selected components are also selected in the circuit window.

3.11

Labelling
Multisim assigns a label to a placed component, node or pin. You can modify or move the component or node label. Pin labels are set in Component Editing, as explained in Chapter 5, Component Editing. You can control which elements are displayed at the circuit or component level, as described in 3.9.1 Displaying Identifying Information about a Placed Component on page 3-16. Multisim also allows you to add a title block (described in 3.11.3 Adding a Title Block on page 3-23) and additional text to your circuit (described in 3.11.4 Adding Miscellaneous Text on page 3-24).

Multisim User Guide, Rev 1

3-21

Schematic Capture

3.11.1 Modifying Component Labels


Labels and, for most components, a reference ID are assigned by Multisim to a placed component. You can also assign this information using the Component Properties screen. ! To assign a label and/or reference ID to a placed component: 1. Double-click on the component. The Component Properties screen appears. 2. Click the Label tab:
Enter or modify the reference ID and/or label here.

Schematic Capture

3. Enter or modify the label and/or reference ID text (which must be composed of letters or numbers only no special characters or spaces). Note If you assign the same reference ID to more than one component, Multisim warns you that this is not normally desirable. cannot proceed with assigning the same reference ID to multiple components. 4. To cancel your changes, click Cancel. To save your changes, click OK.

3-22

Electronics Workbench

Labelling

3.11.2 Modifying Node Numbers


Multisim automatically assigns a node number to each node in the circuit. You can modify and move these labels. ! To modify a node label: 1. Double-click on the wire. The Node properties screen appears:
Node name that appears on the circuit window. Nodes trace width, as calculated by Multisim.

Schematic Capture

Sets nodes values to be used for these analyses.

2. Make the desired settings. 3. To confirm your settings, click OK. To cancel them, click Cancel. Note You should exercise caution when changing node names, as they are critical to your circuits connectivity as understood by simulation or PCB layout. ! To move a node label, simply select it and drag it to a new location.

3.11.3 Adding a Title Block


You can enter information about your circuit, including title, description and size, using the Title Block screen. Whether or not the title block appears is controlled as described in 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2.

Multisim User Guide, Rev 1

3-23

Schematic Capture

! To enter information about your circuit: 1. Choose Edit/Set Title Block. The Title Block screen appears. 2. Enter information about your circuit and click OK. The title block appears at the bottom right corner of the sheet. If the title block does not appear, it may be set to be hidden. See 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2 for details. ! To edit the contents of a title block, choose Edit/Set Title Block and modify the text.

3.11.4 Adding Miscellaneous Text


Schematic Capture Multisim allows you to add notes to a circuit, for example to explain a particular part of a circuit. ! To add text: 1. Choose Edit/Place Text. 2. Click on the location where you want the text placed. A text box appears. 3. Type the text.

Text appears where you click

4. Click elsewhere on the circuit window to stop adding text. ! To delete text, right-click on the text box and choose Delete from the pop-up menu that appears, or press DELETE. ! To change the color of text, right-click on the text box, choose Color from the pop-up menu that appears, and choose the desired color.

3-24

Electronics Workbench

Labelling

3.11.5 Adding a Description


In addition to adding text to a particular portion of a circuit, you can also add general comments to your circuit. These comments can be edited, moved around the circuit window, and printed. ! To add a description: 1. Choose Edit/Description. The Description window appears:

Schematic Capture

2. Enter text by typing directly into the window. 3. When you are finished entering text, click OK to save the text and close the description window, or Cancel to close the window without saving. ! To print your description, from the Description window, click Print. ! To add to or modify the description, choose Edit/Description again. The Description window appears, with the text saved from the last time you saved it. Use the scroll bar on the right side of the window to find the desired location in the text. ! To move the description window around the circuit window, click in the title bar area and drag the window to a new location.

Multisim User Guide, Rev 1

3-25

Schematic Capture

3.12

Subcircuits and Hierarchy

3.12.1 Subcircuits vs. Hierarchy


Multisim allows you to use one circuit inside another. The embedded circuit, or subcircuit, appears as a single icon on the circuit window of the circuit in which it is embedded, simplifying the appearance of the circuit.
Subcircuit

Main circuit

Schematic Capture

For non-hierarchy users, the subcircuit becomes part of the circuit file in which it is embedded. The subcircuit can be modified, and its changes will affect the circuit in which it is embedded, but you must open the subcircuit from within the circuit in which it is embedded. You cannot open the subcircuit directly. When you save the file, the subcircuit is saved with it.

3-26

Electronics Workbench

Subcircuits and Hierarchy

3.12.2 Setting up a Circuit for Use as a Subcircuit


To make it possible to wire a subcircuit into your circuit, you should add Input/Output nodes to the circuit which will be the subcircuit. These appear on the subcircuits icon when the subcircuit is embedded in a circuit, so you can see where to add the connecting wires. ! To add an input/output node to a circuit: 1. Choose Edit/Place Input/Output. The cursor changes to indicate a node is ready to be placed. 2. Click at the location where you want the input/output node placed. 3. The connecting node is placed on your circuit window. You can wire it into your circuit as with any other components. Schematic Capture
The connecting node is wired into the circuit.

3.12.3 Adding Subcircuits to a Circuit


! To add a subcircuit to a circuit: 1. Copy or cut the desired circuit file or portion of a circuit file to the clipboard. 2. Choose Edit/Paste as Subcircuit/Macro. You are prompted for a new name for the subcircuit. Your cursor changes to a ghost image of the subcircuit indicating a subcircuit is ready to be placed. 3. Click on the location in the circuit where you want the subcircuit placed (you can move it later, if necessary). 4. The subcircuit appears in the desired location on the circuit window as an icon with the subcircuit name inside it. The subcircuit name appears with your other components in the In Use list. The subcircuit icon can be manipulated as with any other components. For example, you can right-click on the icon and rotate it or set its color. You can also connect wires from the original circuit to any appropriate location in the subcircuit (that is, where potential input/output connections are available), as shown earlier in this section.

Multisim User Guide, Rev 1

3-27

Schematic Capture

! To edit a subcircuit: 1. Double-click on the subcircuit icon in the main circuit window that contains the subcircuit. The Subcircuit screen appears. 2. Click Edit Subcircuit. The subcircuit appears in its own window, from which you can edit the subcircuit as you would any other circuit. For educational purposes, you can lock a subcircuit to make it unavailable to students for viewing or editing. See 13.4.2 Setting Circuit Restrictions on page 13-6.

3.13
Schematic Capture

Printing the Circuit


Multisim allows you to control specific aspects of your printing, including: whether to output in color or black and white whether to include the background in the printed output page margins for printing scaling of the circuits image to fit the printed output.

! To set the default printing environment for future circuits, use Edit/User Preferences, as described in 2.4.5 Print Page Setup Tab on page 2-9. ! To set the default printing environment for this circuit, choose File/Print Setup, then click Page Setup. The window that appears offers three tabs which provide the same choices as in the User Preferences screen. For details, see 2.4.5 Print Page Setup Tab on page 2-9. ! To print the circuit file using the specified environment, choose File/Print/Print Circuit. ! To preview your printed file, choose File/Print Preview. The circuit appears in a preview window where you can zoom in, move from page to page, and send the circuit to the printer. The Print Preview screen offers the following toolbar:
Moves from page to page in a multi-page image. Zooms in or out on the image.

Sends the previewed image to the printer.

Toggles between showing one page or two pages at a time.

Closes the Print Preview window.

3-28

Electronics Workbench

Placing a Bus

3.14

Placing a Bus
Buses are a set of parallel paths in your schematic that are used to connect one group of pins to another group of pins over a similar path. When implemented on a PCB, for example, a bus may in fact be a single piece of copper or series of cables carrying several binary bits representing a digital word in parallel. ! To place a bus in your circuit: 1. Choose Edit/Place Bus. 2. Click on the first point for the bus. 3. Click on the next point for the bus. 4. Continue to click on points until the bus is complete. Schematic Capture 5. Double-click to mark the ending point of the bus. The bus is drawn in the same color as set for virtual components.
Click at each location of the bus.

6. Wire the bus into your circuit by dragging a wire to any location on the bus. The Node Name screen appears:

7. If necessary, change the name shown (this name is appended to the word Bus to form the node name) and click OK. ! To change the color of the bus, right-click on it and choose Color from the pop-up menu that appears. ! To change the reference ID of the bus (by default, Multisim gives it the reference ID bus), double-click the bus and change the reference ID in the properties screen that appears.

Multisim User Guide, Rev 1

3-29

Schematic Capture

3.15

Using the Pop-up Menu

3.15.1 From Circuit Window, with no Component Selected


If you right-click on the circuit window with no component selected, a pop-up menu of appropriate commands appears. These commands are:
Command Description Lets you browse the entire database (Multisim master level, corporate library level and user level) for components to be placed. For details, see 3.5.1 Choosing a Component and Using the Browser Screen on page 3-4. Places a connector when you click. For details, see 3.7 Manually Adding a Junction (Connector) on page 3-14. Places a bus with segments created as you click. For details, see 3.14 Placing a Bus on page 3-29. Places an input/output node to connect a subcircuit to the current circuit. For details, see 3.12.3 Adding Subcircuits to a Circuit on page 3-27.

Schematic Capture

Place Component

Place Junction

Place Bus

Place Input/Output

Place Text

Lets you place text on the circuit. For details, see 3.11.4 Adding Miscellaneous Text on page 3-24. Places an external circuit within the current circuit. For details, see 3.12.3 Adding Subcircuits to a Circuit on page 3-27.

Paste as Subcircuit/Macro

Grid Visible

Shows or hides grid in the background of the circuit window. This helps you place elements in specific locations on a grid. For details, see 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2. Shows or hides page boundaries in the circuit window. This helps you note where circuits will appear on printed output. For details, see 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2.

Show Page Bounds

3-30

Electronics Workbench

Using the Pop-up Menu

Command Show Title Block and Border

Description Shows or hides the circuits title block and border. For details, see 3.3.2 Displaying or Hiding the Grid, Title Block and Page Borders on page 3-2. Lets you choose a magnification of 50%, 75%, 100%, 200% or other for viewing the circuit. Displays a list of the reference IDs in the current circuit. You can select one or more of these reference IDs, which are then selected in the circuit window. For details, see 3.10 Finding Components in Your Circuit on page 3-21. Lets you choose or modify the color scheme for the circuit. Overrides the defaults set in Edit/User Preferences. For details, see 2.4.2 Controlling Circuit Display on page 2-5. Lets you choose what component elements appear on the circuit window. Overrides the defaults set in Edit/User Preferences. For details, see 2.4.3 Controlling Circuit Window Display on page 2-6. Opens the Multisim Help file.

Zoom

Find

Color

Schematic Capture

Show

Help

3.15.2 From Circuit Window, with Component or Instrument Selected


If you right-click on the circuit window with a component or components selected, a pop-up menu of appropriate commands appears. These commands are: Cut removes the selected components, circuits or text. Copy copies the selected components, circuits or text. For details, see 3.5.4 Copying a Placed Component on page 3-8. Paste pastes the selected components, circuits or text that have been cut or copied. For details, see 3.5.4 Copying a Placed Component on page 3-8. Flip Horizontal flips the selection vertically. For details, see 3.8 Rotating/Flipping Components on page 3-15. Flip Vertical flips the selection horizontally. For details, see 3.8 Rotating/Flipping Components on page 3-15. 90 Clockwise rotates the selection 90 degrees clockwise. For details, see 3.8 Rotating/Flipping Components on page 3-15. 90 CounterCW rotates the selection 90 degrees counter-clockwise. For details, see 3.8 Rotating/Flipping Components on page 3-15.

Multisim User Guide, Rev 1

3-31

Schematic Capture

Color changes the color of the placed component from its default values. For details, see 3.5.6 Controlling Component Color on page 3-10. Help opens the Multisim help file.

3.15.3 From Circuit Window, with Wire Selected


Delete deletes the selected wire. Color changes the color of the selected wire from its default values. Help opens the Multisim help file.

Schematic Capture

3-32

Electronics Workbench

C h a p te r 4 Components
4.1 4.2 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 Structure of the Component Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 4.2.1 Database Levels . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-1 4.2.2 Displaying Database Level Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-2 4.2.3 Classification of Components in the Database . . . . . . . . . . . . . . . . . . . . . . . . 4-3 4.2.3.1 Component Families List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-4 4.2.3.2 Sources Toolbar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-5 4.2.3.3 Basic Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-7 4.2.3.4 Diodes Toolbar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-9 4.2.3.5 Transistors Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-10 4.2.3.6 Analog Toolbar. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-12 4.2.3.7 TTL Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-13 4.2.3.8 CMOS Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-14 4.2.3.9 Miscellaneous Digital Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-15 4.2.3.10 Mixed Chips Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-16 4.2.3.11 Indicators Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-17 4.2.3.12 Miscellaneous Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-18 4.2.3.13 Controls Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-19 4.2.3.14 RF Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20 4.2.3.15 Electro-mechanical Toolbar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-20 Locating Components in the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 4.3.1 Browsing for Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 4.3.2 Standard Searching for Components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-21 Types of Information Stored for Components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.1 Pre-Defined Fields . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.1.1 General Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.1.2 Common Parameters. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4.4.1.3 Component-Specific Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-23 4-24 4-24 4-25 4-26

Components

4.3

4.4

4.5

Component Nominal Values and Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 4-26

Multisim User Guide

Components

Electronics Workbench

Chapter 4 Components
4.1 About this Chapter
This chapter introduces you to the underlying structure and organization of the Multisim component database. It also explains how to access the database for parts and how to search the database for information. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

4.2

Structure of the Component Database


The Multisim component database is designed to hold the information necessary to precisely describe any component. It contains all the details needed for schematic capture (symbols), simulation (models), and PCB layout (footprints), as well as other electrical information. The power of the Multisim database comes from its structure: multiple levels, well-organized component groupings, and detailed information fields. Components

4.2.1

Database Levels
Components are stored in a database made up of three levels: the Multisim master level stores the components as originally designed by Electronics Workbench and shipped with Multisim; these remain the same for all users of Multisim the corporate library level (available only for users with the Project/Team Design module) stores components selected and, possibly, modified or created by an individual user; these remain available to any other selected users. the user level stores components modified, imported or created by you; these are available only to you.

Multisim User Guide, Rev 1

4-1

Components

The user and corporate library levels of the database are empty when you first use Multisim. You can use the user level to store frequently used components, favorite components or components that you create or import using Component editing (which is described in the following chapter). The corporate library level of the database (available only for users with the Project/Team Design module) is primarily intended for companies or individuals who work on projects where components with specific attributes are shared within a group or project. It can be set up by your company, or, if you wish, by Electronics Workbench. Contact us for more information about this service. If you modify a component, thereby creating your own version, you must store it in either the user or corporate library level. You cannot modify the Multisim master level (this is a safety precaution to prevent corruption of the component database shipped as part of Multisim). You choose which database levels you want displayed by selecting from the database selector, as explained in 4.2.2 Displaying Database Level Information on page 4-2. For educational purposes, you can also hide databases, and their associated Parts Bins, from students view by using restrictions. See 13.4 Using Restrictions on page 13-3.

4.2.2
Components

Displaying Database Level Information


A separate Component toolbar exists for each database level, letting you build a circuit that combines components from any or all of the available levels. By default, only the Multisim master level Component toolbar is displayed. ! To control which Component toolbars are displayed, in the database selector, enable each level of database you want to use.

Note If the database selector does not appear on your screen, choose View/Toolbars/Database to show it.

4-2

Electronics Workbench

Structure of the Component Database

The associated Component toolbars appear as follows:


Multisim master and User level selected:

All levels selected:

Only Multisim master level selected:

Components

4.2.3

Classification of Components in the Database


Multisim divides components into logical groups, each represented by a Parts Bin. Each Parts Bin contains families of related components. The Parts Bins are listed below: Sources Basic Diodes Transistors

Multisim User Guide, Rev 1

4-3

Components

Analog ICs TTL CMOS Miscellaneous Digital ICs Mixed Chips Indicators Miscellaneous Controls

RF (for users with RF module) Electro-mechanical Each toolbar has two versions: ANSI (American standard) and DIN (European standard). The two standards use different icons to represent the components. Both are shown in the sections that follow. Note The content of the toolbars may change as the database expands. ! To switch between ANSI and DIN symbol sets, choose Edit/User Preferences. In the Preferences tab, select the standard you wish to use.

4.2.3.1 Component Families List


! To see the contents of any family: Components 1. From the Browser screen that appears when you are placing a component, click List Report. 2. A Notepad window appears, listing all the components stored within the currently selected family. For example:

4-4

Electronics Workbench

Structure of the Component Database

4.2.3.2 Sources Toolbar


ANSI:
Ground DC voltage source DC current source AC current source AM source FM current source Voltage-controlled voltage source Voltage-controlled current source Voltage-controlled sine wave Voltage-controlled triangle wave Piecewise linear voltage source Pulse voltage source Polynomial source Exp. voltage source Exp. current source Controlled one-shot Nonlinear dependent source Digital ground VCC voltage source AC voltage source Clock source FM voltage source FSK source Current-controlled voltage source Current-controlled current source Voltage-controlled square wave Voltage-controlled piecewise linear source Piecewise linear current source

Components

Pulse current source

Multisim User Guide, Rev 1

4-5

Components

DIN:
Ground DC voltage source DC current source AC current source AM source FM current source Voltage-controlled voltage source Voltage-controlled current source Voltage-controlled sine wave Voltage-controlled triangle wave Piecewise linear voltage source Pulse voltage source Polynomial source Digital ground VCC voltage source AC voltage source Clock source FM voltage source FSK source Current-controlled voltage source Current-controlled current source Voltage-controlled square wave Voltage-controlled piecewise linear source Piecewise linear current source Pulse current source Exp. voltage source Exp. current source Controlled one-shot Nonlinear dependent source

Components

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

4-6

Electronics Workbench

Structure of the Component Database

4.2.3.3 Basic Toolbar


ANSI:
Resistor Capacitor Inductor Potentiometer Variable capacitor Variable inductor Rpack Switch Transformer Magnetic core Connectors Resistor smt Coreless coil Relay Sockets Capacitor smt Resistor virtual Capacitor virtual Inductor virtual Variable potentiometer Virtual variable capacitor Virtual variable inductor Pullup Non-linear transformer

Components

Inductor smt

Multisim User Guide, Rev 1

4-7

Components

DIN:
Resistor Capacitor Inductor Potentiometer Variable capacitor Variable inductor Rpack Switch Transformer Magnetic core Coreless coil Relay Sockets Connectors Resistor smt Inductor smt Capacitor smt Resistor virtual Capacitor virtual Inductor virtual Variable potentiometer Virtual variable capacitor Virtual variable inductor Pullup Non-linear transformer

Components

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

4-8

Electronics Workbench

Structure of the Component Database

4.2.3.4 Diodes Toolbar


ANSI:
Diode Pin diode FWB LED SCR Schottky diode DIAC Varactor TRIAC Diode virtual Zener

DIN:
Diode Pin diode FWB LED SCR Shottky diode DIAC Varactor TRIAC Diode virtual Zener

Components

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Multisim User Guide, Rev 1

4-9

Components

4.2.3.5 Transistors Toolbar


ANSI:
BJT_NPN BJT_PNP BJT_4T_NPN Darlington_NPN BJT_Pres BJT_Array MOS_3TDN MOS_3TDP MOS_3TEN MOS_3TEP MOS_4TDN MOS_4TEN MOS_3TEP virtual MOS_4TDP MOS_4TEP JFET_N_virtual JFET_P_virtual GaAsFET_P Power_MOS_P BJT_NPN virtual BJT_PNP virtual BJT_ 4T_ PNP BJT_ NRES Darlington_PNP IGBT MOS_3TDN virtual MOS_3TDP virtual MOS_3TEN virtual

Components

JFETN JFETP GaAsFET_N Power_ MOS_N Power_MOS_COMP

4-10

Electronics Workbench

Structure of the Component Database

DIN:
BJT_NPN BJT_PNP BJT_4T_NPN Darlington_NPN BJT_Pres BJT_Array MOS_3TDN MOS_3TDP MOS_3TEN MOS_3TEP MOS_4TDN MOS_4TEN JFETN JFETP GaAsFET_N Power_ MOS_N Power_MOS_COMP MOS_3TEP virtual MOS_4TDP MOS_4TEP JFET_N_virtual JFET_P_virtual GaAsFET_P Power_MOS_P BJT_NPN virtual BJT_PNP virtual BJT_ 4T_ PNP BJT_ NRES Darlington_PNP IGBT MOS_3TDN virtual MOS_3TDP virtual MOS_3TEN virtual

Components

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Multisim User Guide, Rev 1

4-11

Components

4.2.3.6 Analog Toolbar


ANSI:
Opamp Comparator Wide bandwidth amplifiers Special function Norton Opamp

DIN:
Opamp Comparator Wide bandwidth amplifiers Special function Norton Opamp

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Components 4-12

Electronics Workbench

Structure of the Component Database

4.2.3.7 TTL Toolbar


ANSI:
74STD 74LS 74ALS 74S 74F 74AS

DIN:
74STD 74LS 74ALS 74S 74F 74AS

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Components

Multisim User Guide, Rev 1

4-13

Components

4.2.3.8 CMOS Toolbar


ANSI:
CMOS_5V CMOS_15V 74HC_4V TinyLogic_2V TinyLogic_3V TinyLogic_5V TinyLogic_4V TinyLogic_6V CMOS_10V

74HC_2V 74HC_6V

DIN:
CMOS_5V CMOS_15V 74HC_4V CMOS_10V

74HC_2V 74HC_6V TinyLogic_3V

Components

TinyLogic_2V TinyLogic_5V TinyLogic_4V TinyLogic_6V

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

4-14

Electronics Workbench

Structure of the Component Database

4.2.3.9 Miscellaneous Digital Toolbar


ANSI:
TIL Verilog HDL Line receiver Line driver Line transceiver Memory VHDL

DIN:
TIL Verilog HDL Line receiver Line driver Line transceiver Memory VHDL

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Components

Multisim User Guide, Rev 1

4-15

Components

4.2.3.10 Mixed Chips Toolbar


ANSI:
ADC_DAC Timer Monostable PLL Analog switch

DIN:
ADC_DAC Timer Monostable PLL Analog switch

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim. Components 4-16

Electronics Workbench

Structure of the Component Database

4.2.3.11 Indicators Toolbar


ANSI:
Voltmeter Probe Lamp Hex display Buzzer Bargraph Ammeter

DIN:
Voltmeter Probe Lamp Hex display Buzzer Bargraph Ammeter

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Components

Multisim User Guide, Rev 1

4-17

Components

4.2.3.12 Miscellaneous Toolbar


ANSI:
Crystal Optocoupler Voltage reference Voltage suppressor Buck converter Fuse Lossless line type1 Net Motor Vacuum tube Voltage regulator Boost converter Buck boost converter Lossy transmission line Lossless line type2

DIN:
Crystal Motor Vacuum tube Voltage regulator Boost converter Buck boost converter Lossy transmission line Lossless line type2

Components

Optocoupler Voltage reference Voltage suppressor Buck converter Fuse Lossless line type1 Net

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

4-18

Electronics Workbench

Structure of the Component Database

4.2.3.13 Controls Toolbar


ANSI:
Multiplier Transfer function block Voltage differentiator Voltage hysteresis block Current limiter block Voltage slew rate block Divider Voltage gain block Voltage integrator Voltage limiter Voltage-controlled limiter Voltage summer

DIN:
Multiplier Transfer function block Voltage differentiator Voltage hysteresis block Current limiter block Voltage slew rate block Divider Voltage gain block Voltage integrator

Components

Voltage limiter Voltage-controlled limiter Voltage summer

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

Multisim User Guide, Rev 1

4-19

Components

4.2.3.14 RF Toolbar
ANSI:
RF capacitor RF_BJT_NPN RF_MOS_3TDN Strip line Tunnel diode RF inductor RF_BJT_PNP

DIN:
RF capacitor RF_BJT_NPN RF_MOS_3TDN Strip line Tunnel diode RF inductor RF_BJT_PNP

Components

Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

4.2.3.15 Electro-mechanical Toolbar


ANSI:
Sensing switches Momentary switches Line transformer Timed contacts Output devices

Supplementary contacts Coils, relays Protection devices

4-20

Electronics Workbench

Locating Components in the Database

DIN: Same as ANSI.


Note For details about these component families, see the appendices in either the printed manual or the PDF file shipped with Multisim.

4.3

Locating Components in the Database


You can locate components in a specific component family within a specific database level by either browsing through the available data, or by searching for a component that meets specific criteria.

4.3.1

Browsing for Components


When you are placing a component, the Browser screen that appears lets you browse for components anywhere in the Multisim database. For details, see 3.5.1 Choosing a Component and Using the Browser Screen on page 3-4.

4.3.2

Standard Searching for Components


Multisim comes with a powerful search engine to help you quickly locate components if you know some information about the type of component you need. Multisim searches its database for components that meet your criteria and presents them to you, enabling you to choose the component that most suits the needs of your application from the list of candidates. You might need to select a component with a specific package because of space limitations, with a specific power dissipation because of your design, with a specific electrostatic discharge because of its relationship to other components, or with a specific manufacturer because of company requirements. ! To perform a standard search of the database: 1. Display the Browser screen, normally by clicking on the appropriate Parts Bins and component family in which you want to search, or by choosing Edit/Place Component. Components

Multisim User Guide, Rev 1

4-21

Components

2. Click Find. The Search screen appears:

3. In the desired fields, enter your search criteria (you must enter at least one item). Enter text or numbers using scientific notation. Numbers must be prefixed with a symbol (for example, =). You can also use >, <, >=, and <= in conjunction with numbers, to set a range. For text, case is not considered, and you can use the * wildcard to find partial strings. For example, in the Footprint field: CASE646-06 finds only the exact string CASE646-06 *06 finds any string ending with 06 CASE* finds any string starting with CASE *646* finds any string with 646 inside it For more information about fields in the Search screen, see 4.4.1.2 Common Parameters on page 4-25. The following example shows the value you would enter to find a transistor component with a footprint of TO-18:

Components

4. To carry out the search, click Search. When the search is complete, the Search Results screen appears. Tip The more specific your search criteria, the smaller the number of matching components. 4-22 Electronics Workbench

Types of Information Stored for Components

! To select a component from the search results: When the search is complete, the Search Result screen appears, displaying information about the first component that matched your criteria. The Component drop-down list contains a list of all the components that matched your criteria. For example, using the search example above, the results look like this:

Number of components that matched the search criteria List of components that matched the search criteria Details of the component selected from the list

From the Component drop-down list, select the component you are interested in. To view information about any component found by the search, simply choose it from the drop-down list and the display fields change accordingly. 5. To place the selected component, click OK. You return to the circuit window, where you can place the component by clicking the desired location on the screen. ! To return to the Browser screen, click Back or Cancel. Components

4.4

Types of Information Stored for Components


The Multisim database stores information about components in pre-defined fields (that is, fields that are pre-filled in Multisim) and user fields (that is, fields you can use to capture information that you want to record about a component). Both types of information appear in the Browser and Search screens. User fields only appear if you have the Project/Team Design module. Multisim also offers a detailed report of information about components, their models, and their packages.

Multisim User Guide, Rev 1

4-23

Components

! To see this report: From the Browser screen, click Detail Report. A detailed report appears for you to view or print. For example:

4.4.1
Components

Pre-Defined Fields
For each component, the following information is stored in the Multisim master database: general information common parameters component-specific data.

4.4.1.1 General Information


The following fields appear on the Browser screen:
Field Description Example

Database Name
Component Family

Name of Multisim database in which the component is stored.


Name of family to which the component belongs. Determines which toolbar icon is used to place the component.

MultiSIM Master
74S

4-24

Electronics Workbench

Types of Information Stored for Components

Field Component Manufacturer

Description Name of the individual component. Name of the company that manufactures the component. Recorded in the Bill of Materials.

Example 74S00D Texas Instruments

Model Level

Used during simulation (could be SPICE code, VHDL, Verilog HDL, etc.)
Footprint for the component (actual components only). Used in Ultiboard or other vendors PCB layout products. Symbol used to represent the component during schematic capture.

L.0

Footprint

DO14

Symbol

4.4.1.2 Common Parameters


This is information specifying the parameters that are common to all components. This information can be searched using the basic search, and appears on the Search screen.
Field Thermal Resistance Junction Description The thermal resistance within the component (watts or degrees centigrade). The thermal resistance of the whole case/package (watts or degrees centigrade). The power dissipation of the whole component (watts). The point at which the components power starts being re-rated (degrees centigrade). Minimum operating temperature for the component (degrees centigrade). Example 0.00

Components

Thermal Resistance Case

0.00

Power Dissipation

0.08

Derating Knee Point

0.00

Min. Operating Temperature

0.00

Multisim User Guide, Rev 1

4-25

Components

Field Max. Operating Temperature ESD

Description Maximum operating temperature for the component (degrees centigrade). Electrostatic discharge that the component can tolerate (degrees centigrade).

Example 0.70

0.00

4.4.1.3 Component-Specific Data


This is important electrical information that is different for each type of component. It is often needed in advanced searches. For more details, see the components description in the corresponding appendix.

4.5

Component Nominal Values and Tolerances


Multisim uses the nominal values for each component in simulation. When you want to observe circuit behavior that is more typical of real world results, you can choose to use tolerances instead. The components in this case randomly introduce variances to simulate the performance of actual, physical components. Tolerance settings affect simulation results. For example, a 1 Kohm resistor with a 10% variance could vary 100 ohms either way.

Components 4-26

Electronics Workbench

Component Nominal Values and Tolerances

! To set the tolerances to be used for components: 1. Choose Simulate/Global Component Tolerances. If you have undeclared tolerances, you see a screen that allows you to set a percentage to be applied to undeclared tolerances in the circuit for each type of eligible component in the circuit. For example:

Only those components that exist in the active circuit can have values assigned to them in this screen. Components 2. Enter the desired variances. 3. To cancel your changes, click Cancel. To save them, click OK. The simulation will now use random values as specified.

Multisim User Guide, Rev 1

4-27

Components

Components 4-28

Electronics Workbench

C h a p te r 5 Component Editing
5.1 5.2 5.3 5.4 5.5 5.6 5.7 5.8 5.9 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1 Introduction to Component Editing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-1 Managing the Database . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-2 Editing Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-5 Editing a Component, General Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-7 Editing a Component, Electronic Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-8 Adding Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-9 Removing Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-14 Copying Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-15

5.10 Editing and Creating a Component Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-16 5.10.1Copying a Components Symbol . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-17 5.10.2Creating and Editing a Components Symbol with the Symbol Editor . . . . . . 5-18 5.10.2.1 Symbol Editor Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-19 5.10.2.2 Symbol Editor Palette . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-20 5.10.2.3 Working with the Symbol Editor . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21 5.10.2.4 Labels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21 5.10.2.5 Shape. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-21 5.10.2.6 Pins . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-22 5.11 Creating or Editing a Component Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-25 5.11.1Copying a Components Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-27 5.11.2Loading an Existing Model . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-28 5.12 Creating and Editing Component Footprints. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-29 5.12.1Pin Group Naming Convention. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30 5.12.2Pin Type Naming Convention. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 5-30

Component Editor

Multisim User Guide

Component Editor

Electronics Workbench

Chapter 5 Component Editing


5.1 About this Chapter
This chapter explains how to create, edit, copy, or delete a component, and how to manage the Multisim component database. It also explains how to load into the Multisim database any models that you may have developed, obtained or purchased, and how to create simulation models using Multisims Model Makers or code modelling. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

5.2

Introduction to Component Editing


You can modify any component stored in the Multisim component database. (See Chapter 4, Components for more information on this database.) For example, an existing component might now be available in a new package (originally pin-through hole, now surface mount). You can easily copy the component information and change only the package details to create this new component. You can also create your own component and place it into the database or load a component from another source. Note Multisims database stores extensive information about components. Creating a component, depending on its use, may require entering many details, Where possible, we recommend that you modify an existing, similar component, rather than to create one. As described in Chapter 4, Components, in the component database each component is identified by the following types of information: general information (such as name, manufacturer, date and author) see 5.5 Editing a Component, General Properties on page 5-7 for details symbol (pictorial representation of the component for schematic capture) see 5.10 Editing and Creating a Component Symbol on page 5-16 for details Component Editor

Multisim User Guide

model (information used to represent the actual operation/behavior of the component during simulation) necessary only for a component that will be simulated. See 5.11 Creating or Editing a Component Model on page 5-25 for details. footprint (the package that Multisim uses when exporting a schematic containing this component to a PCB Layout package such as Ultiboard) see 5.12 Creating and Editing Component Footprints on page 5-29 for details electronic parameters of the component see 5.6 Editing a Component, Electronic Parameters on page 5-8 for details user fields (if used to further define the components) In addition, all components can be organized by using Database Management. which lets you: add and remove component families from the user database modify user field titles for any database add and change toolbar button symbols Note If you modify any information about a component in the Multisim master level, you must store the modified in the user or corporate library levels to prevent corruption of the Multisim master level. Warning: If you modify any piece of information about any component in the corporate library or user levels of the Multisim database, you are prompted for a new name for the component. If you do not give a new name, Multisim saves the changes to the original location, so the original user level or corporate library level component information is lost. It is recommended that you do provide a new name, even for minor variations to the original.

Component Editor

For educational purposes, you may choose to disallow component editing by students. You can use restrictions to prevent students from editing components. See 13.4 Using Restrictions on page 13-3 for more information.

5.3

Managing the Database


The Database Management screen allows you to: add and remove component families from the user or corporate library database. You cannot add or remove families in the Multisim master database. set up or modify user field titles for any database add or change toolbar button symbols for component families in user or corporate library

Electronics Workbench

databases. ! To invoke the Database Management screen: 1. Click the Component editing button on the Design Bar and choose Database Management from the pop-up menu that appears. OR Choose Tools/Database Management. The Database Management screen appears.
Lets you delete all empty component families in the selected database Lets you edit the user field titles which appear on the User Field tab of the Component Properties screen for components in the selected datbase. Lets you select a different toolbar button to be associated with the selected family and symbol set. Lets you modify and existing toolbar button Shows the toolbar button associated with the selected component family

! To add a component family to the user or corporate library database: 1. In the Database list, choose the database in which you want to work. 2. Click Add. You are prompted to select a family group (e.g. Passive, Digital) and enter a family name (e.g. Sample). 3. Click OK. You return to the Database Management screen. 4. A default icon of the group is automatically assigned to the new family. To change it, follow the procedure below. 5. Your new family appears in the associated component family (group) toolbar. For example, a new Sample family will appear in the Passive component family toolbar of the library you selected. Component Editor

Multisim User Guide, Rev 1

! To load a component toolbar button: 1. With the desired component family selected, click Load. You will be prompted to navigate to the desired toolbar button file. 2. Select the desired toolbar button file and click Open. 3. The new toolbar button will be displayed on the Database Management screen in the Button in Toolbar area. ! To edit the default component toolbar button: 1. With the desired component family selected, click Edit. 2. Your paint program is launched and the bitmap file of the button opened. 3. Edit the bitmap file to your requirements and then save. 4. The revised toolbar button will appear as the component toolbar button. ! To delete a component family from the user or corporate library database. 1. In the Database list, choose the database from which you want to delete a component family. 2. In the Family list, choose the component family that you want to delete. 3. Click Delete. You are prompted to confirm your deletion. 4. To complete the delete process, click OK. You return to the Database Management screen. ! To modify User Field Titles: 1. Click Modify User Field Titles. The Modify User-Field Titles screen appears.

Component Editor

2. Enter the desired information in the Title fields 3. Click OK. You return to the Database Management screen.

Electronics Workbench

! To delete empty families from the user or corporate library database: 1. Click Delete Empty Families. You are prompted to confirm your command to delete all empty family folders. 2. To proceed click OK. All empty family folders will be deleted from the Family list on the Database Management screen for the selected database.

5.4

Editing Components
! To edit an existing component: 1. Click the Component editing button on the Design Bar and choose Edit Component from the pop-up menu that appears. OR Choose Tools/Edit Component. 2. The Select Component screen appears:

Component Editor

3. From the Database Name list, choose the database level containing the component you want to edit. 4. From the Family Name list, choose the component family containing the component you want to edit. The familys icon appears on the screen. 5. From the Component Name list, choose the component you want to edit. The components icon appears on the screen

Multisim User Guide, Rev 1

6. If necessary, choose the Manufacturer and Model Level ID of the component you want to edit (if more than one manufacturer or model exists). 7. To continue, click Edit. (To cancel, click Exit.) The Component Properties screen appears, consisting of multiple tabs:

You can click Save from any of the tabs to save the edited component. For information on those tabs see: 5.5 Editing a Component, General Properties on page 5-7 5.10 Editing and Creating a Component Symbol on page 5-16 5.11 Creating or Editing a Component Model on page 5-25 5.12 Creating and Editing Component Footprints on page 5-29 5.6 Editing a Component, Electronic Parameters on page 5-8

Component Editor

Electronics Workbench

You are prompted to specify the location where you want the edited component saved.
Choose the database level in which you want the edited component stored. Choose the family in which you want the edited component stored. Click here to add new family (prompted for group and name).

5.5

Editing a Component, General Properties


The General tab of the Component Properties screen allows you to modify the components name and manufacturer. The data and author are drawn from system information and cannot be changed.

Component Editor

Multisim User Guide, Rev 1

5.6

Editing a Component, Electronic Parameters


Common parameters are the same for all components.

Device parameters vary depending on component.

The screen consists of two sets of fields. The device parameters vary depending on the type of component. (The Appendices of this manual describe each component familys parameters in detail.) The common parameter fields are common to all components. These are:
Field Thermal Resistance Junction Description Enter or modify the thermal characteristics within the component (from the junction to the case), in watts or degrees centigrade. Enter or modify the thermal characteristics of the whole package (component) in watts or degrees centigrade. Enter or modify the power dissipation of the component, in watts. Enter or modify the temperature at which the power of the component/package begins ro be de-rated, in order to operate the device in its safe operating range. Use degrees centigrade. Enter or modify the lowest ambient temperature at which the component can operate reliably. Use degrees centigrade. Enter or modify the highest ambient temperature at which the component can operate reliably. Use degrees centigrade.

Thermal Resistance Case

Component Editor

Power Dissipation Derating Knee Point

Min. Operating Temperature

Max. Operating Temperature

Electronics Workbench

Field ESD Rating

Description Enter or modify the electro-static discharge for the component.

5.7

Adding Components
Multisim includes a Create Component Wizard to step you through the process of creating a component. ! To create a component: 1. Click the Component editing button on the Design Bar and choose Create Component from the pop-up menu that appears. or Choose Tools/Create Component. The Create Component Wizard appears.

Component Editor

Enter the component name, manufacturer and type. Select the component simulation and layout footprint requirements for the component. Note The number of Steps you will have to complete will depend upon which component requirements you select in Step 1. For example, if your component only requires a simulator model, the wizard will skip Step 6 on pin and model mapping.

Multisim User Guide, Rev 1

2. Click Next. Step 2 of the wizard appears.

Specify the package type and pin number information for the component. The footprint information you enter here will appear in the Footprint tab of the Component Properties screen. 3. Click Next. Step 3 of the wizard appears.

Component Editor

Electronics Workbench

The symbol you assign to this component will appear when its placed on the circuit window. To modify the symbol information, click Edit and a symbol editing program will be launched see 5.10.2.3 Working with the Symbol Editor on page 5-21 for more details. 4. To accept the symbol information displayed, click Next. Step 4 of the wizard appears.

The logical and footprint pin mapping is needed for exporting to a layout package. The relationship of logical and footprint pins must follow the syntax in the databook in order to work in Multisim see 5.10.2.6 Pins on page 5-22 for more details. For each logical pin, enter a corresponding footprint pin. The mapping information you enter here will be displayed in the Footprint tab of the Component Properties screen. To add logical and footprint pins, click Add. A logical pin will be added which you can then edit directly on this screen. To delete logical and footprint pins, select the pin and click Delete.

Component Editor

Multisim User Guide, Rev 1

5. Click Next. Step 5 of the wizard appears only if you indicated in Step 1 that this component requires a simulation model.

Lets you create a model with Multisims Model Maker. Lets you load a model stored in a file Lets you copy data from another model

Select a model from the Multisim database or from your own files, or create a model using model maker. For more information on model maker see 5.11 Creating or Editing a Component Model on page 5-25. For more information on loading a model see5.11.2 Loading an Existing Model on page 5-28. For more information on copying data from another model see 5.11.1 Copying a Components Model on page 5-27.

Component Editor

Electronics Workbench

6. Click Next. Step 6 of the wizard appears. If you indicated in Step 1 that this component does not require a layout footprint, the wizard will skip this last Step.

For each symbol pin enter a corresponding number to connect to its respective nodes in the model. The mapping information you enter here will be displayed in the Model tab of the Component Properties screen. When you are done, click Finish. The completed Component Properties screen appears. ! To save the new component click Save. To continue editing the component follow the instructions below. 1. You are prompted to select a database and family destination for the new component. 2. Select a component family and database and click OK. 3. Your new component has been saved. ! To continue editing the component, please see the subsequent sections of this chapter which give detailed information on the tabs of the Component Properties screen: the General tab see 5.5 Editing a Component, General Properties on page 5-7 the Symbol tab see 5.10 Editing and Creating a Component Symbol on page 516 the Model tab see 5.11 Creating or Editing a Component Model on page 5-25 the Footprint tab see 5.12 Creating and Editing Component Footprints on page 529. Electronic Parameters tab see 5.6 Editing a Component, Electronic Parameters on page 5-8 Component Editor

Multisim User Guide, Rev 1

5.8

Removing Components
! To delete an existing component: 1. Click the Component editing button on the Design Bar and choose Delete Component from the pop-up menu that appears. or Choose Tools/Delete Component. The Delete Component screen appears:

2. From the list, choose the database level containing the component you want to remove (corporate library and user only). 3. From the Family list, choose the component family containing the component you want to remove. Component Editor 4. From the Component list, choose the component you want to remove. 5. To continue, click Delete. You are prompted to confirm the deletion. To cancel, click Exit.

Electronics Workbench

5.9

Copying Components
! To copy an existing component: 1. Click the Component editing button on the Design Bar and choose Copy Component from the pop-up menu that appears. or Choose Tools/Copy Component. The Copy Component screen appears:

2. Using the drop-down lists, identify the component you want to copy and click Copy. You are prompted to select a destination. 3. Select the component database and component family that you want to copy the component to and click OK. A confirmation screen appears, assuring you that the component has been copied. 4. Click OK to return to the Copy Component screen. 5. When you are finished copying components, click Exit.

Component Editor

Multisim User Guide, Rev 1

5.10

Editing and Creating a Component Symbol


The Symbol tab of the Component Properties screen allows you to: edit a components symbol give a component the same symbol as another component create a symbol for a component.
Use to edit a components symbol. Use to create a new symbol. Use to copy a symbol from one component to another. Click to ignore changes to a symbol and continue using the original one.

The symbol assigned to this component, if any. This is what will appear when this component is placed on the circuit window.

The symbol set (ANSI or DIN) of the displayed symbol.

Component Editor

Electronics Workbench

5.10.1 Copying a Components Symbol


! To copy a symbol from another component: 1. From the Component Properties screen, click Select From Database. The Select Symbol Data screen appears.

2. Use the drop-down lists to identify the component whose symbol you want to copy and click Select. (Click Exit to cancel.) You return to the Component Properties screen, where the symbol associated with the selected component appears. 3. If you want to change the symbol set to be associated with this component, select ANSI or DIN from the Symbol Set drop-down list. The appropriate symbol appears in the upper part of the screen. 4. To confirm the association of this symbol with your component, click Save. If desired, you can also edit the copied symbol using the Symbol Editor, as described in the following sections. Component Editor

Multisim User Guide, Rev 1

5.10.2 Creating and Editing a Components Symbol with the Symbol Editor
! To edit a component symbol: 1. Under the Symbol tab of the Component Properties screen, from the Symbol Set dropdown list, be sure you have selected the desired symbol set (ANSI or DIN) whose symbol you would like to edit. The appropriate symbol appears in the upper part of the screen. 2. Click Edit. The Symbol Editor appears, displaying the selected symbol for you to edit. ! To create a new symbol for the component, under the Symbol tab of the Component Properties screen, click New. The Symbol Editor appears with a blank screen and the three component labels (these are described in 5.10.2.4 Labels on page 5-21). The Symbol Editor looks like this:
Toolbar Menu bar

Palette

Design space

Component Editor

Status line

The Symbol Editor screen consists of: the menu bar, which contains the menus with their associated commands the toolbar, which gives quick access to some commonly used tools. the design space, which is where you build or modify your symbols. the palette, which provides quick access to the most common operations in the Symbol Editor. the status line, which gives information on the currently selected object or action.

Electronics Workbench

The next sections describe the menus and palette in more detail.

5.10.2.1 Symbol Editor Menus


Menu File Use Use the File menu to create a symbol for a component or open an existing file containing a symbol. This menu also lets you preview, save, close or print the symbol. You also use this menu to close the Symbol Editor. Use the Edit menu to make changes to a symbol. You can choose to cut, copy, paste, or delete selected text or graphics displayed in the Symbol Editor screen. This menu also contains commands used to flip or rotate the displayed graphic. Use the View menu to show/hide the following screen elements: toolbar, status bar, palette, grid and page boundaries. It also contains commands that let you change the grid and the magnification of the symbol. Use the Structure menu to group together selections and position them in front of or behind each other. Use the Align menu to change the position of the selections in the window in relation to each other or to the grid. Use the Graphics menu to change the characteristics (color, font, pattern, pen style, or arrowheads) used for graphics and their accompanying text labels. You can also use this menu to import a bitmap file into the currently opened file in the Symbol Editor. Use the Window menu to move among the different open symbol files in the Symbol Editor.

Edit

View

Structure

Align

Graphics

Window

Component Editor

Multisim User Guide, Rev 1

5.10.2.2 Symbol Editor Palette


The Symbol Editor palette gives you quick access to the most common operations in the Symbol Editor. The following illustrations give information on the tools and their functions:
Use the select tool to choose a symbol. To draw a rectangle: select the rectangle tool; click and drag to draw the rectangle. To draw a line: select the line tool; click and drag to draw the line. To draw a polygon: select the polygon tool; click for each point of the polygon; double-click to end. Use the rotate tool to select a symbol to rotate. To draw a circle or ellipse: select the ellipse tool; click and drag to draw the circle or ellipse. To draw a multi-segment line: select the multi-line tool; click for each point of the line; double-click to end. To draw an arc: select the arc tool: click and drag to draw the arc.

Select the text tool to enter text. Click to start; enter text; click empty space to end.

Click the pin tool to add an input/ output pin to the symbol.

Component Editor

Use the name tool to enter a symbol name. Click to start; enter name; click empty space to end.

Use the part tool to enter a symbol part name. Click to start; enter part; click empty space to end.

Reserved for future use.

Electronics Workbench

5.10.2.3 Working with the Symbol Editor


The Symbol Editor is essentially a graphics editor with the usual range of tasks (placing graphics, changing, their color, size and fill, and so on), along with special additions. To use the Symbol Editor to create working symbols, however, you need to be familiar with the elements required to make up a symbol in Multisim. The three key elements needed for a symbol are: labels shape pins These are described in more detail in the following sections.

5.10.2.4 Labels
Each symbol has three labels, variables that are replaced by values from the components model. This allows the same symbol to be used for many different components in a family. The variables are: the components reference ID (represented by the string U?) the components value or part number (represented by the string Value? or Part?) the components location (represented by the string Loc?; reserved for future use). All symbols have these variables; if you choose to create a new symbol in the Symbol Editor, these three variables are supplied automatically (although you control where they are located with respect to the shape and how they are presented, for example, their color and font). Information for the component's reference ID, identified as U, and component value or part number are is extracted from the components database and automatically entered by Multisim. For example, R1 indicates that the component is the first resistor placed on the circuit window. The R is extracted from the component database and the 1 is a sequential number placed on the component. Any additional resistors either placed on the circuit window using the component family toolbar or copied will increase sequentially, i.e. R1, R2, R3.

5.10.2.5 Shape
A symbol requires a shape to allow users to recognize its general function. For example, a capacitor has a shape of and a nor gate has a shape of . You utilize the drawing capabilities of Multisims Symbol Editor to construct a shape that makes logical sense for the component you are creating or modifying. The simplest way to do this is to edit the shape of an existing component. Once this is done, you will need to add pins.

Component Editor

Multisim User Guide, Rev 1

5.10.2.6 Pins
There are three main parts to a pin: the logical pin, the physical pin, and the shape of the pin. The logical pin is the name given to an actual pin. For example, most digital parts have pins named Vc and GND. These names are the logical pin names used to identify the actual pin. The logical pin name can be anything you want as long as it is understood. You can use the acronym GND or call the pin Ground. It is recommended, however, that you use the logical pin names provided in the data book for a component. The physical pin is the physical location of the pin on a given component. For example, if you are creating a symbol that has 16 physical pins attached to it, then you would have physical pins numbered from 1 to 16. The numbering of the physical pins is what is used by PCB layout software to ensure that connections from one component to another are made properly. While it is recommended that you use the logical pin names given in a databook for a component, for the physical pin names you must use the names from the databook or your component will not work properly. Note The relationship of logical and physical pins must follow the syntax in the databook in order to work in Multisim. The third part of a pin is its appearance or shape. Multisim provides eight pin shapes that you can use: dot
Negative Active Signal

dot-clock

Negative Active Clock

Component Editor

line

Positive Active Signal

short

Positive Active Signal short format

zero length

Terminal Pin

Electronics Workbench

clock

Positive Active Clock

input wedge

Negative Active Input Signal (DIN symbols)

output wedge

Negative Active Output Signal (DIN symbols)

None of the pin shapes have any impact on the operation of the component. However, pin shapes do have an impact on the component user; each of the shapes is used for specific identification purposes. Again, it is recommended that you follow the pin shape from the data book when creating a symbol for a component. Pins must be connected to the symbol shape correctly in order for the symbol to function properly. Follow these rules: A pin must always be facing out with no shapes, lines or text blocking its way to be connected by a wire. If a pin is blocked, you may not be able to connect it. A pin can only be connected at the far end of its the logical side:

The side marked New Pin is the logical end of the pin. Connect the pin at the far end of the logical side.

Component Editor

All pins must fall on the grid. Multisim may not recognize a pin that is not placed on the grid. Therefore, it is very important that the snap to grid function be enabled when you place pins on a symbol.

! To add a pin to a symbol: 1. From the View menu, enable Grid Visible and Snap to Grid. 2. Click the Input/Output Pin button on the palette. A cursor appears.

Multisim User Guide, Rev 1

3. Click on the screen where you want the pin to appear. A new pin placeholder appears:

Note When placing a pin to a shape, always use the side containing the logical pin name. Multisim will not recognize a pin that has been connected backwards. 4. If the pin does not touch the shape as you want it to, disable Snap to Grid and draw a line from the logical pin end to the component shape. Do not move the pin while the grid is disabled. Once you have placed the pin in its location, you can then edit its properties. ! To edit a pins properties: 1. Double-click the pin. The Pin Properties screen appears, showing the default properties for the pin:

2. To modify the pins properties, click Edit. The Pin Edit screen appears:

Component Editor

Electronics Workbench

3. Click on the pin attribute (Logical Pin, Physical Pin or Shape) you want to edit. A field appears at the bottom of the screen, allowing you to enter the value of either the Physical or Logical pin and change its shape. For example:
This field lets you set the value for the selected property.

4. Enable or disable Visible to make the value visible or hidden by default. Note It is recommended that you use databook names for logical pins. It is important that you use the databook numbering for the physical pins. 5. To cancel your changes, click Cancel. To confirm them, click OK. The Pin Properties screen appears again. Click OK to close the screen. ! To remove a logical or physical pin property, select it and click Remove. Once it is removed, the Add button becomes available to add a physical or logical pin property again.

5.11

Creating or Editing a Component Model


A component that has an effect on the circuit must have a model if you wish to simulate that component. The only components in Multisim that do not have models associated with them are connectors and junctions. These components do not affect the simulation of the circuit. The Model tab of the Component Properties screen offers you a number of options to choose from to assign a model to your component. You can: modify the model information of a component load an existing model for a component copy a model of one component to another create a model for a component Component Editor

Multisim User Guide, Rev 1

Use to create a model with Multisims Model Maker. Use to load a model stored

in

a file.
Use to copy data from another model Click to ignore changes to a model and continue using the original one.

First of all, you can directly modify the existing model data by using the fields of this screen, or you can enter information from scratch in these fields. The Model Data and Symbol to Model Pin Mapping fields contain the information that make up the model itself (and are thus the most important part for simulation purposes). The Model Data field contains the models code (for example in SPICE) and the Symbol to Model Pin Mapping field connects pins of the symbol to their respective nodes in the model. See 5.11 Creating or Editing a Component Model on page 5-25 for more information on editing model data. Alternatively, you can copy a model whose model template matches what you want. More information on copying models is given in 5.11.1 Copying a Components Model on page 5-27. Component Editor Thirdly, you can import or load an existing model by clicking Load Model from File. These options are described in more detail in 5.11.2 Loading an Existing Model on page 5-28. Finally, depending on your version of Multisim, you can create your own model using the Model Maker command. Procedures for using the Model Makers are given in 5.13 Creating a Component Model Using the Model Makers on page 5-33. Note If you choose to edit a models data or template directly, be very careful when entering information. Making a typing error or removing a character by mistake could cause the model to function improperly. Unless you are experienced at creating/editing models, it is recommended that you copy a model that has the same Template information you require.

Electronics Workbench

5.11.1 Copying a Components Model


! To copy the model information from an existing component: 1. Click Select from DB. The Select Model Data screen appears.
Select the database level you want to choose a model from. Select the component family, name, manufacturer, model level that you want from the drop-down lists. The models data and template appear here. The components icon and symbol appear here.

2. Select from among the available databases in the Name drop-down list. 3. Using the Family and Component drop-down lists, choose the component whose model template most closely matches what you want. 4. Click Select to return to the Component Properties screen.

Component Editor

Multisim User Guide, Rev 1

5.11.2 Loading an Existing Model


The models for a component can come from a variety of sources. Please note that some of these options may not be available in your version of Multisim. ! To load or import a model created by Multisims analog or digital model maker: 1. Click Model Maker. The Select Model Maker screen appears:

2. Select the Model Maker you wish to use to make a model. 3. Click Accept to continue to start the process of making a model. Click Cancel to return to the Model Tab of the Component Properties screen. 4. For analog model makers, refer to subsequent sections of this chapter for procedures on using specific Model Makers. For RF model makers, see Chapter 14, RF. 5. When you have entered in all the required information in the Model Maker screens, click OK. The data for the model you have just created will appear in the Model tab fields. ! To load or import an existing VHDL, Verilog HDL, Code Model, or SPICE model for your component: Component Editor 1. Click Load Model From File. A standard file browser appears, allowing you to choose the file type, name and location of the files to be loaded. Before loading a netlist, make sure you know what folder it is in. Most Bspice, Xspice and Pspice netlists end in extensions.cir and .net. 2. Select the file to load and click OK. The model data appears in the Model tab fields. Note PSpice is not an industry standard, but is proprietary to the Orcad SPICE simulation tool. Since some component vendors make models for their components available in PSpice format, Multisim has been designed to support PSpice models as extensively as possible. However, you will not be able to share models or circuits with other SPICE users or tools.

Electronics Workbench

5.12

Creating and Editing Component Footprints


The Footprint tab of the Component Properties screen allows you to specify/modify package information for a component and map physical and logical pins of a component. The logical and physical pin mapping is needed for exporting to a layout package; the pin group and type information is needed for simulation..

! To modify or enter package information: 1. In the Package Type field, modify or enter the package type (for example, DIP14). 2. In the Number of Pins field, modify or enter the number of pins. 3. In the Number of Sections field, modify or enter the number of sections. 4. In the Component Type field, choose the component type from the drop-down list. 5. In the Component Technology field, choose the component technology from the dropdown list. ! To map logical and physical pins: 1. Click on the field you want to modify, until a frame appears around the field. 2. For each logical pin, enter its corresponding physical pin on the package. 3. For each pin, enter the grouping of pins, using the syntax described in 5.12.1 Pin Group Naming Convention on page 5-30. 4. For each pin, enter the type, using the syntax described in 5.12.2 Pin Type Naming Convention on page 5-30. Component Editor

Multisim User Guide, Rev 1

5. To save your changes, click OK. To cancel them, click Cancel.

5.12.1 Pin Group Naming Convention


For logical pins, use the following formats:
For: pins associated with one section of a component pins common to several sections, but not all sections pins common to all sections pins associated with voltages Use: GRP:n Where: n is the section

GRP:n:m

n and m are the sections

COM PWR:V0 or PWR: Vn NC V0 is ground or n is a voltage

unused pins (no connects)

5.12.2 Pin Type Naming Convention


For digital components, the pin type is used to link together the I/O models to the logical core for each device. In other families, such as analog components where the simulation models are self-contained units, pin types are for information purposes only.

Component Editor

Electronics Workbench

Use the format: TYPE: MODE: MODEL where


Type Mode is either A (analog) or D (digital) is one of the following: input output I/O 3-state Open_drain Open_source Open_sink I/O_open_drain I/O_open_source I/O_open_sink Input_ECL Output_ECL I/O_ECL Terminator Power NC pin model name (none for analog)

Model

Component Editor

Multisim User Guide, Rev 1

Component Editor

Electronics Workbench

C h a p te r 6 Instruments
6.1 6.2 6.3 6.4 6.5 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1 Introduction to the Multisim Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-1 Working with Multiple Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-4 Default Instrument Analysis Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-5 Bode Plotter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-6 6.5.1 Magnitude or Phase . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7 6.5.2 Vertical and Horizontal Axes Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7 6.5.2.1 Base Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-7 6.5.2.2 Horizontal Axis Scale (.1 mHz 999.9 GHz) . . . . . . . . . . . . . . . . . . 6-8 6.5.2.3 Vertical Axis Scale . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8 6.5.3 Readouts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-8 Distortion Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-9 6.6.1 Harmonic Distortion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10 6.6.2 SINAD. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-10 Function Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-11 6.7.1 Waveform Selection . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.7.2 Signal Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.7.2.1 Frequency (1Hz 999 MEGHz) . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.7.2.2 Duty Cycle (1% 99%). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.7.2.3 Amplitude ( 999 kV). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.7.2.4 Offset (-999 kV and 999 kV) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 6.7.3 Rise Time . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-12 Logic Converter. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13 6.8.1 Deriving a Truth Table from a Circuit . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-13 6.8.2 Entering and Converting a Truth Table. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-14 6.8.3 Entering and Converting a Boolean Expression . . . . . . . . . . . . . . . . . . . . . . . 6-14 Logic Analyzer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-15 Instruments

6.6

6.7

6.8

6.9

Multisim User Guide

6.9.1 Start, Stop & Reset . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-16 6.9.2 Clock . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-17 6.9.3 Triggering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-18 6.10 Multimeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.1Measurement Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.1.1 Ammeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.1.2 Voltmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.1.3 Ohmmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.1.4 Decibels. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.2Signal Mode (AC or DC) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.10.3Internal Settings. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-19 6-19 6-20 6-20 6-21 6-21 6-22 6-22

6.11 Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-23 6.12 Oscilloscope. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.1Time Base (0.1 ns/Div 1s/Div). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.1.1 X Position (-5.00 5.00) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.1.2 Axes (Y/T, A/B, and B/A) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.2Grounding . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.3Channel A and Channel B Settings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.3.1 Volts per Division (010m V/Div 5 kV/Div) . . . . . . . . . . . . . . . . . . 6.12.3.2 Y Position (-3.00 3.00) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.3.3 Input Coupling (AC, 0, and DC). . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.4Trigger . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.4.1 Trigger Edge . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.4.2 Trigger Level (-999kV 999 kV) . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.4.3 Trigger Signal . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.12.5Using Cursors and Readouts. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-24 6-25 6-25 6-25 6-25 6-26 6-26 6-26 6-26 6-27 6-27 6-27 6-27 6-28

6.13 Spectrum Analyzer. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-28 6.14 Wattmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29 6.15 Word Generator . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.15.1Entering Words . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.15.2Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.15.3Creating, Saving and Reusing Word Patterns . . . . . . . . . . . . . . . . . . . . . . . . 6.15.4Addressing. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.15.5Triggering. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6.15.6Frequency and Data Ready. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-30 6-31 6-31 6-32 6-32 6-33 6-33

6.16 Ammeter and Voltmeter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-33

Instruments

Electronics Workbench

Chapter 6 Instruments
6.1 About this Chapter
This chapter explains how to use the various virtual instruments provided as part of Multisim. It explains both the general procedures for attaching and configuring the instruments, and the specific steps in using each instrument. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

6.2

Introduction to the Multisim Instruments


Multisim provides a number of virtual instruments. You use these instruments to measure the behavior of your circuits. These instruments are set, used and read just like their real-world equivalents. They look and feel just like the instruments youve seen and used in a lab. Using virtual instruments is one of the best and easiest ways of examining your circuits behavior and showing the results of a simulation. These instruments can be placed in any level of circuit or subcircuitfor information on subcircuits, see 3.12 Subcircuits and Hierarchy on page 3-26) but they are active only for the currently active circuit or subcircuit.

Instruments

Multisim User Guide

6-1

Instruments

Virtual instruments have two views: the Instrument icon you attach to your circuit, and the opened instrument, where you set the instruments controls and display screen.
Opened instrument Instrument identifier

Instrument icon

Terminal input/ output indicators

The instruments icon indicates how the instrument is connected into the circuit. A black dot inside the terminal input/output indicators shows that the instrument is connected to a node. ! To add an instrument to a circuit: 1. Click the Instruments button on the Design Bar. The Instruments toolbar appears, including one button for each instrument.
Distortion analyzer Click to select objects on the circuit window. Bode plotter Function generator Logic analyzer Network analyzer Logic converter Multimeter Oscilloscope Wattmeter

Word generator

Spectrum analyzer

2. From the Instruments toolbar, click the button of the instrument you want to use. 3. Move the cursor (which a small icon with an arrow indicating the left-most connection point) to the location on the circuit window where you want to place the instrument and click. The instrument icon and the instrument identifier appear. The instrument identifier identifies the type of instrument and its instance. For example, the first multimeter you place on a circuit is called XMM1, the second is XMM2, and so on. This numbering is unique within each circuit. That is, if you create a second circuit, the first multimeter placed in it is XMM1, and so on. Instruments

6-2

Electronics Workbench

Introduction to the Multisim Instruments

Note Not all Multisim versions support multiple instances of an instrument. 4. To wire the instrument into the circuit, click on a terminal on the instruments icon and drag the wire to the desired location in the circuit (a pin, wire, or junction). All the rules for component wiring, described in Chapter 3, Schematic Capture, apply to instruments as well. Note To change the color of the Instrument icon, right-click on it and choose Color from the pop-up menu that appears. Choose the desired color and click OK. For educational purposes, you may wish to prevent students from being able to to place instruments on the circuit window. You can restrict students access to the Instruments tool bar by using restrictions, as described in 13.4 Using Restrictions on page 13-3. ! To use the instrument: 1. To view and modify an instruments controls, double-click its icon. The instrument controls appear. Make any necessary changes to the control settings, just as you would on their real-world equivalents. The control settings are different for each instrument, so if you are unfamiliar with them or need instruction, refer to the section on that particular instrument in this chapter. It is critical that the control settings be appropriate for your circuit. If the settings are incorrect, this may cause the simulation results to appear incorrect or difficult to read. Note Not all areas of the open instrument are modifiable. A hand appears when your cursor is on a control that can be modified. 2. To activate the circuit, click the Simulate button on the Design Bar and choose Run/ Stop from the pop-up menu that appears. Multisim begins to simulate the circuits behavior and the signals, as measured at the points to which you have connected the instrument, are displayed. The simulation results depend on the circuits construction. During simulation, messages about the simulation results and any problems with the simulation are written to the simulation error log/audit trail. The error log/audit trail appears automatically when you stop the simulation. If you want to keep an eye on the progress of the simulation, you can display the error log/audit trail during simulation. To display it, from the View menu choose Show/Hide Simulation Error Log/Audit Trail. For more detailed information about simulation, see Chapter 7, Simulation. In most cases, you can make changes to the circuit (for example, moving components or adjusting instrument settings) while it is activated., unless the changes invalidate the simulation (for example, if you add a component). ! To pause or resume the simulation, click the Simulate button on the Design Bar and choose Pause/Resume from the pop-up menu that appears. The simulation is paused.

Instruments

Multisim User Guide

6-3

Instruments

! To stop the simulation, click the Simulate button on the Design Bar and choose Run/Stop from the pop-up menu that appears. The simulation ends, with the final results shown on the instrument face and in the audit trail. You can also run, stop, pause, or resume using commands from the Simulate menu.

6.3

Working with Multiple Instruments


A single circuit can have multiple instruments attached to it, including (for some versions) multiple instances of the same instrument. In addition, each circuit window can have its own set of instruments. Setting up many different instruments or multiple instances of one instrument is done in exactly the same way as setting up one instrument. Instruments that sample for an amount of time cause a transient analysis to be run. If you use multiples of such instruments, only one transient analysis is run. The settings of this analysis are derived from considering all the concurrent instruments and choosing settings that will satisfy each. For example, if you have two oscilloscopes with two different time-bases (resolutions), Multisim uses the time-base of the oscilloscope with the smallest time-base (highest resolution). As a result, both instruments will sample at a higher resolution than they would individually. The results from each instrument are recorded separately in the error log/audit trail.

Instruments

6-4

Electronics Workbench

Default Instrument Analysis Settings

6.4

Default Instrument Analysis Settings


Multisim lets you set default settings for instruments that are based on a transient analysis (such as the oscilloscope, spectrum analyzer and logic analyzer). ! To set the default instrument settings: 1. Choose Simulate/Default Instrument Settings. The following screen appears:
Set initial conditions: Zero, User-Defined, Calculate DC Operating Point, or Automatically Determine Initial Conditions. Start time of transient analysis must be greater than or equal to 0 and less than End time. End time of transient analysis must be greater than Start time. Enable to enter the maximum time step the simulation can handle. Enable to manually set time steps. Enable to generate time steps automatically. Enable to set a time interval for simulation output and graphing.

2. Enter settings as desired and click Accept, or click Cancel to cancel. These settings will be in effect the next time you run a simulation. You can control many aspects of the simulation, such as resetting error tolerances, selecting simulation techniques, and viewing the results. The options you choose will determine the efficiency of the simulation. See 8.29 Analysis Options on page 8-65 for details on the analysis options and their default values. You set these options through the Miscellaneous Options tab, by clicking Analysis Options.

Instruments

Multisim User Guide

6-5

Instruments

6.5

Bode Plotter

Output terminals Input terminals

The Bode plotter produces a graph of a circuits frequency response and is most useful for analyzing filter circuits. The Bode plotter is used to measure a signals voltage gain or phase shift. When the Bode plotter is attached to a circuit, a spectrum analysis is performed.
Magnitude or phase

Vertical and horizontal axes

Readouts

Directional arrows move vertical cursor

+V

V(COM)

+V

V(COM)

The Bode plotter generates a range of frequencies over a specified spectrum. The frequency of any AC sources in the circuit does not affect the Bode plotter. However, an AC source must be included somewhere in the circuit. The initial and final values of the vertical and horizontal scales are preset to their maximum value. These values can be changed to see the plot on a different scale. If the scale is expanded or the base changed after simulation is complete, you may need to activate the circuit again to get more detail in the plot. Unlike most test instruments, if the Bode plotters probes are moved to different nodes, it is best to re-activate the circuit to ensure accurate results.

Instruments

6-6

Electronics Workbench

Bode Plotter

6.5.1

Magnitude or Phase
Magnitude measures the ratio of magnitudes (voltage gain, in decibels) between two nodes, V+ and V-. Phase measures the phase shift (in degrees) between two nodes. Both gain and phase shift will be plotted against frequency (in hertz). ! If V+ and V- are single points in a circuit: 1. Attach the positive IN terminal and the positive OUT terminal to connectors at V+ and V-. 2. Attach the negative IN and OUT terminals to a ground component. ! If V+ (or V-) is the magnitude or phase across a component, attach both IN terminals (or both OUT terminals) on either side of the component.

6.5.2

Vertical and Horizontal Axes Settings


Final value

Base settings Log = logarithmic Lin = linear

Initial value

6.5.2.1 Base Settings


A logarithmic base is used when the values being compared have a large range, as is generally the case when analyzing frequency response. For example, if measuring a signals voltage gain, the decibel value is calculated as follows:

dB = 20*log10 Vout Vin


The base scale can be changed from logarithmic (Log) to linear (Lin) without the circuit being activated again. (Only when using a logarithmic scale is the resulting graph referred to as a Bode plot.) Instruments

Multisim User Guide

6-7

Instruments

6.5.2.2 Horizontal Axis Scale (.1 mHz 999.9 GHz)


The horizontal or x-axis always shows frequency. Its scale is determined by the initial (I) and final (F) settings for the horizontal axis. Since a frequency response analysis requires a large frequency range, a logarithmic scale is often used. Note When setting the horizontal axis scale, the initial (I) frequency must be larger than the final (F) frequency. Multisim will not let you set I smaller than F.

6.5.2.3 Vertical Axis Scale


The units and scale for the vertical axis depend on what is being measured and the base being used, as shown in the table below.
Minimum Initial Value is... -200 dB 0 -720 Maximum Final Value is... 200 dB 10e+09 720

When Measuring... Magnitude (gain) Magnitude (gain) Phase

Using the Base... Logarithmic Linear Linear

When measuring voltage gain, the vertical axis shows the ratio of the circuits output voltage to its voltage. For a logarithmic base, the units are decibels. For a linear base, the vertical axis shows the ratio of output voltage to input voltage. When measuring phase, the vertical axis always shows the phase angle in degrees. Regardless of the units, you can set initial (I) and final (F) values for the axis using the Bode plotters controls.

6.5.3

Readouts
Move the Bode plotters vertical cursor to get a readout of the frequency and magnitude or phase at any point on the plot. The vertical cursor is stored at the left edge of the Bode plotter display. ! To move the vertical cursor: click the arrows near the bottom of the Bode plotter or drag the vertical cursor from the left edge of the Bode plotter display to the point on the plot you want to measure.

Instruments

6-8

Electronics Workbench

Distortion Analyzer

The magnitude (or phase) and frequency at the intersection of the vertical cursor and the trace are shown in the boxes beside the arrows.
Phase at the vertical cursor Frequency at the vertical cursor Click the directional arrows to move the vertical cursor

6.6

Distortion Analyzer

A typical distortion analyzer provides distortion measurements for signals in the range of 20 Hz to 100 KHz, including audio signals.
This label changes depending on which type of measurement is selected.

Units used to present results.

Instruments

Multisim User Guide

6-9

Instruments

The types of measurements performed are either Total Harmonic Distortion (THD) or Signal Plus Noise and Distortion (SINAD). To set the way results are to be displayed for either type of measurement, click Settings
For THD only - sets the definition used to calculate THD (IEEE defines this slightly differently from ANSI/IEC).

6.6.1

Harmonic Distortion
Harmonic distortion produces signals at harmonics of the test frequency. For example, for a 1 KHz signal, the harmonics may be at 2 KHz, 3 KHz, 4 KHz, etc. A very sharp tunable notch is required to measure harmonic distortion. The filter is tuned to the test frequency such as 1 KHz, which will remove the 1KHz signal, leaving only the harmonics or the distortion. The distortion harmonics are measured and the resulting value is compared to the amplitude of the test signal.

6.6.2

SINAD
This type of measurement measures the ratio of (signal plus noise and distortion)/(noise and distortion).

Instruments

6-10

Electronics Workbench

Function Generator

6.7

Function Generator

Positive terminal Negative terminal Common or neutral terminal

The function generator is a voltage source that supplies sine, triangular or square waves. It provides a convenient and realistic way to supply stimulus signals to a circuit. The waveform can be changed and its frequency, amplitude, duty cycle and DC offset can be controlled. The function generators frequency range is great enough to produce conventional AC as well as audio- and radio-frequency signals. The function generator has three terminals through which waveforms can be applied to a circuit. The common terminal provides a reference level for the signal.
Choose one of the possible waveforms. Effect of signal option choices depend on waveform chosen.

! To reference a signal from ground, connect the common terminal to the ground component. The positive terminal (+) provides a waveform in the positive direction from the neutral common terminal. The negative terminal (-) provides a waveform in the negative direction.

Instruments

Multisim User Guide

6-11

Instruments

6.7.1

Waveform Selection
You can select three different types of waveforms as the output. ! To select the waveform, click the Sine-, Triangular- or Square-wave button.

6.7.2

Signal Options
6.7.2.1 Frequency (1Hz 999 MEGHz)
This setting determines the number of cycles per second the function generator generates.

6.7.2.2 Duty Cycle (1% 99%)


This setting determines the ratio of on-period to off-period. It affects the shape of triangular and square waves as shown below. A sine wave is not affected by the duty cycle setting.

6.7.2.3 Amplitude ( 999 kV)


This setting controls the signals voltage, measured from its DC level to its peak. If the leads are connected to the common and either the positive or the negative terminal, the waves peak-to-peak measurement is twice its amplitude. If the output comes from the positive and negative terminals, the waves peak-to-peak measurement is four times its amplitude.

6.7.2.4 Offset (-999 kV and 999 kV)


This option controls the DC level about which the alternating signal varies. An offset of 0 positions the waveform along the oscilloscopes x-axis (provided its Y POS setting is O). A positive value shifts the DC level upward, while a negative value shifts it downward. Offset uses the units set for Amplitude.

6.7.3

Rise Time
This option sets the time over which the square waveform is built (and, therefore, the angle of the waveform). Only available for square waveforms.

Instruments

6-12

Electronics Workbench

Logic Converter

6.8

Logic Converter
The logic converter is able to perform several transformations of a circuit representation or digital signal. This is a useful tool for digital circuit analysis, but has no real-world counterpart. It can be attached to a circuit to derive the truth table or Boolean expression the circuit embodies, or to produce a circuit from a truth table or Boolean expression.

Inputs Truth table for specified inputs

Boolean expression

Click to change from 0 to 1 or X (toggle). See details in 6.8.3 Entering and Converting a Boolean Expression on page 6-14.

Conversion options

! Click the circles or the label below them to display the inputs for that terminal.

6.8.1

Deriving a Truth Table from a Circuit


! To derive a truth table from a circuit schematic: 1. Attach the input terminals of the logic converter to up to eight nodes in the circuit. 2. Connect the single output of the circuit to the output terminal on the Logic Converter icon. 3. Click the Circuit to Truth Table cuit appears in the logic converters display. button. The truth table for the cirInstruments

Multisim User Guide

6-13

Instruments

6.8.2

Entering and Converting a Truth Table


! To construct a truth table: 1. Click the number of input channels you want, from A to H, across the top of the logic converter. The display area below the terminals fills up with the necessary combinations of ones and zeros to fulfill the input conditions. The values in the output column on the right are initially set to 0. 2. Edit the output column to specify the desired output for each input condition. ! To change an output value, click on it to move among the three possible settings: 0, 1 and x (an x indicates that either 1 or 0 is acceptable). ! To convert a truth table to a Boolean expression, click the Truth Table to Boolean Expression

button. The Boolean expression is displayed at the bottom of the

logic converter. ! To convert a truth table to a simplified Boolean expression, or to simplify an existing Boolean expression, click the Simplify button.

The simplification is performed by the Quine-McCluskey method, rather than the more familiar Karnaugh mapping technique. Karnaugh mapping works for only small numbers of variables and requires human intuition, while Quine-McCluskey has proved to be exhaustive for any number of variables but is too cumbersome for manual solutions. Note Simplifying a Boolean expression requires substantial memory. If not enough memory is available, Multisim may not be able to complete this operation.

6.8.3

Entering and Converting a Boolean Expression


A Boolean expression can be entered in the box at the bottom of the logic converter using either sum-of-products or product-of-sums notation. ! To convert a Boolean expression to a truth table, click the Boolean Expression to Truth
Table

button.

! To convert a Boolean expression to a circuit, click the Boolean Expression to Circuit button. The logic gates that fulfill the Boolean expression appear on the circuit window. The components are selected so you can move them to a different location on the circuit window or put them in a subcircuit. Deselect the components by clicking on an empty spot on the circuit. Instruments

6-14

Electronics Workbench

Logic Analyzer

! To see a circuit that fulfills the conditions of the Boolean expression using only NAND gates, click the Boolean Expression to NAND button.

6.9

Logic Analyzer

Terminals for input signals

External clock Clock qualifier

Trigger qualifier

The logic analyzer displays the levels of up to 16 digital signals in a circuit. It is used for fast data acquisition of logic states and advanced timing analysis to help design large systems and carry out troubleshooting.

Instruments

Multisim User Guide

6-15

Instruments

The 16 circles on the left side of the icon correspond to the terminals and horizontal rows across the instrument face. When the terminal is connected with a node, its circle is displayed with a black dot and the nodes name and color are displayed. Otherwise the terminal circle is displayed without a black dot. When a circuit is activated, the logic analyzer records the input values on its terminals. When the triggering signal is seen, the logic analyzer displays the pre- and post-trigger data. Data is displayed as square waves over time. The top row displays values for channel 0 (generally the first bit in a digital word), the next row displays values for channel 1, and so on. The binary value of each bit in the current word is displayed in the terminals on the left side of the instrument face. The time axis is displayed as the top axis of the signal display screen. The screen also displays the internal clock signal, external clock signal, external clock qualify signal and trigger qualify signal. ! To specify the number of samples stored before and after triggering, click Set in the Clock box or use the default instrument settings, as explained in 6.4 Default Instrument Analysis Settings on page 6-5. The logic analyzer stores data until it reaches the pre-trigger number of samples. Then, it begins discarding samples as new samples appear until it sees the trigger signal. After the trigger signal, samples are stored up to the value of the post-trigger samples. The time position automatically displays the time position values of the two crosshair cursors, T1 and T2, when sampling stopped. It also automatically moves the first crosshair cursor T1 to the position of time zero, when sampling stopped. ! To change the threshold voltage, use the default instrument settings, as explained in 6.4 Default Instrument Analysis Settings on page 6-5.

6.9.1

Start, Stop & Reset


! To restart a new signal analysis, click Start. (The button toggles between Stop and Start.) ! To dump stored data when the logic analyzer is not triggered, click Stop. If the logic analyzer is already triggered and displaying data, Stop has no effect. ! To clear the logic analyzers display, click Reset.

Instruments

6-16

Electronics Workbench

Logic Analyzer

6.9.2

Clock
The clock informs the logic analyzer when to read an input sample. The clock can be internal or external. ! To adjust the clock settings: 1. Click Set in the Clock area of the logic analyzer. The Clock Setup screen appears.
Connect to external signal mode. Clock frequency depends on simulation speed.

Set clock rate.

Set amount of data to show before and after sample.

2. Select external or internal clock mode. 3. Set the internal clock rate. The clock qualifier is an input signal that filters the clock signal. If it is set to x, then the qualifier is disabled and the clock signal determines when samples are read. If it is set to 1 or 0, the samples are read only when the clock signal matches the selected qualifier signal. 4. Set how much data to show before (Pre-trigger samples) and after (Post-trigger samples) the sample. 5. Click Accept.

Instruments

Multisim User Guide

6-17

Instruments

6.9.3

Triggering
The logic analyzer can be made to trigger upon reading a specified word or combination of words or when meeting the increase edge or decrease edge of the clock signal.

Enter up to three triggering 16 bit words. Choose among 21 combinations of A, B and C.

Choose a trigger filter.

! To specify up to three trigger words or word combinations: 1. Click Set in the Trigger box of the logic analyzer. 2. Select Positive, Negative or Both positive and negative clock edge. 3. Click in the box labeled Pattern A, Pattern B, or Pattern C and enter a binary word. An x means either 1 or 0. 4. From the Trigger combinations drop-down list, select the desired combination. (See below for a list of combinations.) 5. From the Trigger qualifier drop-down list, select the desired trigger qualifier. The trigger qualifier is an input signal that filters the triggering signal. If it is set to x, then the qualifier is disabled and the trigger signal determines when the logic analyzer is triggered. If it is set to 1 or 0, the logic analyzer is triggered only when the triggering signal matches the selected trigger qualifier. 6. Click Accept. The possible trigger combinations are:
A A or B A OR B OR C B AND C A NO C B A or C A AND B A AND B AND C B NO C C B or C A AND C NO B A THEN B

Instruments

6-18

Electronics Workbench

Multimeter

A THEN C A THEN (B OR C)

B THEN C A THEN B THEN C

(A OR B) THEN C A THEN (B WITHOUT C)

6.10

Multimeter
Positive terminal

Negative terminal

Use the multimeter to measure AC or DC voltage or current, and resistance or decibel loss between two nodes in a circuit. The multimeter is auto-ranging, so a measurement range does not need to be specified. Its internal resistance and current are preset to near-ideal values, which can be changed (see 6.10.3 Internal Settings on page 6-22).

Measurement options Click to display internal settings.

Signal mode

6.10.1 Measurement Options

Ammeter Voltmeter Ohmmeter

Decibels

Instruments

Multisim User Guide

6-19

Instruments

6.10.1.1 Ammeter
This option measures current flowing through the circuit in a branch between two nodes. Insert the multimeter in series with the load to measure current flow, just like a real ammeter (as shown in diagram below).

! To measure current at another node in the circuit, connect another multimeter in series at that load and activate the circuit again. When used as an ammeter, the multimeters internal resistance is very low (1n Ohm). ! To change the resistance, click Set. See 6.10.3 Internal Settings on page 6-22 for details.

6.10.1.2 Voltmeter
This option measures voltage between two nodes. Select V and attach the voltmeters probes in parallel with the load (as shown in diagram below). After the circuit has been activated, you

may move the probes around to measure voltage between other nodes. When used as a voltmeter, the multimeter has a high internal resistance of 1 mohm, which can be changed by clicking Set. See 6.10.3 Internal Settings on page 6-22 for details.

Instruments

6-20

Electronics Workbench

Multimeter

6.10.1.3 Ohmmeter
This option measures resistance between two nodes. The nodes and everything that lies between them are referred to as the component network. To measure the resistance, select this option and attach the multimeters probes in parallel with the component network (as shown in the diagram below).

To get an accurate measurement, make sure that: there is no source in the component network the component or component network is grounded the multimeter is set to DC (for more details, see 6.10.2 Signal Mode (AC or DC) on page 6-22) there is nothing else in parallel with the component or component network. The ohmmeter generates a 1-mA current, which can be changed by clicking Set. See 6.10.3 Internal Settings on page 6-22 for details. If the ohmmeter has been attached to different nodes, re-activate the circuit to get a reading.

6.10.1.4 Decibels
This option measures decibel voltage loss between two nodes in a circuit. To measure the decibels, select this option and attach the multimeters probes in parallel with the load (as shown in diagram below).

Instruments

Multisim User Guide

6-21

Instruments

The Decibel standard for calculating dB is preset to 1 V, but can be changed by clicking Set. See 6.10.3 Internal Settings on page 6-22 for details. Decibel loss is calculated as follows:

dB = 20 * log10 Vout V in

6.10.2 Signal Mode (AC or DC)


The Sine-wave button measures the root-mean-square (RMS) voltage or current of an AC signal. Any DC component of the signal will be eliminated, so only the AC component of the signal is measured. The Straight-wave button measures the current or voltage value of a DC signal. Note To measure the RMS voltage of a circuit with both AC and DC components, connect an AC voltmeter as well as a DC voltmeter across the appropriate nodes and measure the AC and DC voltage. The following formula can be used to calculate RMS voltage when both AC and DC components are in the circuit. Be advised that this is not a universal formula and should be used in conjunction with Multisim only.

RMS voltage = (Vdc 2 + Vac 2 )

6.10.3 Internal Settings


Ideal meters have no effect on the circuit being measured. An ideal voltmeter would have infinite resistance, so no current could flow through it while it is attached to a circuit. An ideal ammeter would present no resistance to a circuit. Real meters do not achieve this ideal, so their readings will very closely match theoretical, calculated values for a circuit, but never with absolute precision. The multimeter in Multisim, like a real multimeter, is nearly ideal. It uses very small and very large numbers that approximate zero and infinity to calculate near-ideal values for the circuit. For special cases, however, the meters behavior can be changed by changing these values used to model its effect on the circuit. (The values must be higher than 0.) For example, if testing the voltage of a circuit with very high resistance, increase the voltmeters resistance. If measuring the current of a circuit with very low resistance, decrease the ammeters resistance even further. Instruments

6-22

Electronics Workbench

Network Analyzer

Note Very low ammeter resistance in a high-resistance circuit may result in a mathematical roundoff error. ! To display the default internal settings: 1. Click Set. The Multimeter Settings screen appears.

2. Change the desired options. 3. To save your changes, click OK. To cancel them, click Cancel.

6.11

Network Analyzer
The network analyzer is used to measure the scattering parameters (or S-parameters) of a circuit, commonly used to characterize a circuit intended to operate at higher frequencies. These S-parameters are used to derive matching cells using other Multisim analyses. The network analyzer also calculates H, Y, Z parameters. The circuit is idealized as a two-port network. To properly use the network analyzer, the circuit must be left open at its input and output ports. During simulation the network analyzer completes the circuit being analyzed by inserting its sub-circuits. You need to remove these sub-circuits from the circuit before performing other analysis and simulation. The network analyzer is part of the RF Design Module. For more details, see Chapter 14, RF.

Instruments

Multisim User Guide

6-23

Instruments

6.12

Oscilloscope
Ground terminal

Trigger terminal A channel terminal B channel terminal

The dual-channel oscilloscope displays the magnitude and frequency variations of electronic signals. It can provide a graph of the strength of one or two signals over time, or allow comparison of one waveform to another.

Graphical display

Reverses background color (toggles between white and black). Save results in an ASCII file. Grounds the oscilloscope.

Trigger Readouts at vertical cursor 1. Readouts at vertical cursor 2. Difference between readouts at vertical cursors.

Instruments

6-24

Electronics Workbench

Oscilloscope

6.12.1 Time Base (0.1 ns/Div 1s/Div)


Value, in seconds, of the divisions on the x-axis Signals starting point on the x-axis

Adds trace A and trace B.

Determines the axes of the oscilloscope display (Y/T is voltage magnitude against time)

The time base setting controls the scale of the oscilloscopes horizontal or x-axis when comparing magnitude against time (Y/T). ! To get a readable display, adjust the time base in inverse proportion to the frequency setting on the function generator or AC sourcethe higher the frequency, the lower (or more magnified) the time base. For example, if you want to see one cycle of a 1 kHz signal, the time base should be around 1 millisecond.

6.12.1.1 X Position (-5.00 5.00)


This setting controls the signals starting point on the x-axis. When X Position is 0, the signal starts at the left edge of the display. A positive value (for example, 2.00) shifts the starting point to the right. A negative value (for example, -3.00) shifts the starting point to the left.

6.12.1.2 Axes (Y/T, A/B, and B/A)


The axes of the oscilloscope display can be switched from showing waveform magnitude against time (Y/T) to showing one input channel against the other (A/B or B/A). The latter settings display frequency and phase shifts, known as Lissajous patterns, or they can display a hysteresis loop. When comparing channel As input against channel Bs (A/B), the scale of the x-axis is determined by the volts-per-division setting for channel B (and vice versa). Tip To analyze waveforms in detail, use the Design Bar button to stop and start. Continue the simulation when ready.

6.12.2 Grounding
It is not necessary to ground the oscilloscope, as long as the circuit to which it is attached is grounded. Instruments

Multisim User Guide

6-25

Instruments

6.12.3 Channel A and Channel B Settings


6.12.3.1 Volts per Division (010 V/Div 5 kV/Div)
Volts per division on the y-axis When used alone, inverts the trace 180 degrees, from to When used in conjunction with timebase Add button, subtracts Trace B from Trace A.

Point of origin on the y-axis

Input terminal indicators.

This setting determines the scale of the y-axis. It also controls the x-axis scale when A/B or B/ A is selected. To get a readable display, adjust the scale in relation to the channels expected voltage. For example, an input AC signal of 3 volts fills the oscilloscopes display vertically when the yaxis is set to 1 V/Div. If the volts-per-division is increased, the waveform will become smaller. If the volts-per-division is decreased, the waveforms top will be cut off.

6.12.3.2 Y Position (-3.00 3.00)


This setting controls the point of origin for the y-axis. When Y position is set to 0.00, the point of origin is the intersection with the x-axis. Increasing Y position to 1.00, for example, moves 0 (the point of origin) up to the first division above the x-axis. Decreasing Y position to -1.00 moves 0 down to the first division below the x-axis. Changing the Y position setting for channels A and B may help distinguish their waveforms for comparison.

6.12.3.3 Input Coupling (AC, 0, and DC)


With AC coupling, only the AC component of a signal is displayed. AC coupling has the effect of placing a capacitor in series with the oscilloscopes probe. As on a real oscilloscope using AC coupling, the first cycle displayed is inaccurate. Once the signals DC component has been calculated and eliminated during the first cycle, the waveforms will be accurate. With DC coupling, the sum of the AC and DC components of the signal is displayed. Selecting 0 displays a reference flat line at the point of origin set by Y position.

Instruments

6-26

Electronics Workbench

Oscilloscope

Note Do not place a coupling capacitor in series with an oscilloscope probe. The oscilloscope will not provide a path for current, and the analysis will consider the capacitor improperly connected. Instead, choose AC coupling.

6.12.4 Trigger
Descending edge Ascending edge Triggering level External trigger terminal Triggering signal

These settings determine the conditions under which a waveform is first displayed on the oscilloscope.

6.12.4.1 Trigger Edge


! To start displaying the waveform on its positive slope or rising signal, click the ascending edge button. ! To start with the negative slope or falling signal, select the descending edge button.

6.12.4.2 Trigger Level (-999kV 999 kV)


The trigger level is the point on the oscilloscopes y-axis that must be crossed by the waveform before it is displayed. Tip A flat waveform will not cross the trigger level. To see a flat signal, make sure the triggering signal is set to Auto.

6.12.4.3 Trigger Signal


Triggering can be internal, with reference to the input signal for channel A or B, or external, with reference to a signal through the external trigger terminal situated below the ground terminal on the Oscilloscope icon. If a flat signal is expected, or if signals are to be displayed as soon as possible, select Auto.

Instruments

Multisim User Guide

6-27

Instruments

The Sing button is used to make the oscilloscope trigger one pass when the trigger level is met. Once the trace gets to the end of the scope face, the trace will not change until you click Sing again. The Nor button is used to make the oscilloscope refresh every time the trigger level is met.

6.12.5 Using Cursors and Readouts


! To display the exact values of the wave, drag the vertical cursor until the desired portion appears. The boxes below the display show the time and the voltage at the probe connections, where the vertical cursor intersects the sine wave, and the difference between the two positions. Once a circuit has been activated and its behavior simulated, you may move the oscilloscopes probes to other nodes without re-activating the circuit. Moving the probes automatically redraws the waveforms for the new nodes. If you fine-tune the oscilloscopes settings either during or after simulation, the display redraws automatically. Note If the oscilloscope settings or analysis options are changed to provide more detail, the waveforms may appear choppy or uneven. If so, activate the circuit again to get more detail. You can also increase the precision of a waveform by increasing the simulation time step using the default instrument settings, as explained in 6.4 Default Instrument Analysis Settings on page 6-5.

6.13

Spectrum Analyzer
The spectrum analyzer is used to measure amplitude versus frequency. It performs a similar function in the frequency domain as an oscilloscope performs in the time domain. It operates by sweeping through a range of frequencies. The amplitude of the signal at the input of the receiver is plotted against the frequency of the signal. This instrument is capable of measuring a signal's power at various frequencies, and helps determine the existence of the frequency components signal. The spectrum analyzer is part of the RF Design Module. For more details, see the RF chapter.

Instruments

6-28

Electronics Workbench

Wattmeter

6.14

Wattmeter
Connect in series with load.

Connect in parallel with load.

Average power

Power factor (between 0 and 1)

The wattmeter measures power. It is used to measure the magnitude of the active power, that is, the product of the voltage difference and the current flowing through the current terminals in a circuit. The results are shown in watts.The wattmeter also displays the power factor, calculated by measuring the difference between the voltages and the current, and multiplying them together. The power factor is the cosine of the phase angle before the voltage and current.

Instruments

Multisim User Guide

6-29

Instruments

6.15

Word Generator
Least significant 16 bits in the 32 bit word Most significant 16 bits in the 32 bit word

Data-ready terminal External trigger terminal

Use the word generator to send digital words or patterns of bits into circuits to provide stimulus to digital circuits:
Creates a subset of words for output

Hex equivalent of 32-bit binary words for output

Input rate Saves word patterns or generates preset patterns. Hexadecimal equivalent of current word ASCII equivalent of current word

* Indicates break point Scroll to view other words in the pattern.

Output terminals, correspond to those on the word generator icon

Binary equivalent of current word

Instruments

6-30

Electronics Workbench

Word Generator

6.15.1 Entering Words


The left side of the word generator instrument face displays rows of 8-digit hexadecimal numbers, ranging from 00000000 to FFFFFFFF (0 to 4,294,967,265, in decimal). Each horizontal row represents a binary 32-bit word. When the word generator is activated, a row of bits is sent in parallel to the corresponding terminals at the bottom of the instrument. ! To change a bit value in the word generator, select the number you want to modify and type the new value in the Hex, ASCII or Binary fields, using the appropriate number format. As the words are transmitted by the word generator, the value of each bit appears in the circles representing the output terminals at the bottom of the instrument.

6.15.2 Controls
Sends a continuous stream of words Sends all the words from the initial to the final address in a stream Sends one word at a time Pauses the stream of input at selected words Uses or creates saved pattern

! To inject the 32-bit words into a circuit, click Step, Burst or Cycle. The current word appears in the box labeled Current. ! To transmit one word at a time into the circuit, click Step. ! To send all words in sequence, click Burst. Clicking Cycle sends a continuous stream of words that can be stopped by clicking Cycle again, or by pressing CTRL+T. Use Breakpoint when you want to pause and restart the stream of words at a specified word. ! To insert a breakpoint, select the word in the scroll list where you want the input to stop, then click Breakpoint. An asterisk marks a breakpoint in the scroll list. ! To remove a breakpoint, click on an existing breakpoint (*) in the scroll list, then click Breakpoint. More than one breakpoint can be used. Breakpoints affect both Cycle and Burst.

Instruments

Multisim User Guide

6-31

Instruments

6.15.3 Creating, Saving and Reusing Word Patterns


Click Pattern to display a set of options that allow you to save word patterns entered in the word generator to a file and load previously saved word patterns. This function can also be used to generate useful patterns or to clear the display.
Changes all words to hex 0000 Opens previously saved pattern Saves current pattern as a file Creates pattern of subsequent words increasing or decreasing by 1 Creates pattern such that the resulting binary words have a single 1 shifted to the left or right

Prompts you to choose the initial value when you click the Accept button.

6.15.4 Addressing
Changes the address of the initial word to be output. Displays the address of the word selected in the scroll box. Displays the address of the current word being output.

Changes the address of the final word to be output.

Each word in the word generators scroll window has an address, expressed as a 4-character hexadecimal number. When a word in the scroll box is changed, its address appears in the Edit box. As the word generator outputs words, each words address appears in the Current box. ! To create a subset of the words to be output, enter first and last addresses in the Initial and Final fields.

Instruments

6-32

Electronics Workbench

Ammeter and Voltmeter

6.15.5 Triggering
Use input terminals Trigger on ascending edge of signal

Use external trigger terminal

Trigger on descending edge of signal

! To use the word generator clock to trigger input from the word generators input field to the circuit, click Internal. To use input through the external terminal instead, with each input cycle causing one word to be transmitted, click External. Use the ascending/descending edge buttons to control whether the input signal triggers the word generator on its ascending or descending edge.

6.15.6 Frequency and Data Ready

Set the clock frequency of the word generator in Hz, kHz or MHz. Each word is placed on the output terminals for the duration of one clock cycle.

Enabling this option lets the circuit know that data from the word generator is ready.

6.16

Ammeter and Voltmeter


These instruments are accessed through the Indicators toolbar. For details, see Appendix J, Indicators Components.

Instruments

Multisim User Guide

6-33

Instruments

Instruments

6-34

Electronics Workbench

Simulation

C h a p te r 7 Simulation
7.1 7.2 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 Introduction to Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 7.2.1 What Type of Simulation Should I Use?. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-1 7.2.2 What Kind of Simulation Does Multisim Support? . . . . . . . . . . . . . . . . . . . . . . 7-2 Using Multisim Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.3.1 Start/Stop/Pause Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.3.2 Interactive Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.3.3 Circuit Consistency Check . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.3.4 Miscellaneous SPICE Simulation Capabilities . . . . . . . . . . . . . . . . . . . . . . . . . 7.3.4.1 Component Tolerances . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7.3.4.2 Menu-Driven Simulation from Netlist Without Schematic. . . . . . . . . . 7-3 7-3 7-4 7-4 7-4 7-4 7-5

7.3

7.4

Multisim SPICE Simulation: Technical Detail . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5 7.4.1 BSpice/XSpice Support . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-5 7.4.2 Circuit Simulation Mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6 7.4.3 Four Stages of Circuit Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-6 7.4.4 Equation Formulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7 7.4.5 Equation Solution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-7 7.4.6 Numerical Integration . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-8 7.4.7 User Setting: Maximum Integration Order . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9 7.4.8 Convergence Assistance Algorithms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9 7.4.8.1 Gmin Stepping . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-9 7.4.8.2 Source Stepping. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10 RF Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-10 VHDL Simulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11 Verilog HDL Simulation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-11

7.5 7.6 7.7

Multisim User Guide

Simulation

Electronics Workbench

Simulation

Chapter 7 Simulation
7.1 About this Chapter
This chapter explains the various types of simulation available in Multisim, the application for which each type is appropriate, how the types of simulation are used separately and together, and finally some of the underlying logic of Multisim simulation. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

7.2

Introduction to Simulation
Simulation is a mathematical way of emulating the behavior of a circuit. With simulation, you can determine a circuits performance without physically constructing the circuit or using actual test instruments. Although Multisim makes simulation intuitively easy-to-use, you should be aware that the technology underlying the speed and accuracy of the simulation, as well as its ease of use, is extremely complex. For that reason, explaining how Multisim performs its simulation is beyond the scope of this manual.

7.2.1

What Type of Simulation Should I Use?


The type of simulation that is appropriate for a circuit depends on the type of circuit and how you plan to physically implement it. For example, analog, digital and mixed analog/digital circuits to be built as a PCB are, in general, best simulated with a SPICE simulation. Digital circuits to be implemented in Programmable Logic Devices are usually simulated at the behavioral language level, most commonly with VHDL or Verilog HDL. (See Chapter 10, HDLs and Programmable Logic for details.)

Multisim User Guide

7-1

Simulation

Simulation

For very complex digital devices (LSI or VLSI chips) such as microprocessors or memory, SPICE models are not usually practical, and in these cases, VHDL or Verilog HDL is the preferred solution.

7.2.2

What Kind of Simulation Does Multisim Support?


Multisim offers multiple simulators, optimized to meet the needs of various types of circuit designs and implementation. These simulators include: SPICE (including specialized RF simulation) VHDL Verilog HDL Co-simulation of all three together When designing with programmable logic devices such as Field Programmable Gate Arrays (FPGAs) or Complex Programmable Logic Devices (CPLDs), VHDL or Verilog HDL simulators have traditionally been used separately from each other and from SPICE. With Multisim, these simulators can be also used in combination. For example, to simulate a PCB designed using Multisims schematic capture front end, Multisim uses SPICE for most of the simulation (that is, components will use SPICE models) and VHDL or Verilog HDL for modeling the most complex digital parts (including programmable devices), all brought together in the co-simulation mode. Multisims simulation engine checks which type of model (SPICE, VHDL, Verilog HDL, etc.) is used, as indicated in the component database, and calls the appropriate simulator. It then controls the passing of information between these various simulators, all without requiring your intervention. To simulate a system- or board-level design, these simulators are used together in a co-simulation environment. This means that, for example, a chip that is modeled using VHDL or Verilog HDL (whether a CPLD/FPGA or a complex digital chip such as a microcontroller) can be a component in a PCB design. Multisim will simulate most of the board using SPICE, but automatically simulate the VHDL- or Verilog HDL-modeled chip with VHDL or Verilog HDL simulation. This co-simulation environment is described in this chapter. Communications between the multiple simulation engines in co-simulation mode are extremely complex, yet remain very easy for you to use. All the results are combined together, so they can be displayed on a common set of instruments and analyses, as if all the devices were modeled using the same technology.

7-2

Electronics Workbench

Simulation

Using Multisim Simulation

7.3

Using Multisim Simulation


This chapter explains the simulation of PCB-level circuits. This, by default, is primarily the function of the Multisim SPICE simulator. In cases where the PCB circuit makes use of a complex digital chip modeled with VHDL or Verilog HDL (including a programmable logic device), Multisim automatically simulates that device with the correct VHDL or Verilog HDL simulator. This process is invoked automatically during simulation of the PCB-level circuit, is performed simultaneously with the SPICE simulation, and is transparent to you. To view the results of your simulation, you will need to use either a virtual instrument or be running an analysis (explained in the next chapter) in order to display the simulation output. This output will include the combined results of all Multisim simulation engines (SPICE, VHDL, Verilog HDL), all brought together conveniently in common displays (instruments or Grapher). Note For design entry, simulation and source code debugging of individual VHDL or Verilog HDL modelled chips (on their own, not as part of a PCB circuit), likely as part of the programmable logic design flow, see 7.6 VHDL Simulation on page 7-11 and 7.7 Verilog HDL Simulation on page 7-11 for an introduction. For details, see Chapter 10, HDLs and Programmable Logic, which explains designing with VHDL and Verilog HDL.

7.3.1

Start/Stop/Pause Simulation
! To simulate a circuit, click the Simulate button on the Design Bar and choose Run/Stop from the pop-up menu that appears. Multisim begins to simulate the circuits behavior. During simulation, messages about the simulation results and any problems with the simulation are written to the simulation error log/audit trail. The error log/audit trail appears automatically when you stop the simulation. If you want to keep an eye on the progress of the simulation, you can display the error log/audit trail during simulation. To display it, from the View menu choose Show/Hide Error Log/Audit Trail. ! To pause the simulation while it is running, click the Simulate button on the Design Bar and choose Pause/Resume from the pop-up menu that appears. To resume the simulation from the same point as when you paused, click the Simulate button and choose Pause/Resume again. ! To stop a simulation, click the Simulate button on the Design Bar and choose Run/Stop from the pop-up menu that appears. If you restart the simulation after stopping it, it will restart from the beginning (unlike Pause/Resume, which allows you to restart from the point you paused).

Multisim User Guide

7-3

Simulation

Simulation

! Alternatively, you can run simulations by choosing Run/Stop and Pause/Resume from the Simulation menu using the same instructions as above. ! A final option available to you for starting and stopping simulations is to use the simulation switch. From the View menu, choose Show Simulation Switch to display a switch for activating your circuit. The switch can be used to start, stop, and pause the simulation.

7.3.2

Interactive Simulation
In a capacity unique to Multisim, simulation is interactive. You can simply change the values of interactive components (those whose behavior can be controlled through the keyboard) and see the simulation results instantly. Interactive components include such devices as the potentiometer, variable capacitor, variable inductor, and multiple switcher. For example, changing a 100 kohm resistor to the next smaller resistor may alter the results more than desired, but with Multisim, you could use a variable resistor, reducing its value gradually, all the time seeing the simulation result change, until you reach the correct result.

7.3.3

Circuit Consistency Check


When you simulate your circuit or perform an analysis, a circuit consistency check is performed to determine if the circuit is legal for example, if a ground is present. Errors are written to the error log. This function speeds your simulation process, since it alerts you to items that may cause simulation errors and allows you to correct them before simulating. Keep in mind that the types of problems found by the circuit consistency check are those that will cause simulation errors. The circuit consistency check does not necessarily indicate a circuits viability.

7.3.4

Miscellaneous SPICE Simulation Capabilities


Multisim offers the following SPICE-specific simulation capabilities.

7.3.4.1 Component Tolerances


As explained in 4.5 Component Nominal Values and Tolerances on page 4-26, Multisim allows you to use real world components that introduce variances to simulate the performance of actual, physical components. Real world component settings are based on component tolerances, and therefore vary from their nominal values. This affects simulation results. For example, a 1 Kohm resistor with a 10% variance could vary 100 ohms either way.

7-4

Electronics Workbench

Simulation

Multisim SPICE Simulation: Technical Detail

7.3.4.2 Menu-Driven Simulation from Netlist Without Schematic


You can also run simulations from a command line. ! To open the command line interface, choose View/Show Command Line. The following window appears:

You can enter commands directly in this window. The most important commands are: SOURCE, PLOT, OP, SAVE, WRITE, TAN, SET and ANAC.

7.4

Multisim SPICE Simulation: Technical Detail


This section explains the basic technical methodology of circuit simulation in a SPICE-based simulator, including an outline of the stages of circuit simulation and an explanation of the methods of formulation and solution used in the circuit simulation. It is not necessary to comprehend this information to make use of Multisims simulation, but you may find it interesting. In addition, if you would like some advanced technical hints for user controllable simulation settings, see 7.4.7 User Setting: Maximum Integration Order on page 7-9. To fully understand the information in this section, you should be acquainted with the theory of electronic circuit simulation and the mathematics involved.

7.4.1

BSpice/XSpice Support
Multisim incorporates SPICE3F5 and XSpice at the core of its simulation engine, with customized enhancements designed by Electronic Workbench specifically for optimizing simulation performance with digital and mixed-mode simulation. Both SPICE3F5 and XSpice are industry-accepted, public-domain standards, unlike non-standard, proprietary formats such as PSPICE. SPICE3F5 is the most recent version of the SPICE (Simulation Program with Integrated Circuit Emphasis) core designed by the University of California at Berkeley. SPICE3F5 has evolved from the original program developed and released in 1972. It is commonly called BSpice. XSpice is a set of unique enhancements made to SPICE, under contract to the US Air Force, which included specialized modeling subsystems.

Multisim User Guide

7-5

Simulation

Simulation

7.4.2

Circuit Simulation Mechanism


After you create a circuit schematic and begin simulation, the solution of the circuit and generation of the data you see on instruments such as the oscilloscope is the role of the simulator. More specifically, the simulator is the part of Multisim that calculates a numerical solution to a mathematical representation of the circuit you created. For this calculation to occur, each component in a circuit is represented by a mathematical model. Mathematical models link the schematic in the circuit window with the mathematical representation for simulation. The accuracy of the component models is one of the key items that determines the degree to which simulation results match real-world circuit performance. The mathematical representation of a circuit is a set of simultaneous, nonlinear differential equations. The main task of the simulator is to solve these equations numerically. A SPICEbased simulator transforms the nonlinear differential equations into a set of nonlinear algebraic equations. These equations are further linearized using the modified Newton-Raphson method. The resulting set of linear algebraic equations is efficiently solved using the sparse matrix processing LU factorization method.

7.4.3

Four Stages of Circuit Simulation


The simulator in Multisim, like other general-purpose simulators, has four main stages: input, setup, analysis and output (described below).
Stage Input stage Description Simulator reads information about your circuit (after you have built a schematic, assigned values and chosen an analysis). Simulator constructs and checks a set of data structures that contain a complete description of your circuit. The circuit analysis specified in the input stage is performed. This stage occupies most of the CPU execution time and is actually the core of circuit simulation. The analysis stage formulates and solves circuit equations for the specified analyses and provides all the data for direct output or post-processing. You view the simulation results. You can view results on instruments such as the oscilloscope, on graphs that appear when you run an analysis, or in the log file/audit trail.

Setup stage

Analysis stage

Output stage

7-6

Electronics Workbench

Simulation

Multisim SPICE Simulation: Technical Detail

7.4.4

Equation Formulation
In a circuit, each common point created by wires and connectors is called a node. The simulator calculates the voltage at each node. Each branch joining two nodes will have a separate current flowing through it. To calculate a circuit solution, a circuit is represented internally as a system of equations, in the form:

A X = B
where:
A X B n = = = = modified nodal admittance matrix with dimension n x n vector of unknowns with dimension n vector of constants, also with dimension n number of unknowns.

The system of equations is formulated using a general circuit analysis method called the Modified Nodal Approach (MNA). The unknowns (n) include each node voltage (excluding ground), as well as the voltage source currents. B contains the voltage and current source constants, and the entries in the admittance matrix (A) are determined by Ohms law and Kirchhoffs current and voltage laws. The modified nodal admittance matrix is deemed sparse because it contains more zeros than non-zeros. Making use of a linked list, the solution of circuit equations can be performed by employing non-zero terms only. This method is called Sparse Matrix Technique. Generally, a sparse matrix approach requires less memory consumption and achieves faster simulation.

7.4.5

Equation Solution
Multisim solves circuit equations for linear and nonlinear circuits using a unified algorithm. The solution of a linear DC circuit is treated as a special case of general nonlinear DC circuits. LU factorization is used to solve the system of sparse modified nodal matrix equations described previously (a set of simultaneous linear equations). This involves decomposing the matrix A into two triangular matrices (a lower triangular matrix, L, and an upper triangular matrix, U) and solving the two matrix equations using a forward substitution and a backward substitution.

Multisim User Guide

7-7

Simulation

Simulation

Several efficient algorithms are used to avoid numerical difficulties due to the modified nodal formulation, to improve numerical calculation accuracy and to maximize the solution efficiency. These include: A partial pivot algorithm that reduces the round-off error incurred by the LU factorization method. A preordering algorithm that improves the matrix condition. A reordering algorithm that minimizes nonzero terms for the equation solution. A nonlinear circuit is solved by transforming it into a linearized equivalent circuit at each iteration and iteratively solving the linear circuit using the above-described method. Nonlinear circuits are transformed into linear ones by linearizing all nonlinear components in the circuit using the modified Newton-Raphson method. A general nonlinear dynamic circuit is solved by transforming the circuit into a discretized equivalent nonlinear circuit at each time point and solving it using the method for a nonlinear DC circuit described above. A dynamic circuit is transformed into a DC circuit by discretizing all dynamic components in the circuit using an appropriate numerical integration rule.

7.4.6

Numerical Integration
To approximate the value of the integral of the differential equations used in the time-domain solution, Multisim optionally uses two numerical integration methods: the Trapezoidal (default) method the Gear (order from 1 to 6) method. When the trapezoidal method is applied, the following approximation is used to discretize the differential equations:

h dVn + 1 dVn Vn + 1 = Vn + + 2 dt dt
where Vn+1 Vn
h n = = = = present unknown voltage value previous time-point solution time step length time interval.

The first-order Gear integration is the popular Backward Euler method. The second-order variable step size Gear integration formula is:

7-8

Electronics Workbench

Simulation

Multisim SPICE Simulation: Technical Detail

2 hn + hn 1 hn hn + hn 1 dVn + 1 = Vn 1 Vn + Vn + 1 + hn 1( hn + hn 1) hn hn 1 dt hn ( hn + hn 1)
where Vn+1 Vn Vn-1 hn
hn-1 = = = = = present unknown solution previous first time-point solution previous second time-point solution present time step previous time step

7.4.7

User Setting: Maximum Integration Order


You can change the maximum order for integration method using the MAXORD analysis option (see 8.29 Analysis Options on page 8-65). Using a higher order (3 through 6) Gear method theoretically leads to more accurate results, but slows down the simulation. Be aware that the maximum order for integration method is the maximum order that could be used, but that the simulator selects the most appropriate order based on the circuit. Due to the nature of the nonlinear components, each time point may involve solving the admittance matrix several times before converging to a solution. The point solution is reached when the difference between consecutive voltage values is less than the tolerance calculated internally in terms of the absolute and relative tolerances specified in the analysis options.

7.4.8

Convergence Assistance Algorithms


Multisim uses two modified Newton-Raphson continuation algorithms, Gmin stepping and Source stepping, to help find the solution during a DC Operating Point analysis of general nonlinear circuits.

7.4.8.1 Gmin Stepping


Gmin stepping is a multi-step iterative algorithm. This algorithm simply adds a conductance, Gmin, to the diagonal elements of the modified nodal admittance matrix so that a solution will converge more quickly. The basic concept is to keep the matrix well-conditioned. Initially, a large Gmin value is applied and an approximate solution is found quickly. The initial value is set by the Gmin value times 10GminSteps Gmin. The Gmin value is taken from the GMIN (Gmin Minimum Conductance) analysis option and the number of steps from GMIN-

Multisim User Guide

7-9

Simulation

Simulation

STEPS (both options are described in more detail in 8.29 Analysis Options on page 8-65). The conductance is then reduced by a factor of ten and the circuit is solved again by setting the previous solution as the initial guess of the next iteration. When Gmin is reduced to zero, a final solution of the circuit is performed and the correct answer is obtained. This actually divides one single-step solution of the simple nonlinear iteration into a multi-step solution, which uses the same algorithm but has many smaller steps.

7.4.8.2 Source Stepping


Source stepping is a convergence assistance algorithm. This algorithm solves a nonlinear circuit problem by setting a fraction of the source vector as a parameter variable to aid the convergence of the DC solution. Similar to the Gmin stepping method, Source stepping converts a single nonlinear circuit problem into a multi-step nonlinear circuit problem. Starting from a zero source vector, the source vector is slowly ramped up to its full DC value. At each source step, a simple nonlinear iteration solution is performed. The ramp rate is controlled by the SRCSTEPS (Steps in source stepping algorithm) analysis option (see 8.29 Analysis Options on page 8-65).

7.5

RF Simulation
RF simulation is included with Multisim Power Professional and is available as part of the Professional Edition in an optional RF Design module. This section is simply a brief introduction to the simulation portion of the RF Design module. You simulate an RF circuit the same way you simulate a board/system-level circuit in Multisim, as described in7.3 Using Multisim Simulation on page 7-3. This is because Multisims RF Design module simulates RF circuits using an optimized SPICE engine (as opposed to VHDL, Verilog HDL, etc.). There is no need to tell Multisim that your circuit is an RF circuit. RF simulation uses the SPICE simulation engine, but has been optimized to accurately simulate circuits designed to operate at higher frequencies, or at faster clock speeds (which generate RF characteristics). This optimization uses parts specifically designed and modeled to simulate accurately at these higher frequencies. For detailed information on RF simulation and the RF Design module, see Chapter 14, RF.

7-10

Electronics Workbench

Simulation

VHDL Simulation

7.6

VHDL Simulation
Multisim employs a specialized VHDL simulator which simulates, not at the SPICE level using schematic design entry, but at the behavioral language level. VHDL is one of the two most widely used behavioral languages, commonly used for designing and modeling: programmable logic devices such as CPLDs and FPGAs complex digital chips, such as memory, CPUs, microcontrollers, and other devices which could not be reasonably modeled using SPICE. Even if you are not using such devices today, you will likely find it increasingly necessary to do so in the future. Multisim offers the perfect environment for experienced and novice VHDL users alike. The Multisim VHDL simulator can be used in two ways: As part of the board/system design process, when components are modeled in VHDL instead of SPICE. Multisim automatically invokes the VHDL simulator as needed (this is called co-simulation). In this method, you do not need extensive VHDL knowledge, but can simply take advantage of the broader library of simulatable models for complex digital chips. If you have VHDL simulation, it is invoked automatically by Multisim when you begin simulation (as described in7.3 Using Multisim Simulation on page 7-3) and a component that is part of your system- or board-level circuit is modeled in VHDL. You need not do anything different to simulate in this co-simulation mode than you do to simulate a circuit with only SPICE-modeled parts. Just begin simulation as normal and Multisim takes care of the rest, recognizing when VHDL models exist and reacting accordingly. As part of the programmable logic design process, in which you write, simulate and debug VHDL source code. This is a much more involved process and does require knowledge of the VHDL language on your part. For details on this type of VHDL simulation, see Chapter 10, HDLs and Programmable Logic.

7.7

Verilog HDL Simulation


Multisim employs a specialized Verilog HDL simulator which simulates, not at the SPICE level using schematic design entry, but at the behavioral language level. Verilog HDL is, along with VHDL, one of the most widely used behavioral languages, commonly used for designing and modeling: programmable logic devices such as CPLDs and FPGAs complex digital chips, such as memory, CPUs, microcontrollers, and other devices which could not be reasonably modeled using SPICE.

Multisim User Guide

7-11

Simulation

Simulation

Even if you are not using such devices today, you will likely find it increasingly necessary to do so in the future. Multisim offers the perfect environment for experienced and novice Verilog HDL users alike. The Multisim Verilog HDL simulator can be used in two ways: 1. As part of the board/system design process, when components are modeled in Verilog HDL instead of SPICE. Multisim automatically invokes the Verilog HDL simulator as needed (this is called co-simulation). In this method, you do not need extensive Verilog HDL knowledge, but can simply take advantage of the broader library of simulatable models for complex digital chips. If you have Verilog HDL simulation, it is invoked automatically by Multisim when you begin simulation (as described in 7.3 Using Multisim Simulation on page 7-3) and a component that is part of your system- or board-level circuit is modeled in Verilog HDL. For details on Verilog HDL simulation, see Chapter 10, HDLs and Programmable Logic. You need not do anything different to simulate in this co-simulation mode than you do to simulate a circuit with only SPICE-modeled parts. Just begin simulation as normal and Multisim takes care of the rest, recognizing when Verilog HDL models exist and reacting accordingly. 2. As part of the programmable logic design process, in which you write, simulate and debug Verilog HDL source code. For details on this type of Verilog HDL simulation, see Chapter 10, HDLs and Programmable Logic.

7-12

Electronics Workbench

Analyses

C h a p te r Analyses
8.1 8.2 8.3

About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 Introduction to Multisim Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-1 Working with Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2 8.3.1 General Instructions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-2 8.3.2 The Analysis Parameters Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3 8.3.3 The Output Variables Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-3 8.3.3.1 Choosing How Output Variables are to be Handled. . . . . . . . . . . . . . 8-4 8.3.3.2 Filtering the Variable Lists . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-4 8.3.3.3 Adding Parameters to the Variable List . . . . . . . . . . . . . . . . . . . . . . . 8-5 8.3.4 The Miscellaneous Options Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-6 8.3.5 The Summary Tab . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-8 8.3.6 Incomplete Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 DC Operating Point Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 8.4.1 About the DC Operating Point Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-9 8.4.2 Setting DC Operating Point Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . 8-9 8.4.3 Troubleshooting DC Operating Point Analysis Failures . . . . . . . . . . . . . . . . . 8-10 AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11 8.5.1 About the AC Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-11 8.5.2 Setting AC Analysis Frequency Parameters . . . . . . . . . . . . . . . . . . . . . . . . . 8-11 Transient Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.6.1 About the Transient Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.6.2 Setting Transient Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.6.3 Troubleshooting Transient Analysis Failures . . . . . . . . . . . . . . . . . . . . . . . . . 8-13 8-13 8-14 8-15

8.4

8.5

8.6

8.7

Fourier Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16 8.7.1 About the Fourier Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-16 8.7.2 Setting Fourier Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-17 Noise Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19 8.8.1 About the Noise Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-19

8.8

Multisim User Guide

8.8.2 Noise Analysis Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-20 8.8.3 Setting Noise Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-21 8.9 Distortion Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23 8.9.1 About the Distortion Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-23 8.9.2 Setting Distortion Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-24

Analyses

8.10 DC Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-25 8.10.1Setting DC Sweep Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-26 8.11 DC and AC Sensitivity Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.11.1About the Sensitivity Analyses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.11.2Sensitivity Analyses Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.11.3Setting Sensitivity Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-27 8-27 8-28 8-30

8.12 Parameter Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31 8.12.1About the Parameter Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-31 8.12.2Setting Parameter Sweep Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . 8-31 8.13 Temperature Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34 8.13.1About the Temperature Sweep Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-34 8.13.2Setting Temperature Sweep Analysis Parameters . . . . . . . . . . . . . . . . . . . . 8-35 8.14 Transfer Function Analysis. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36 8.14.1About the Transfer Function Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-36 8.14.2Setting Transfer Function Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . 8-37 8.15 Worst Case Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-38 8.15.1About the Worst Case Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-38 8.15.2Setting Worst Case Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-41 8.16 Pole Zero Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.16.1About the Pole Zero Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.16.1.1 About Circuit Stability . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.16.1.2 About the Bode Phase Plot . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.16.2Setting Pole Zero Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-42 8-42 8-43 8-44 8-45

8.17 Monte Carlo Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-47 8.17.1About the Monte Carlo Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-47 8.17.2Setting Monte Carlo Analysis Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-50 8.18 RF Analyses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-50 8.19 Batched Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-51 8.20 User-Defined Analyses . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-52 8.21 Noise Figure Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-53

Electronics Workbench

8.22 Viewing the Analysis Results: Error Log/Audit Trail . . . . . . . . . . . . . . . . . . . . . . . . . 8-53 8.23 Viewing the Analysis Results: Grapher . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-54 8.24 Working with Pages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-56 8.25 Working with Graphs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.25.1 Grids and Legends. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.25.2 Cursors. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.25.3 Zoom and Restore . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.25.4 Title . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.25.5 Axes . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8.25.6 Traces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-57 8-57 8-58 8-59 8-60 8-61 8-62 Analyses

8.26 Viewing Charts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-63 8.27 Cut, Copy and Paste . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-63 8.28 Print and Print Preview . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-64 8.29 Analysis Options. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8-65

Multisim User Guide

Analyses

Electronics Workbench

Analyses

Chapter Analyses
8.1

About this Chapter


This chapter explains how to use the various analyses included in Multisim. It explains how to work with analyses in general, the specific settings and options for each individual analysis, and how to view and manipulate analyses results. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

8.2

Introduction to Multisim Analyses


Multisim offers you many analyses, all of which utilize simulation to generate the data for the analysis you want to perform. These analyses can range from quite basic to extremely sophisticated, and can often require one analysis to be performed (automatically) as part of another. For each analysis, you will need to decide upon some settings that will tell Multisim what you want the analysis to do. In addition to the analyses provided by Multisim, you can also create user-defined analyses based on SPICE commands you enter. When you activate an analysis, the results are displayed on a plot in Multisims Grapher (unless you specify otherwise; see 8.23 Viewing the Analysis Results: Grapher on page 854 for more information on the Grapher) and saved for use in the Postprocessor (not available in all versions of Multisim; see Chapter 9, Postprocessor for more information). Some results are also written to an audit trail, which you can view (see 8.22 Viewing the Analysis Results: Error Log/Audit Trail on page 8-53 for more information). For educational purposes, you can choose which analyses will be available to students in a circuit. See 13.4 Using Restrictions on page 13-3 for more information.

Multisim User Guide

8-1

Analyses

8.3

Working with Analyses


You need to know how to work with analyses in general as well as the specific options for each individual analysis. For each analysis, you can set: the analysis parameters (all have default values) how output variables are to be handled (required) a title for the analysis (optional) custom values for analysis options (optional). Analysis settings are saved with the circuit. The next section describes the general procedures for performing analyses, and the following sections describe the details of each particular analysis.

Analyses

8.3.1

General Instructions
! To perform an analysis: 1. Click the Analyses button on the Design Bar, or choose Simulate/Analyses. A menu appears with the list of analyses available. 2. Select the desired analyses. Depending on the analysis selected, the screen that appears will include some or all of the following tabs: the Analysis Parameters tab, where you set the parameters for this analysis the Output Variables tab, where you specify what is to be done with specific analysis output (not present in all analyses) the Miscellaneous Options tab, where you choose a title for the plot produced by the analysis, and set any custom values for analysis options the Summary tab, where you see a consolidated view of all the settings for the analysis. The options and settings available under these tabs are described in the following sections of this chapter. ! To save the settings as the defaults for future use, click Accept on the analysis screen. ! To run the simulation with the current settings, click Simulate on the analysis screen. ! To run several analyses in batch, see 8.19 Batched Analyses on page 8-51. Tip To stop an analysis, press ESC.

8-2

Electronics Workbench

Working with Analyses

8.3.2

The Analysis Parameters Tab


The options available on the analysis parameters tab are different for each analysis, and so are described in different subsections of this chapter, one per analysis. Each analysis description includes guidelines for both normal and advanced use of the analysis. Some lists of items are accompanied by a Filter variables displayed function. This lets you filter the items shown in that list, choosing whether or not to include internal nodes, submodules, open pins and device parameters. Analyses

8.3.3

The Output Variables Tab


This tab displays, on the left, all the possible output variables for the current circuit. You choose the variables you want to use in the analysis.
Choose types of output variables to display. Choose types of variables to be used in analysis.

Filter types of variables displayed Possible output variables from the circuit (of the selected type)

Output variables to be used in analysis

Multisim User Guide

8-3

Analyses

You can choose to display only certain types of output variables (voltage only, current only, and so on) or whether or not to display internal nodes, submodules, open pins and device parameters. You can also add parameters from a specific device or model to the list of variables.

Analyses

8.3.3.1 Choosing How Output Variables are to be Handled


! To determine how the output variables are to be handled for a particular analysis, select a variable from the list on the left and: to include the output variable in the plot, click Plot During Simulation to save the output variable for post processing only, click Keep Only for Postprocessing.

! To remove an item from the right hand list, select it and click Remove. Using the Output Variables tab, you can also filter the variables list, filter the variables displayed, as well as add a wide range of device or model parameters. By default, all variables are initially included in the Variables in Circuit list.

8.3.3.2 Filtering the Variable Lists


! To filter the variables list according to general variable type: 1. Click the Variables in Circuit drop-down list. 2. Click the general variable type (such as voltages, currents, device/model parameters) to include in the list. You can filter the variables displayed to include internal nodes (such as nodes inside a BJT model or inside a SPICE subcircuits), open pins, as well as output variables from any submodules contained in the circuit. ! To filter the variables displayed: 1. Click the Filter Variables Displayed button. The Filter Nodes screen appears:
Enable the desired settings.

2. Enable one or more settings. 3. Click OK.

8-4

Electronics Workbench

Working with Analyses

8.3.3.3 Adding Parameters to the Variable List


! To add a parameter from a specific device or model to the list of variables: 1. Click Add Device/Model Parameter. The Add Device/Model parameter screen appears, allowing you to specify which parameter is to be added. For example: Analyses
Choose whether to add either a device or model parameter.

2. From the Parameter Type list, select whether you want to add a device parameter or model parameter. These let you set how various internal parameters of a component or model change during the analysis. You will find a complete list of model and component (instance) parameters in the SPICE Users Manual (Appendix B). Parameters are labelled either input-output or output only. 3. From the Device Type drop-down list, select a device type from the devices in the circuit. 4. From the Name drop-down list, select a specific instance of the device type. 5. From the Parameter drop-down list, select a parameter from all available device/model parameters. A brief description of the selected parameter appears in the Description list. 6. Click OK to add the selected parameter to the Variables in Circuit list. This variable can now be included in the analysis. 7. To save the addition, click Accept. To cancel the addition, click Cancel. The parameter appears in the left-hand list under the Output Variables tab on the analysis screen, which is automatically set to show only device/model parameters. ! To delete a parameter added in this way, select it and click Delete selected variables. ! To show the values of all the components and models in the circuit at the end of the simulation, enable Show all output parameters at end of simulation.

Multisim User Guide

8-5

Analyses

8.3.4

The Miscellaneous Options Tab


The options on this tab provide you with additional flexibility, but do not require that you set them. Use this tab to set a title for the analysis results, to check if the circuit is valid for analysis, and to set custom analysis options.

Analyses

The title appears on the plot produced by the analysis, and is recorded in the audit trail

To set custom analysis options, enable this option... ...and click this button. To check if the circuit is valid for analysis, enable this option.

! To change the analysis title from its default, enter text in the Title for analysis field. ! To check if the circuit is a valid circuit for analysis, enable Perform consistency check before starting analysis. This option automatically identifies inconsistencies such as open capacitors, empty circuit files and ungrounded circuits. Normally analyses run without further intervention. If an analysis does not perform as necessary, you may need to set custom analysis options. ! To set custom analysis options: 1. Enable Use custom analysis options. Note You should have a general knowledge of the SPICE simulation engine before altering the default settings under this option.

8-6

Electronics Workbench

Working with Analyses

2. Click Analysis Options. A list of the possible analysis options appears.

Analyses

Analysis options shown in blue are set to the non-SPICE default value. 3. Select the analysis options whose value you want to change. The bottom of the screen changes to reflect the choices available to you. For those analysis options that you simply turn on or off, the bottom of the screen looks like this:

To control whether or not the option takes effect, enable or disable the Use this option option. To reset the default value for the option, click Reset value to default. For those analysis options for which you set values, the bottom of the screen looks like this:

To use the default value, enable Use default value. To set a specific value, disable Use default value and type a value in the Value field.To re-set the value to its default setting, click Reset value to default.

Multisim User Guide

8-7

Analyses

4. To save your changes, click OK. To close without saving, click Cancel. For a complete list of the available analysis options, see 8.29 Analysis Options on page 865.

Analyses

8.3.5

The Summary Tab


This tab offers a quick overview of all the various settings for your analysis. It does not require you to set any options, but you can use it to view summary information about your analysis.
Click here to roll up and conceal underlying information

Click here to reveal underlying information

You navigate through this display as you do with Windows Explorer. The + beside an item indicates that it has information underneath it, which can be revealed by clicking the +. The - beside an item indicates that all its information is being revealed. That information can be hidden by clicking the -. This window also shows you the SPICE representation of your analysis options, as well as the name of the file to which the analysis results are being saved (.raw file). This file is used for postprocessing.

8-8

Electronics Workbench

DC Operating Point Analysis

8.3.6

Incomplete Analyses
For a variety of reasons, the simulator in Multisim is occasionally unable to complete a simulation or an analysis. Multisim uses the modified Newton-Raphson method to solve nonlinear circuits. When a circuit includes nonlinear components, multiple iterations of a set of linear equations are used to account for the non-linearities. The simulator makes an initial guess at the node voltages, then calculates the branch currents based on the conductances in the circuit. The branch currents are then used to recalculate the node voltages and the cycle is repeated. This cycle continues until all of the node voltages and branch currents fall within user-defined tolerances, that is, convergence occurs. You can specify tolerances and iteration limits for the analysis through the analysis options described in 8.29 Analysis Options on page 8-65. If the voltages or currents do not converge within a specified number of iterations, an error message is produced and the simulation is aborted (typical messages include Singular matrix, Gmin stepping failed, Source stepping failed and Iteration limit reached). Analyses

8.4
8.4.1

DC Operating Point Analysis


About the DC Operating Point Analysis
The DC operating point analysis determines the DC operating point of a circuit. For DC analysis, AC sources are zeroed out and steady state is assumed, that is, capacitors are open circuits and inductors are short circuits. The results of DC analysis are usually intermediate values for further analysis. For example, the DC operating point obtained from DC analysis determines approximate linearized, small-signal models for any nonlinear components such as diodes and transistors for the AC frequency analysis. Assumptions Digital components are treated as large resistances to ground. Results include node DC voltages and branch currents. Note You can specify whether or not specific node trace widths are to be used for this type of analysis. For details, see 3.11.2 Modifying Node Numbers on page 3-23. (

8.4.2

Setting DC Operating Point Analysis Parameters


There are no analysis parameters to be set for this analysis.

Multisim User Guide

8-9

Analyses

8.4.3

Troubleshooting DC Operating Point Analysis Failures


DC operating point analysis may fail to converge for various reasons. The initial guesses for the node voltages may be too far off, the circuit may be unstable or bi-stable (there may be more than one solution to the equations), there may be discontinuities in the models or the circuit may contain unrealistic impedances. Note All error messages generated during an analysis appear in the error log/audit trail. Use the following techniques to solve many convergence problems and analysis failures. Before you proceed, identify which analysis is causing the problem (keep in mind that DC operating point analysis is often performed as the first step of other analyses). In each of the following solutions, begin with step 1, then continue performing the subsequent steps, in order, until the problem is solved. 1. Check the circuit topology and connectivity. Make sure that: The circuit is correctly wired, and includes no dangling nodes or stray parts. You havent confused zeros with the letter O. Your circuit has a ground node and every node in the circuit has a DC path to ground. Make sure no sections of your circuit are completely isolated from ground by transformers, capacitors, etc. Capacitors and voltage sources are not in parallel. Inductors and current sources are not in series. All devices and sources are set to their proper values. All dependent source gains are correct. Your models/subcircuits have been correctly entered. 2. Increase the operating point analysis iteration limit to 200-300. This allows the analysis to go through more iterations before giving up. 3. Reduce the RSHUNT value by a factor of 100. 4. Increase the Gmin minimum conductance by a factor of 10. 5. Enable the option Use zero initial conditions.

Analyses 8-10

Electronics Workbench

AC Analysis

8.5
8.5.1

AC Analysis
About the AC Analysis
Analyses In AC analysis, the DC operating point is first calculated to obtain linear, small-signal models for all nonlinear components. Then a complex matrix (containing both real and imaginary components) is created. To construct a matrix, DC sources are given zero values. AC sources, capacitors, and inductors are represented by their AC models. Nonlinear components are represented by linear AC small-signal models, derived from the DC operating point solution. All input sources are considered to be sinusoidal. The frequency of the sources is ignored. If the function generator is set to a square or triangular waveform, it will automatically switch internally to a sinusoidal waveform for analysis. AC analysis then calculates the AC circuit response as a function of frequency. Assumptions Applied to an analog circuit, small-signal. Digital components are treated as large resistances to ground.

8.5.2

Setting AC Analysis Frequency Parameters


Before you perform the analysis, review your circuit and decide on the nodes for analysis. You can specify magnitude and phase of a source for AC frequency analysis through the placed components parameters, as described in 3.9.3 Controlling How a Placed Component is Used in Analyses on page 3-20.

Multisim User Guide

8-11

Analyses

AC Analysis Frequency parameters are set in the following screen:

Enter the start frequency for the sweep. Enter the stop frequency for the sweep. Select a sweep type: decade, linear, or octave. Defines how points to be calculated are distributed across the frequency range. Enter the number of points to be calculated during the analysis. For a linear sweep type, use the number of points between start and end. Select a vertical scale: linear, logarithmic, decimal, or octave. Vertical scale controls the y-axis scaling on the output graph.

Analyses

Note To reset all parameters to their default values, click Reset to default. The result of the AC frequency analysis is displayed in two parts: gain versus frequency and phase versus frequency. If you have the Bode plotter connected to your circuit and activate the circuit, a similar analysis is performed.

Setting AC Analysis Frequency Parameters for Normal Use


In most cases, you only need to: set a Start Frequency set a Stop Frequency

8-12

Electronics Workbench

Transient Analysis

Setting AC Analysis Frequency Parameters for Advanced Use


In addition to the frequency range, you can also: choose a desired sweep type (decade, linear, or octave) from the Sweep type drop-down list enter the number of points to be calculated in the Number of points per decade field choose the vertical scale (linear, logarithmic, decimal or octave) from the Vertical scale drop-down list. Note The greater the number of points calculated, the more accurate the results will be; however, the simulation speed will be adversely affected.

Analyses

8.6
8.6.1

Transient Analysis
About the Transient Analysis
In transient analysis, also called time-domain transient analysis, Multisim computes the circuits response as a function of time. Each input cycle is divided into intervals, and a DC analysis is performed for each time point in the cycle. The solution for the voltage waveform at a node is determined by the value of that voltage at each time point over one complete cycle. DC sources have constant values; AC sources have time-dependent values. Capacitors and inductors are represented by energy storage models. Numerical integration is used to calculate the quantity of energy transfer over an interval of time.
if initial conditions are set to be... automatically determined then... Multisim tries to start the simulation using the DC operating point as the initial condition. If the simulation fails, it uses userdefined initial conditions. Multisim first calculates the DC operating point of the circuit, then uses that result as the initial conditions of the transient analysis. the transient analysis starts from zero initial conditions. the analysis starts from initial conditions as set in the transient analysis screen.

based on the DC operating point

zero user-defined

Assumptions None.

Multisim User Guide

8-13

Analyses

8.6.2

Setting Transient Analysis Parameters


Before you perform the analysis, review your circuit and decide on the nodes for analysis. Transient Analysis Parameters are set in the following screen:

Analyses

Set initial conditions: Zero, User-Defined, Calculate DC Operating Point, or Automatically Determine Initial Conditions. Start time of transient analysis must be greater than or equal to 0 and less than End time. End time of transient analysis must be greater than Start time. Click to enter minimum number of time points (number of points between start and stop times). Click to enter the maximum time step the simulation can handle. Click to generate time steps automatically.

The result of the transient analysis is a calculation of voltage versus time. If you have the oscilloscope connected to your circuit and activate the circuit, a similar analysis is performed. Note To re-set all parameters to their default values, click Reset to default.

Setting Transient Analysis Parameters for Normal Use


The default settings are appropriate for normal use, providing the transient response of the selected output variables starting at time 0 seconds and stopping after 1 ms. You can, if you wish: change the start time by entering a value greater than or equal to 0 and less than the End time in the Start time field change the end time by entering a value greater than the Start time in the End time field.

8-14

Electronics Workbench

Transient Analysis

Setting Transient Analysis Parameters for Advanced Use


For advanced use, you can: define the initial conditions at time 0 seconds by selecting an initial condition (Zero, UserDefined, Calculate DC Operating Point, or Automatically Determine Initial Conditions) from the Initial conditions drop-down list You can have the initial conditions set to zero, or you can use the steady state values of the circuit under analysis. During and/or after circuit construction, you can specify node voltages. These forced values can also be used as initial conditions for the analysis.

Analyses

Note If you select Automatically determine initial conditions, Multisim will attempt to use steady state conditions to run the analysis. If this is unsuccessful, Multisim will set initial conditions to zero. If simulation is still not possible, Multisim will use the specified user-defined conditions. define the maximum time step to be taken by the simulation engine by enabling Maximum timestep (TMAX) and entering the desired time step define the minimum time step to be taken by enabling Minimum number of time points and entering the desired number of points to be calculated Note The value of TMAX is determined by dividing the interval between the specified analysis start and end times by the minimum number of time points specified. enable Set Initial Timestep (TSTEP), and enter a value less than the specified maximum time step value in the Timestep (TSTEP) field. If possible, the size of the time steps taken during the simulation will begin with the initial timestep and will continue to increase to the value specified by the maximum time step.

8.6.3

Troubleshooting Transient Analysis Failures


If transient analysis is being performed (time is being stepped) and the simulator cannot converge on a solution using the initial time step, the time step is automatically reduced, and the cycle is repeated. If the time step is reduced too far, an error message (Timestep too small) is generated and the simulation is aborted. If this occurs, try one or more of the following: Check the circuit topology and connectivity. See step 1 of 8.4.3 Troubleshooting DC Operating Point Analysis Failures on page 8-10. Set relative error tolerance to 0.01. By increasing the tolerance from 0.001 (0.1% accuracy), fewer iterations are required to converge on a solution and the simulation finishes much more quickly. Increase transient time point iterations to 100. This allows the transient analysis to go through more iterations for each time step before giving up.

Multisim User Guide

8-15

Analyses

Analyses

Reduce the absolute current tolerance, if current levels allow. Your particular circuit may not require resolutions down to 1 V or 1 pA. You should allow at least an order of magnitude below the lowest expected voltage or current levels of your circuit. Realistically model your circuit. Add realistic parasitics, especially junction capacitances. Use RC snubbers around diodes. Replace device models with subcircuits, especially for RF and power devices. If you have a controlled one-shot source in your circuit, increase its rise and fall times. Change the integration method to Gear. Gear integration requires longer simulation time, but is generally more stable than the trapezoid method.

8.7
8.7.1

Fourier Analysis
About the Fourier Analysis
Fourier analysis is a method of analyzing complex periodic waveforms. It permits any nonsinusoidal period function to be resolved into sine or cosine waves (possibly an infinite number) and a DC component. This permits further analysis and allows you to determine the effect of combining the waveform with other signals. Given the mathematical theorem of a Fourier series, the period function f/t) can be written as follows:

f ( t ) = A 0 + A 1 cos t + A 2 cos 2t + + B 1 sin t + B 2 sin 2t +


where:
A0 A 1 cos t + B 1 sin t A n cos nt + B n sin nt
A, B = = = = = the DC component of the original wave the fundamental component (has the same frequency and period as the original wave) the nth harmonic of the function the coefficients the fundamental angular frequency, or 2 times the frequency of the original periodic wave

2 ----T

Each frequency component (or term) of the response is produced by the corresponding harmonic of the periodic waveform. Each term is considered a separate source. According to the principle of superposition, the total response is the sum of the responses produced by each term. Note that the amplitude of the harmonics decreases progressively as the order of the harmonics increases. This indicates that comparatively few terms yield a good approximation.

8-16

Electronics Workbench

Fourier Analysis

When Multisim performs Discrete Fourier Transform (DFT) calculations, only the second cycle of the fundamental component of a time-domain or transient response (extracted at the output node) is used. The first cycle is discarded for the settling time. The coefficient of each harmonic is calculated from the data gathered in the time domain, from the beginning of the cycle to time point t. That is set automatically and is a function of the fundamental frequency. This analysis requires a fundamental frequency matching the frequency of the AC source or the lowest common factor of multiple AC sources. Assumptions None.

Analyses

8.7.2

Setting Fourier Analysis Parameters


Before you perform the analysis, review your circuit and select an output node in the screen. The output variable is the node from which the analysis extracts the voltage waveform. Fourier Analysis Parameters are set in the following screen:
Set to the frequency of an AC source in your circuit. If you have several AC sources, use the lowest common factor of frequencies. Click to have Multisim estimate fundamental frequency. Set the number of harmonics of the fundamental frequency that are calculated. Click to enter the amount of time during which sampling should occur (or use Set Transient Analysis). Enable this option and click Edit analysis to set parameters for associated transient analysis. Enable to enter number of points to be sampled during period. Enable to specify a sampling frequency. Enable to enter degree to be used when interpolating between points on simulation. Enable to display results as phase. Enable to normalize graphs. Normalized graphs are normalized against the 1st harmonic. Choose a display option: chart, graph, or chart and graph.

Enable to display results as line graph. If not enabled, results display as barChoose a vertical scale: linear, graph. logarithmic, decibel, or octave.

Multisim User Guide

8-17

Analyses

Fourier analysis produces a graph of Fourier voltage component magnitudes and, optionally, phase components versus frequency. By default, the magnitude plot is a bargraph but may be displayed as a line graph. The analysis also calculates Total Harmonics Distortion (THD) as a percentage. The THD is generated by notching out the fundamental frequency, taking the square root of the sum of the squares of each of the n harmonics, and then dividing this number by the magnitude of the notched out fundamental frequency.

Analyses

THD = [ ( i = 2 V i ) V 1 ] 100 %, where V1 is the magnitude of the ith harmonics. Setting Fourier Analysis Parameters for Normal Use
For normal use, you just need to specify parameters for the following: frequency under test, either by clicking Estimate to have a value selected based on the AC sources in the circuit, or by entering a value in the Frequency under test field. This value should be the lowest common factor for the frequencies present in the circuit. number of harmonics, by entering a value in the Number of harmonics field. You can specify the stopping time for sampling to avoid unwanted transient results prior to the circuit reaching steady-state operation. stopping time for sampling by enabling Stopping time for sampling and entering a new stopping time for sampling. Although the Nyquist rate specifies only two times the highest frequency component being considered in the analysis as a suitable sampling rate, it is recommended that you specify a sampling frequency sufficient to obtain a minimum of 10 sampling points per period. sampling options by doing one of the following: enabling Sampling points per period and entering a value for sampling points per period enabling Sampling points per period and clicking Set Default to choose the default value of 10 enabling Sampling Frequency and entering a value in the appropriate field enabling Sampling Frequency and clicking Set Default to choose the default value. Note The sampling frequency should be equal to the frequency under test times (the number of harmonics plus one) times at least 10 sampling points per period.

Setting Fourier Analysis Parameters for Advanced Use


In addition to the basic procedures, you can also specify parameters for the following: degree of polynomial for interpolation, by enabling Degree of polynomial for interpolation and entering a value in the appropriate field. The higher the degree of polynomial the greater the accuracy of the results.

8-18

Electronics Workbench

Noise Analysis

results display format by doing one or all of the following: choosing a vertical scale (linear, logarithmic, decibel or octave) from the Vertical Scale list choosing a display option (chart, graph, or chart and graph) from the Display list enabling Display phase to display results as phase enabling Display as line graph to display the results as a line graph instead of a bargraph. enabling Normalize graphs to normalize the results with respect to the frequency under test. transient analysis options by enabling Set transient analysis and clicking Edit analysis to edit the transient analysis setup. For details, see Setting Transient Analysis Parameters for Normal Use on page 8-14.

Analyses

8.8
8.8.1

Noise Analysis
About the Noise Analysis
Noise is any undesired voltage or current appearing in the output. One common result of noise is snowy television reception caused by fluctuations across all frequencies of the television signal. Multisim can model three different kinds of noise: 1. Thermal noise (also known as Johnson, or white noise) is temperature dependent and caused by the thermal interaction between free electrons and vibrating ions in a conductor. Its frequency content is spread equally throughout the spectrum. The power of this generated noise is given by Johnsons formula:

P = k T BW
where
k T = Boltzmanns constant (1.38 10
23

J K)

= resistor temperature in Kelvin (T = 273 + temperature in Celsius)

BW = frequency bandwidth of the system being considered

The thermal voltage could be represented by a mean-square voltage source in series with the resistor

e = 4kTR BW

Multisim User Guide

8-19

Analyses

or the resistor mean-square current generator

i = 4kTBW R .
2. Shot noise is caused by the discrete-particle nature of the current carriers in all forms of semiconductors. It is the major cause of transistor noise. The equation for shot noise in a diode is: Analyses

i = ( 2q Idc BW )
where
i q Idc

12

= shot noise (RMS amperes) = electron charge ( 1.6 10


19

Coulomb)

= DC current (A)

BW = bandwidth (Hz)

For all other devices, such as transistors, no valid formula is available. See the device manufacturers data sheet. Shot noise and thermal noise are additive. 3. Flicker noise (also known as excess noise, pink noise, or 1/f noise) is present in BJTs and FETs and occurs at frequencies below 1kHz. It is inversely proportional to frequency and directly proportional to temperature and DC current levels. Assumptions Applied to an analog small-signal circuit. Non-conforming parts are ignored. Noise models for SPICE components are used.

8.8.2

Noise Analysis Example


Noise analysis is used frequently when diagnosing problems in communications systems. It calculates the noise contribution from each resistor and semiconductor at the specified output node. Each noise source is assumed not to be statistically correlated with the other noise sources in the circuit and their values are calculated independently. The total output noise at the output node is the Root Mean Square (RMS) sum of the individual noise contributions. The result is then divided by the gain between the input source (V0 in the sample circuit below) and the output source (node 13) to give the equivalent input noise. Equivalent input noise is the amount of noise that you would need to inject at the input source of a noiseless circuit to give an output noise level matching the noisy circuit. The total output noise voltage can be referenced to ground or to another node on the circuit. In this case, the total output noise is taken across these two nodes. For example, if you choose V1 as the input noise reference source, and N1 as the output node, all the noise generators in the circuit have their noise contributions summed at N1 to provide the output noise. This value is then divided by the gain from V1 to N1 to get the equivalent

8-20

Electronics Workbench

Noise Analysis

input noise which, if injected from V1 into a noiseless circuit, would cause the previously calculated amount of output noise at N1.

8.8.3

Setting Noise Analysis Parameters


Analyses Before you perform the analysis, review your circuit and decide on an input noise reference source, output node and reference node. Noise Analysis Parameters are set in the following screen:

Choose an AC voltage source as input. This is the node at which all noise contributions will be summed. Reference voltage When enabled, generates a trace of the selected components noise contribution. Number of frequency steps is divided by the points per summary, which reduces the resolution of the output graph.

Setting Noise Analysis Parameters for Normal Use


Noise analysis performs an AC analysis to determine the noise. To copy the settings from the current AC analysis to this analysis, click Reset to main AC values. Noise analysis produces an output noise spectrum, an input noise spectrum and, optionally, a component contribution spectrum. When the analysis is finished, its results are displayed as a graph of voltage squared, V2, versus frequency. The thick trace identifies the total output noise at node 13, while the thin trace identifies the equivalent input noise at the AC source (V0). For this example, the output noise power is constant for all frequencies within the frequency sweep specified in the window.

Multisim User Guide

8-21

Analyses

On the analysis parameters tab, specify: Analyses input noise reference source output node reference node.

Setting Noise Analysis Parameters for Advanced Use


On the analysis parameters tab you can specify how often the noise contributions of each noise generating device are produced by enabling Set points per summary and entering a value.

Setting Noise Analysis Frequency Parameters


Noise Analysis Frequency Parameters are set in the following screen:

Start frequency for the sweep

End frequency for the sweep Choose from Decade/Linear/ Octave For linear, number of frequency points between start and end frequencies. Choose from Logarithmic/Linear/ Decibel/Octave.

Setting Noise Analysis Frequency Parameters for Normal Use


The default settings on the Frequency Parameters tab are appropriate for most cases. You just need to define a frequency range by typing a value in the Start Frequency field and in the Stop Frequency field. Once the required variables are selected and the frequency range has been defined, you can then run the analysis.

8-22

Electronics Workbench

Distortion Analysis

Setting Noise Analysis Frequency Parameters for Advanced Use


On the Frequency Parameters tab you can also set: sweep type, by choosing the desired sweep type (decade, linear, or octave) from the
Sweep type drop-down list. The sweep type defines how the points to be calculated are

distributed across the frequency range. the number of points to be calculated during the analysis, by entering a value in the Number of points per decade field. Note The greater the number of points calculated, the more accurate the results will be, however, the simulation speed will be adversely affected. the format of the analysis results by choosing the desired scale (linear, logarithmic, decimal, or octave) from the Vertical scale drop-down list. Note Click Reset to Default to reset all parameters on the Frequency Parameters tab to their default values.

Analyses

8.9
8.9.1

Distortion Analysis
About the Distortion Analysis
Signal distortions are usually the result of gain nonlinearity or phase nonuniformity in a circuit. Nonlinear gain causes harmonic distortion, while nonuniform phase causes intermodulation distortion. Distortion analysis is useful for investigating small amounts of distortion that are normally unresolvable in transient analysis. Multisim simulates harmonic distortion and intermodulation distortion products for analog small-signal circuits. If the circuit has one AC frequency, the analysis determines the complex values of the second and third harmonics at every point in the circuit. If the circuit has two AC frequencies, the analysis finds the complex values of the circuit variables at three different frequencies: at the sum of the frequencies, at the difference of the frequencies, and at the difference between the lowest and highest frequencies of the second harmonic. The analysis carries out a small-signal distortion analysis of the circuit. A multi-dimensional Volterra analysis is carried out using a multi-dimensional Taylor series to represent the nonlinearities at the operating point. The series expansion uses terms of up to the third order. Assumptions Analog circuit, small-signal. Non-conforming parts are ignored. Distortion models for SPICE components are used.

Multisim User Guide

8-23

Analyses

8.9.2

Setting Distortion Analysis Parameters


Before you perform the analysis, review your circuit and decide on one or two sources and one or more nodes for analysis. You can also change the magnitude and phase of sources for distortion analysis through the placed components parameters, as described in 3.9.3 Controlling How a Placed Component is Used in Analyses on page 3-20.

Analyses

Distortion Analysis Parameters are set in the following screen:


Enter the start frequency for the sweep. Enter the stop frequency for the sweep. Select a sweep type: decade, linear, or octave. Enter number of points. For linear, number of frequency points between start and end. Select a vertical scale: logarithmic, linear, decibel, or octave. When enabled, if there are signals of two frequencies (F1 and F2), then F2 is set to this ratio multiplied by the start frequency while F1 sweeps. Must be greater than 0.0 and less than 1.0.

If the F2/F1 ratio is disabled, the analysis calculates harmonic distortion of one frequency which is swept according to the screen entries. If F2/F1 ratio is enabled, a spectral analysis is performed. Each independent source in the circuit may potentially have two (superimposed) sinusoidal inputs for distortion at frequencies F1 and F2. If the F2/F1 ratio is disabled, the analysis produces a graph of the second and third harmonics, displaying them on a Distortion tab in the Grapher. If the F2/F1 ratio is enabled, the analysis produces a graph of the selected voltage or branch current at the intermodulation frequencies, F1 + F2, F1 - F2, 2*F1 - F2, versus the swept frequency, F1. These graphs appear in the IM Distortion tab of the Grapher. (For more information on the Grapher, see 8.23 Viewing the Analysis Results: Grapher on page 8-54.

8-24

Electronics Workbench

DC Sweep Analysis

Setting Distortion Analysis Parameters for Normal Use


The default settings on the Analysis Parameters tab are appropriate for most cases. You need only define the frequency range by typing a value in the Start Frequency field and in the Stop Frequency field. Note Click Reset to main AC values to set the Analysis Parameters to the values defined for the AC frequency analysis. Analyses

Setting Distortion Analysis Parameters for Advanced Use


Using the Analysis Parameters tab, you can set: the sweep type, by choosing the desired sweep type (decade, linear, or octave) from the
Sweep type drop-down list. The sweep type defines how the points to be calculated are

distributed across the frequency range. the number of points to be calculated during the analysis, by entering a value in the Number of points per decade field. Note The greater the number of points calculated, the more accurate the results will be; however, the simulation speed will be adversely affected.

the type of vertical scale, by choosing the desired scale (linear, logarithmic, decimal, or octave) from the Vertical scale drop-down list. When you enable F2/F1 ratio, circuit variables are calculated at (F1+F2) , F1-F2, and (2F1)-F2. ! To set the F2/F1 ratio for multiple AC source circuits: 1. Enable F2/F1 ratio. 2. Enter a value in the appropriate box. The value must be greater than zero and less than one. Note F1 is swept according to the values specified as the start and stop frequencies for the analysis. F2 is kept at a single frequency as F1 sweeps. The value of F2 is determined by multiplying the F2/F1 ratio by the start frequency (FSTART) specified. Note Click Reset to Default to reset all parameters on the Analysis Parameters tab to their default values.

8.10

DC Sweep Analysis
The DC sweep analysis computes the DC operating point of a node in the circuit for various values of one or two DC sources in the circuit. Using a DC sweep analysis, you can quickly verify the DC operating point of your circuit by simulating it across a range of values for one or two DC voltage or current sources. The effect

Multisim User Guide

8-25

Analyses

is the same as simulating the circuit several times, once for each different value or pair of values. You control the source values by choosing start, stop and increment values in the Analysis Parameters tab of the DC Sweep screen. Assumptions Digital components are treated as large resistances to ground.

Analyses

8.10.1 Setting DC Sweep Analysis Parameters


Before setting analysis parameters, review your circuit and decide on one or two DC sources to sweep, and a node for analysis. DC sweep analysis plots the appropriate curves sequentially. If only one source is being swept, a curve of the output node value versus source value is traced. If two sources are swept, the number of curves equals the number of points for the second source. Each curve represents the output node value versus the first source value while the second source value is held at each of its sweep values. DC Sweep Analysis parameters are set on the following screen:
Choose the source for the sweep. Click to filter the items in the Source list.

The values at which the analysis will stop and start The values by which each sweep will increase As above, but for second source

8-26

Electronics Workbench

DC and AC Sensitivity Analyses

Setting DC Sweep Analysis Parameters for Normal Use


For normal use, you only need to set: the source for the sweep, by choosing from the Source drop-down list under the Source 1 options a starting value for the sweep, by entering it in the Start Value field a stop value for the sweep, by entering it in the Stop Value field an increment value for the sweep, by entering it in the Increment field. The analysis will calculate the circuits bias point values beginning with the specified start value. The Increment value will then be added to the start value and the circuit variables will be recalculated. The Increment value is added again and the process continues until the stop value is reached.

Analyses

Setting DC Sweep Analysis Parameters for Advanced Use


You can filter the variables displayed to include internal nodes (such as nodes inside a BJT model or inside a SPICE subcircuit), open pins, as well as output variables from any sub-modules contained in the circuit. ! To filter the variables displayed: 1. Click Change Filter. The Filter Nodes screen appears. 2. Enable one or more settings. 3. Click OK.

8.11

DC and AC Sensitivity Analyses

8.11.1 About the Sensitivity Analyses


Sensitivity analyses help to identify the components which affect a circuit's DC bias point the most. This will focus efforts on reducing the sensitivity of the circuit to component variations and/or drift, or it may provide evidence that a design is too conservative and that less expensive components, with more variation and/or drift, may be used. Sensitivity analyses calculate the sensitivity of an output node voltage or current with respect to the parameters of all components (DC sensitivity) or one component (AC sensitivity) in your circuit. Sensitivity analyses produce the relevant parameters with their original values and their sensitivities. Sensitivity is expressed as the change in output per unit change of input both in values and percentages.

Multisim User Guide

8-27

Analyses

Both analyses calculate the change produced in an output voltage or current by perturbing each parameter independently. The results of the DC sensitivity analysis are sent to a table, whereas the AC sensitivity analysis plots the AC graphs for each parameter of the component. For the DC sensitivity analysis, a DC analysis is first performed to determine the DC operating point of the circuit. Then the sensitivity of each output for all of the device values (as well as model parameters) is calculated. Analyses Assumptions Applied to an analog circuit, small-signal. Models are linearized.

8.11.2 Sensitivity Analyses Example


Consider the following example. Note If the flat line overlapping X-axis gets displayed, this means that the output voltage/ current is not affected by the chosen component value. The DC sensitivity analysis generated a report (shown below) of the output voltage at node 12 sensitivity with respect to all components and their parameters. (Alternatively, you can choose to run a DC sensitivity analysis of the current source.) What does the DC report mean? In the first line of the report, the change (increase) of one unit of flicker noise (AF) of the Zener diode (D10) will cause the decrease of the output voltage by 1.583e-012 V. Note that the sensitivity number is negative: -1.582e-012. This indicates that the increase of one unit of a device parameter will cause the decrease of voltage. Each line is to be similarly interpreted.
Component D10:af D10:bv D10:eg D10:fc D10:ibv D10:is D10:m D10:n D10:rs D10:tnom Sensitivity (V/unit) -1.582e-012 0.00046414 -1.4252e-012 -3.1639e-012 -0.0002668 -38.487 -4.7507e-012 -1.582e-012 2.3913e-006 -6.5352e-014

8-28

Electronics Workbench

DC and AC Sensitivity Analyses

Component D10:vj D10:xti D10_area D10_temp D11:af D11:bv D11:eg D11:fc D11:ibv D11:is D11:m

Sensitivity (V/unit) -2.1093e-012 -5.2732e-013 -2.2575e-005 -8.6695e-008 -3.9034e-013 1.4958 -3.5165e-013 -7.8067e-013 -1.5478 954.04 -1.1722e-012.....

Analyses

The AC small signal sensitivity is calculated if AC analysis is selected. For AC sensitivity only, the analysis measures the sensitivity of the voltage or current with respect to the parameters of the chosen component. In the example, the chosen component is BJT transistor Q18. The analysis calculates the AC sensitivity of the output voltage at node 12 with respect to all parameters of Q18 transistor. Note The AC sensitivity analysis does not generate any data (fails) if resistors are chosen as components. The transistors seem to be very unpredictable as well.

Multisim User Guide

8-29

Analyses

8.11.3 Setting Sensitivity Analysis Parameters


Before you perform the analysis, review your circuit and decide on an output voltage or current. For an output voltage, choose nodes on either side of the circuit output. For an output current, choose a source. Analyses Sensitivity Analysis Parameters are set in the following screen:

For Voltage only, the point in the circuit for which you want to see results.

For Voltage only, the reference voltage.

Click Edit Analysis to edit the Analysis Parameters.

Setting Sensitivity Analysis Parameters for Normal Use


For normal use, you only need to specify: the output node or source current to use during the analysis, by enabling Voltage and choosing an output node from the Output node drop-down list or by enabling Current and choosing a source current from the Output reference drop-down list. the type of sensitivity analysis to run by enabling either DC Sensitivity or AC Sensitivity.

Setting Sensitivity Analysis Parameters for Advanced Use


From the Output scaling drop-down list, you can select the type of output scaling: absolute or relative. You can also click Change filter to access the Filter Node screen. Using this screen, you can include internal nodes, open pins, as well as output variables from any submodules in the circuit.

8-30

Electronics Workbench

Parameter Sweep Analysis

When performing an AC sensitivity analysis, you can also edit the AC frequency Analysis Parameters by clicking Edit Analysis. The Frequency Parameters tab appears. You can then set the sweep type, the number of points, and the vertical scale.

8.12

Parameter Sweep Analysis

Analyses

8.12.1 About the Parameter Sweep Analysis


Using parameter sweep analysis, you can quickly verify the operation of your circuit by simulating it across a range of values for a component parameter. The effect is the same as simulating the circuit several times, once for each different value. You control the parameter values by choosing a start value, an end, the sweep type, and an increment value. You may perform three types of sweeps: DC Operating Point, Transient Analysis, and AC Frequency Analysis. Assumptions See the assumptions for the selected analysis: DC operating point analysis described in 8.4 DC Operating Point Analysis on page 8-9, transient analysis described in 8.6 Transient Analysis on page 8-13, or AC frequency analysis described in 8.4 DC Operating Point Analysis on page 8-9. You will find that some components have more parameters that can be varied than other components. The number of varied parameters depends on the model of the component. That is, active components such as op-amps, transistors, diodes and others have more parameters available to perform a sweep than passive components such as resistors, inductors and capacitors. For example, an inductor has only inductance available as a parameter for analysis, whereas a diode model contains a set of approximately 25 parameters such as Saturation current, Ohmic resistance, Junction potential, Break Down voltage and others available for analysis.

8.12.2 Setting Parameter Sweep Analysis Parameters


The behavior of a circuit is affected when certain parameters in specific components change. Before you perform the analysis, review your circuit and decide on a component and parameter to sweep, and a node for analysis.

Multisim User Guide

8-31

Analyses

Parameter Sweep Analysis Parameters are set in the following screen:

Analyses

Choose sweep parameter: Device Parameter, Model Parameter, Temperature. Dictates how Multisim calculates the interval between the stop and start values. Choose from Decade, Octave, Linear, or List. For List sweep only. A list of values to sweep over. Items in the list must be separated by spaces, commas or semicolons. If not enabled, each trace appears on a separate plot. Choose DC Operating Point, AC Analysis, Transient Analysis, Nested Sweep.

Choose the type of device to sweep: BJT, Capacitor or Inductor.* Enter the reference id of the component to sweep.* Choose the device parameter of the component to be sweeped.* Click to edit parameters of the chosen analysis.

*Not applicable to Temperature sweeps Parameter sweep analysis plots the appropriate curves sequentially. The number of curves is dependent on the type of sweep as shown below:
Type of Sweep Linear Curves The number of curves is equal to the difference between the start and end values divided by the increment step size. The number of curves is equal to the number of times the start value can be multiplied by ten before reaching the end value. The number of curves is equal to the number of times the start value can be doubled before reaching the end value.

Decade

Octave

8-32

Electronics Workbench

Parameter Sweep Analysis

Setting Parameter Sweep Analysis Parameters for Normal Use


For normal use, you only need to: select a sweep parameter by choosing a parameter type (Device or Model) from the
Sweep Parameter drop-down list, then entering information in the Device Type, Name, and Parameter fields

Analyses

Note A brief description of the parameter appears in the Description field and the present value of the parameter is displayed in the Present Value field. set the sweep variation type by choosing a type of distribution (linear, decade, or octave) from the Sweep Variation Type drop-down list. select the analysis to sweep by choosing from the Analysis to sweep drop-down list. Optionally, you can set the analysis parameters by clicking Edit Analysis. Under the Analysis Parameters screen that appears: enter a start and stop value in the Start and End fields enter the number of points in the Number of time points field. The increment value will be calculated and automatically set. Note If the analysis is unedited, the last values set for the analysis will be used. If the analysis has not been run previously, the default values will apply. if want to sweep other than the list, type the desired parameter values, separated by a space, in the Values field

Setting Parameter Sweep Analysis Parameters for Advanced Use


You can use the Analysis Parameters screen to select different sweep variation types while setting Analysis Parameters. You can also perform nested sweeps, combining various levels of device/model parameter sweeps. ! To set the sweep variation type and specify the range and number of points to sweep: 1. Choose the type of distribution (linear, decade, or octave) from the Sweep Variation Type drop-down list. 2. Click Edit Analysis. A new Analysis Parameters screen appears. 3. Enter a start value for the sweep in the Start Time field. 4. Enter a stop value for the sweep in the Stop Time field. 5. Enter the number of points in the Time points field. The increment value will be calculated and automatically set.

Multisim User Guide

8-33

Analyses

8.13

Temperature Sweep Analysis

8.13.1 About the Temperature Sweep Analysis


Using temperature sweep analysis, you can quickly verify the operation of your circuit by simulating it at different temperatures. The effect is the same as simulating the circuit several times, once for each different temperature. You control the temperature values by choosing start, stop and increment values. You may perform three types of sweeps: DC Operating Point, Transient Analysis, and AC Frequency Analysis. Assumptions See the assumptions for the selected analysis: DC operating point analysis described in 8.4 DC Operating Point Analysis on page 8-9, transient analysis described in 8.6 Transient Analysis on page 8-13, or AC frequency analysis described in 8.4 DC Operating Point Analysis on page 8-9. Temperature sweep analysis affects only components whose model includes temperature dependency, such as: Virtual Resistor 3 - Terminal Depletion N-MOSFET 3 - Terminal Depletion P- MOSFET 3 - Terminal Enhancement N- MOSFET 3 - Terminal Enhancement P- MOSFET 4 - Terminal Depletion N- MOSFET 4 - Terminal Depletion P- MOSFET 4 - Terminal Enhancement N- MOSFET 4 - Terminal Enhancement P- MOSFET Diode LED N-Channel JFET NPN Transistor P-Channel JFET PNP Transistor Analyses 8-34

Electronics Workbench

Temperature Sweep Analysis

8.13.2 Setting Temperature Sweep Analysis Parameters


Before you perform the analysis, review your circuit and decide on a node for analysis. The Analysis Parameters are the same as for the parameter sweep. For details, see 8.12.2 Setting Parameter Sweep Analysis Parameters on page 8-31. Temperature sweep analysis plots the appropriate curves sequentially. The number of curves is dependent on the type of sweep, as shown below.
Type of Sweep Linear Curves The number of curves is equal to the difference between the start and end values divided by the increment step size. The number of curves is equal to the number of times the start value can be multiplied by ten before reaching the end value. The number of curves is equal to the number of times the start value can be doubled before reaching the end value.

Analyses

Decade

Octave

Setting Temperature Sweep Analysis Parameters for Normal Use


You can use the Analysis Parameters tab to define the temperature values to be swept, and the type of analysis to be run at the various swept temperatures. You can also edit the analysis. The Sweep Parameter field is set to Temperature by default and the default setting for the Sweep Variation Type is List. You need only enter the desired list of temperatures to sweep, and the type of analysis to be performed. ! To specify the list of temperatures and the analysis: 1. Enter the list of temperatures (separated by a space) in the Values field. 2. Choose the analysis to be performed by choosing from the Analysis to sweep drop-down list. 3. Click Edit Analysis to specify the Analysis Parameters. Note If the analysis is unedited, the last values set for the analysis will be used. If the analysis has not been run previously, the default values will apply.

Setting Temperature Sweep Analysis Parameters for Advanced Use


You can select different sweep variation types while setting Analysis Parameters. You can also perform nested sweeps, combining a device/model parameter sweep with a temperature sweep. This allows you to sweep a device parameter, such as capacitance, at a range of temperature values.

Multisim User Guide

8-35

Analyses ! To select a sweep parameter: 1. Choose a parameter type (Device or Model) from the Sweep Parameter drop-down list. 2. Enter values in the Device Type, Name, and Parameter fields. Note A brief description of the parameter appears in the Description field and the present value of the parameter is displayed in the Present Value field. Analyses ! To set the sweep variation type and specify the range and number of points to sweep: 1. Choose the type of distribution (linear, decade, or octave) from the Sweep Variation Type drop-down list. 2. Click Edit Analysis. A new Analysis Parameters screen appears. 3. Enter a start value for the sweep in the Start field. 4. Enter a stop value for the sweep in the End field. 5. Enter the number of points in the Number of points field. The increment value will be calculated and automatically set. ! Choose the analysis to sweep from the Analysis to sweep drop-down list.

8.14

Transfer Function Analysis

8.14.1 About the Transfer Function Analysis


Transfer function analysis calculates the DC small-signal transfer function between an input source and two output nodes (for voltage) or an output variable (for current) in a circuit. It also calculates input and output resistances. Any nonlinear models are first linearized based on the DC operating point and then small-signal analysis is performed. The output variable can be any node voltage, while the input must be an independent source defined somewhere in the circuit. Assumptions Analog circuit, linear models. Models are linearized. The DC small signal gain is the derivative of the output with respect to the input at the DC bias-point (and zero frequency). For example:

dVOUT dVIN
The input and output resistance of a circuit refers to the dynamic or small-signal resistance at the input or output. Mathematically, small-signal DC resistance is the derivative or the

8-36

Electronics Workbench

Transfer Function Analysis

input voltage with respect to the input current at the DC bias-point (and zero frequency). The following is an expression for input resistance:

dV IN dI IN
Analyses In Multisim, the results of the Transfer function analysis produce a chart showing the ratio of the output to the input signal, the input resistance at the input source node and the output resistance across the output voltage nodes.

8.14.2 Setting Transfer Function Analysis Parameters


Before you perform the analysis, review your circuit and decide on an output node, a reference node and an input source. Transfer Function Analysis Parameters are set in the following screen:

Choose a voltage or current source. For Voltage only, point in circuit for which you want to see results

For Voltage only, reference voltage

Transfer function analysis produces a chart showing the transfer function (output/input), input resistance at the input source and output resistance across the output voltage nodes or at the output variable.

Multisim User Guide

8-37

Analyses

Setting Transfer Function Analysis Parameters for Normal Use


For normal use, you only need to: Analyses choose an input source from the Input source drop-down list enable Voltage and select an output node from the Output node drop-down list and an output reference node (usually ground or node 0) from the Output reference drop-down list enable Current and select a source current from the Output source drop-down list For more advanced use, a source current as well as output node voltage can be used for this analysis.

Setting Transfer Function Analysis Parameters for Advanced Use


You can filter the variables displayed to include internal nodes (such as nodes inside a BJT model or inside a SPICE subcircuits), open pins, as well as output variables from any submodules contained in the circuit. Filtering the variables shortens the list of results. ! To filter the variables displayed: 1. Click the Change Filter button. The Filter Nodes screen appears.

2. Enable one or more settings. 3. Click OK.

8.15

Worst Case Analysis

8.15.1 About the Worst Case Analysis


Worst case analysis is a statistical analysis that lets you explore the worst possible effects of variations in component parameters on the performance of a circuit. The first simulation is performed with nominal values. Then, a sensitivity run (AC or DC) is performed. This allows the simulator to calculate the sensitivity of the output waveform (voltage or current) with respect to each parameter. Expressing a specific components sensitivity as a negative number yields the components minimum value for the worst case analysis. For

8-38

Electronics Workbench

Worst Case Analysis

example, if the sensitivity of resistor R1 is -1.23V/Ohm, then the minimum sensitivity value of this component is calculated with the following formula:

R1 min = ( 1 Tol ) R1 nom


where Analyses
R1 min =
Tol = minimum value of the R1 resistor tolerance specified in the dialog box divided by 100% nominal value of the resistor R1

R1 nom =

Expressing a specific components sensitivity as a positive number yields the components maximum value for the worst case analysis. The maximum value is calculated with the following formula:

R2 max = ( 1 + Tol ) R2nom .


Once all the sensitivities have been obtained, a final run provides the worst case analysis result. Data from the worst case simulation is gathered by collating functions. A collating function acts as a highly selective filter by allowing only one datum to be collected per run. The six collating functions are:
This collating function... Maximum voltage Minimum voltage Frequency at maximum Frequency at minimum Rising edge frequency Captures... the values of the Y-axis maxima. the values of the Y-axis minima. the X value where the Y-axis maxima occurred. the X value where the Y-axis minima occurred. the X value the first time the Y value rises above the user-specified threshold. the X value the first time the Y value falls below the user-specified threshold.

Falling edge frequency

Assumptions Applied to an analog circuit, DC and small-signal. Models are linearized.

Multisim User Guide

8-39

Analyses

Setting Worst Case Analysis Tolerance Parameters


Before you perform the analysis, review your circuit and decide on an output node. In the Model tolerance list tab, choose which tolerance parameters are to be used. You can do this using any of the following methods: Analyses ! To edit a tolerance in the list, select it and click Edit selected tolerance. The tolerances current variable settings appear. Modify the variables as desired and click OK to save. ! To delete a tolerance from the list, select it and click Delete tolerance entry. ! To manually add a tolerance, click Add tolerance. The Tolerance screen appears:
Choose type of sweep to be performed: Model Parameter or Device Parameter. When selected, the current value and a description of the parameter appear. Choose the type of distribution: Gaussian or Uniform. Choose Unique (each random number generation is distinct), or a numbered lot (the same random number generation for various parameters). Choose Absolute to enter a value, or Percent to vary the parameter by the specified percentage of its stated value. Enter a percentage value, or a set value depending on the tolerance type selected.

Enter the desired variables in the appropriate fields.

8-40

Electronics Workbench

Worst Case Analysis

8.15.2 Setting Worst Case Analysis Parameters


Worst Case Analysis Parameters are set in the following screen:
Choose DC Operating Point or AC Analysis. For details on either parameter, see 8.4 DC Operating Point Analysis on page 8-9. Click to edit selected parameter. Choose an output variable. Click to change the filter that affects the list of possible output variables. Choose a function: MAX, MIN, RISE_EDGE, FALL_EDGE. Choose a direction: Default, Low or High. Enable to restrict the x-axis range of the analysis being run. Set X low (default is 0) and X high (default is 1). Click to group all traces on a single plot. From RISE_EDGE and FALL_EDGE functions only: enter a value for the threshold voltage.

Analyses

For DC circuits, the worst case analysis generates a plot of the circuits possible output voltages ranging from the nominal specification value (1 on the x-axis) to the worst case value (2 on the x-axis). A list of the components and their worst case values appears in tabular form. For AC circuits, the worst case analysis generates separate plots for the nominal and worst case runs. A list of the components and their worst case values appears in tabular form.

Multisim User Guide

8-41

Analyses

8.16

Pole Zero Analysis

8.16.1 About the Pole Zero Analysis


Pole zero analysis finds the poles and zeros in the small-signal AC transfer function of a circuit. The analysis begins by calculating the DC operating point and determining the linearized small-signal models for all nonlinear devices. From the resulting circuit, the analysis finds the poles and zeros of the transfer function. Pole zero analysis is useful in determining the stability of electronic circuits. When designing circuits, it is important to know whether the output signal remains bounded or increases indefinitely following the application of an input signal. An unbounded output could damage or destroy the circuit; therefore, it is important to know if the circuit can accommodate the expected output before applying the input signal. A circuit is said to have bounded inputbounded output (BIBO) stability if any bounded input results in bounded output. BIBO stability can be determined by examining the poles of the transfer function of the circuit. Your circuit should have poles with negative real parts; otherwise, it could have an unintentionally large and potentially damaging response to certain frequencies. Transfer functions are a convenient way of expressing the behavior of analog circuits in the frequency domain. A transfer function is ratio of the LaPlace Transform of the output signal to the LaPlace Transform of the input signal in a circuit. The LaPlace Transform of the output signal is commonly referred to as referred to as Analyses

V o ( s ) and the LaPlace Transform of the input signal is

V I ( s ) where the parameter s = j , or more commonly s = j2f .

A transfer function is in general a complex quantity the magnitude of which gives the magnitude response (or transmission) and the angle of which gives the phase response. One way of expressing the transfer function is the following:

VO ( s ) K ( s + z 1 ) ( s + z 2 ) ( s + z 3 ) ( s + z 4 ) T ( s ) = ------------- = -----------------------------------------------------------------------------------( s + p 1 ) ( s + p 2 ) ( s + p 3 ) ( s + p 4 ) VI( s )


The numerator of the function contains the zeroes of the function ( z 1, and the denominator contains the poles of the function ( p 1,

z 2, z 3, z 4, )

p 2, p 3, p 4, ).

The zeroes of the function are those frequencies at which the transmission will be zero. The poles of the function are the natural modes of the network, and define natural frequencies. Both poles and zeros can contain either real, complex, or purely imaginary numbers.

8-42

Electronics Workbench

Pole Zero Analysis

8.16.1.1 About Circuit Stability


As stated earlier, the stability of the circuit can be determined by examining the transfer function of the circuit. Since the transfer function is a representation of the circuit in the frequency domain, the location of the poles and zeros will be referred to the Complex plane. The complex plane is the set of axes in which the horizontal is defined as the Real Axis(Re) and the vertical is the Imaginary Axis(jw). When all the poles of the circuit have negative real parts, the poles are located on the left hand side of the complex plane. In this situation the circuit is stable, that is, it does not generate signals on its own. The following diagram illustrates the behavior of a stable circuit:

Analyses

If there are poles present on the right hand side of the complex plane, then the circuit will generate a signal of its own and, therefore, be considered unstable. The following diagram illustrates the behavior of an unstable circuit:

Multisim User Guide

8-43

Analyses

As stated earlier, for absolute stability there can be no poles with positive real parts, since these may cause the output signal to become unbounded. Using the poles and zeros of the transfer functions of the circuit, you can get a graphical representation of the behavior of the circuit in the frequency domain. You can obtain the approximate plots of magnitude and phase of the transfer function using Bode plots. Analyses

8.16.1.2 About the Bode Phase Plot


To obtain the Bode plot for the magnitude of transfer function, the asymptotic plot for each pole and zero is first drawn. The slope of the high-frequency asymptote of the curve corresponding to a zero is +20dB/decade, and that for a pole is -20dB/decade. Then the plots are added together, and the overall curve is shifted vertically by an amount determined by the multiplicative constant of the transfer function (in this case K). The Bode phase plot is done using the same concept; however, there are some differences. The asymptotic plots consists of three lines. The first line is a horizontal one at a level of zero up to s=0.1|p1|, the second line has a slope of -45/decade and extends from s=0.1|p1| to s=10|p1|, and the third line has a slope of zero at a level of -90. The complete phase response can be found by adding the plots of the poles and zeros. The frequency response of the low pass filter is an example of the above discussion. The circuit is defined by the following transfer function:

T ( s) =

a0 40 = s + 0 s + 1592.4

where the natural frequency (expressed in radians) is

0 =
and the dc gain

1 . = 159 KHz 2 (5F )( 20 ) 20 = 40 0.5

a0 =

As can be seen from the cursors, the break frequency in Hertz is 1.59KHz. This is the point at which the slope of the magnitude plot is -20dB/decade due to the pole present at this frequency. The phase plot displays a slope of -45/decade between 159 Hz and 159KHz. In the case of higher order circuits, for example, the transfer functions contain multiple poles or zeros at certain frequencies. The order of the pole or zero, n, is determined by the number of times this pole is present at a certain frequency. In this case, when plotting the Bode magni-

8-44

Electronics Workbench

Pole Zero Analysis

tude plot each higher order pole has an asymptote of -20 ndB/decade and each higher order zero has an asymptote of +20 ndB/decade. Assumptions Applied to an analog circuit, small-signal. Digital pins are treated as large resistances to ground. Analyses

8.16.2 Setting Pole Zero Analysis Parameters


Before you perform the analysis, review your circuit and decide on input and output nodes (positive and negative). The input nodes are the positive and negative points in the circuit which are the transfer function inputs. Likewise, the output nodes are the positive and negative points in the circuit which are the transfer function outputs. You can use 0 (ground) for both positive nodes or both negative nodes. Pole Zero Analysis Parameters are set in this screen:
Choose an analysis type: Gain Analysis (output voltage/input voltage), Impedance Analysis (output voltage/input current), Input Impedance (voltage/current as seen from the input terminals), Output Impedance (voltage/current as seen from the output terminals). Choose input nodes on opposite sides of the input. Choose output nodes on opposite sides of the output. Choose analyses to be performed: Pole Analysis (finds poles of transfer function), Zero Analysis (finds zeros of transfer function), Pole and Zero Analysis (finds both).

Pole zero analysis produces the real and imaginary coordinates of the poles and/or zeros, depending on which analyses are enabled. The Pole Zero analysis provides precise results on circuits containing passive devices (resistors, capacitors and inductors). Circuits containing active devices (transistor or opamps) will not always display the expected results.

Multisim User Guide

8-45

Analyses

Note The SPICE algorithm used in the pole zero analysis may occasionally result in an error message such as Pole zero iteration limit reached, giving up after 200 iterations. Note that the analysis may still have found all the poles and zeros even if you receive this message.

Setting Pole Zero Analysis Parameters for Normal Use


Analyses For normal use, you only need to: select the analysis type by enabling the desired type select an input node from the Input (+) drop-down list and the Input (-) drop-down list select an output node from the Output (+) drop-down list and the Output (-) drop-down list select the analysis to be performed, by choosing from the Analysis performed list

Setting Pole Zero Analysis Parameters for Advanced Use


For more advanced use, you can filter the variables displayed to include internal nodes (such as nodes inside a BJT model or inside a SPICE subcircuits), open pins, as well as output variables from any submodules contained in the circuit. ! To filter the variables displayed: 1. Click Change Filter. The Filter Nodes screen appears.

2. Enable one or more settings. 3. Click OK.

8-46

Electronics Workbench

Monte Carlo Analysis

8.17

Monte Carlo Analysis

8.17.1 About the Monte Carlo Analysis


Analyses Monte Carlo analysis is a statistical technique that lets you explore how changing component properties affects circuit performance. Multiple simulations are performed and, for each simulation, the component parameters are randomly varied according to the distribution type and parameter tolerances that you set in the screen. The first simulation is always performed with nominal values. For the rest of the simulations, a delta value is randomly added to or subtracted from the nominal value. This delta value can be any number within the standard deviation. The probability of adding a particular delta value depends on the probability distribution. Two probability distributions are available: Uniform is a linear distribution that generates delta values uniformly within the tolerance range. Any value in the tolerance range is equally likely to be chosen. Gaussian distribution is generated with the following probability function:
1 u x

p( x ) =
where

1 2 2 e 2

u = the nominal parameter value s x = the standard deviation value = the independent variable

The standard deviation, s, is calculated from the parameter tolerance according to:

tolerance percentage nominal value 100

The percentage of the population included in the tolerance band is determined by a components nominal parameter value plus or minus times the number of standard deviations, SD, in the tolerance band. SD is related to the percentage of population included as shown:
SD 1.0 1.96 Percentage of Population Included 68.0 95.0

Multisim User Guide

8-47

Analyses

2.0 2.58 3.0 3.29

95.5 99.0 99.7 99.9

Analyses

For example, if you set the tolerance percentage to 5%, then, for a 1 k resistor in your circuit, is 50 . One standard deviation leads to a tolerance band of 0.95 k to 1.05 k (1 k +/- 50 ), and 68.0% of the population is included. At 1.96 standard deviations, the tolerance band is 0.902 k to 1.098 k (1 k +/- 98 ), and 95.0% of the population is included. Note that the tolerance percentage is applied globally to all components. Assumptions See the assumptions for the selected analysis: DC operating point analysis described in 8.4 DC Operating Point Analysis on page 8-9, transient analysis described in 8.6 Transient Analysis on page 8-13, or AC frequency analysis described in 8.4 DC Operating Point Analysis on page 8-9.

Setting Monte Carlo Analysis Tolerance Parameters


Before you perform the analysis, review your circuit and decide on an output node. In the Model tolerance list tab, choose which tolerance parameters are to be used. You can do this using any of the following methods: ! To edit a tolerance in the list, select it and click Edit select tolerance. The tolerances current variable settings appear. Modify the variables as desired and click OK to save. ! To delete a tolerance form the list, select it and click Delete tolerance entry. ! To manually add a tolerance, click Add tolerance.

8-48

Electronics Workbench

Monte Carlo Analysis

The Tolerance screen appears:


Choose type of sweep to be performed: Model Parameter or Device Parameter. When selected, the current value and a description of the parameter appear. Choose the type of distribution: Gaussian or Uniform. Choose Unique (each random number generation is distinct), or a numbered lot (the same random number generation for various parameters). Choose Absolute to enter a value, or Percent to vary the parameter by the specified percentage of its stated value. Enter a percentage value, or a set value depending on the tolerance type selected.

Analyses

Enter the desired variables in the appropriate fields.

Multisim User Guide

8-49

Analyses

8.17.2 Setting Monte Carlo Analysis Parameters


Monte Carlo Analysis parameters are set in the following screen:
Analysis to be swept for: DC Operating Point, Transient Analysis, AC Analysis. To edit the parameters of AC or Transient Analysis, click Edit Analysis. Number of runs must be greater than or equal to 2. Choose output variable. To change the filter that affects the list of possible output variables, click Change Filter and choose the desired filter node. Choose a collating function: MAX, MIN, RISE_EDGE, FALL_EDGE. Option to restrict the x-axis range of the analysis being run. Set X low (default is 0) and X high (default is 1). Enable to have all traces grouped on a single plot. Choose from: All, Every, List, First, and None. If you choose Every, List or First, set the number of runs for which this applies. For RISE_EDGE and FALL_EDGE functions only, enter a value for threshold voltage.

Analyses

Monte Carlo analysis produces the appropriate voltage curves sequentially. The number of curves is equal to the number of runs you specified in the screen.

8.18

RF Analyses
RF analyses (Characterizer, Noise Figure and Matching Networks analyses) are performed through the Network Analyzer instrument and are described in 14.4.2 Network Analyzer on page 14-15.

8-50

Electronics Workbench

Batched Analyses

8.19

Batched Analyses
You can batch together different analyses, or different instances of the same analysis, to be performed in sequence. This provides a convenient way for advanced users to perform multiple analyses from a single, interpreted command. For example, you might use batched analyses to: repeatedly perform the same set of analyses, such as when trying to fine-tune a circuit prepare demonstrations of circuit principles, for educational purposes build a record of the analyses that you performed on the circuit set up a sequence of long analyses to run automatically. ! To set up batched analyses: 1. Choose Analysis/Batched Analyses. The Batched Analyses screen appears:
This is the list of analyses that could be added to the batch. This is the list of analyses to be performed in the batch. To see summary information about an analysis, click the + beside the analysis.

Analyses

2. To add an analysis to the batch, select it and click the Add Analysis button. The parameters screen for the selected analysis, so you can set the parameters for the analysis. However, instead of an Accept button, the screen has an Add to Batch button. 3. When you have finished the settings for the analysis, click Add to Batch. The analysis is added to the Analyses to Perform list on the right. Summary information can be revealed by clicking the + beside the analysis. 4. Continue to add analyses as desired. Note that the settings for one instance of an analysis become the default settings for that analysis during this operation. For example, if you set

Multisim User Guide

8-51

Analyses

your first DC Sweep to an increment of 0.6, the 0.6 increment is the default value when you add your next DC Sweep to the batch. 5. To run just one of the analyses in the batch, select it and click Run Selected Analysis. To run all of them, click Run All Analyses. The Summary tab shows the results of the analyses performed in the session. Analyses ! To edit an analysis parameters in the batch, select it and click Edit Analysis. The selected analysis parameters screen appears, allowing you to make any modifications you wish to the analysis. ! To remove an analysis from the batch, select it and click Delete Analysis. To remove all analyses, click Remove All Analyses.

8.20

User-Defined Analyses
The user-defined analysis presents you with the following screen into which you can type SPICE commands to be executed to perform the analysis.

Enter the list of SPICE commands to execute.

You require a working knowledge of SPICE to use this interface. It provides an advanced, fully customizable way for you to set up your own analyses.

8-52

Electronics Workbench

Noise Figure Analysis

8.21

Noise Figure Analysis


This analysis is part of Multisims RF Design module (standard in the Power Professional version, optional in the Professional version) and is described in Chapter 14, RF. Analyses

8.22

Viewing the Analysis Results: Error Log/ Audit Trail


When you click Simulate to begin simulating the circuit using the chosen analysis or analyses, you may see one or both of the following views of the results: the error log/audit trail, which displays the results in text format (explained here) the Grapher, which displays the results in graphical format (explained in 8.23 Viewing the Analysis Results: Grapher on page 8-54.) If you set the analysis option ACCT on, the error log/audit trail also includes errors or warning messages generated during simulation, and a chart of simulation statistics. For more on analysis options, see 8.29 Analysis Options on page 8-65. ! To have the error log/audit trail appear, from the View menu choose Show/Hide Simulation Error Log/Audit Trail. The error log/audit trail display is useful for diagnosing the analysis and its results. Here is an example display:

Each analysis you perform, either individually or in batch, during this Multisim session, is stored in the audit trail. The file is cleared when you exit Multisim. As with the summary information, you can expand or contract the contents of the display to show or hide details. You can also choose to display all the errors (Full), only the simple errors (Simple), or none of the errors (None) by choosing one of the options on the right of the screen. Regardless of the option you choose, the full set of errors is saved. You can also

Multisim User Guide

8-53

Analyses

save the results of the audit trail in a separate file (click Save and choose a file name and location) or clear them (click New).

8.23
Analyses

Viewing the Analysis Results: Grapher


To have the Grapher appear, from the View menu choose Show/Hide Grapher. The Grapher is a multi-purpose display tool that lets you view, adjust, save, and export graphs and charts. It is used to display: the results of all Multisim analyses in graphs and charts a graph of traces for some instruments (for example, oscilloscope and Bode Plot). The display shows both graphs and charts. In a graph, data are displayed as one or more traces along vertical and horizontal axes. In a chart, text data are displayed in rows and columns. The window is made up of several tabbed pages. Each page has two possible active areas, indicated by the red arrow: the whole page, or the chart/graph displayed on that page. Some functions, such as cut/copy/paste, affect only the active area, so be sure you have selected the desired area before performing a function.

Name of page. Click to display that page.

Chart or graph area of page. Note the red arrow showing that this is the active area.

8-54

Electronics Workbench

Viewing the Analysis Results: Grapher

The window offers a number of buttons on a toolbar (which can be dragged to a new location):

Saves contents of current pages in a graph file. Presents menu of two commands: New Page and Delete All Pages

Shows preview of pages as they will be printed. See 8.28 Print and Print Preview on page 8-64.

Undoes most recent action.

Analyses

Opens previously saved graph file.

Prints some or all of the current pages. See 8.28 Print Cuts, copies and pastes pages or and Print Preview on page graphs/charts. See 8.27 Cut, Copy and Paste on page 8-63. 8-64. Lets you export analysis results to Excel. See 12.4.2 Exporting to Excel on page 12-3.

When the Grapher is on the screen, it remains visible until you do one of the following:
Displays/hides graph legend. See 8.25.1 Grids and Legends on page 8-57. Restores pre-zoom dimensions. See 8.25.3 Zoom and Restore on page 859. Lets you set graph properties. See 8.24 Working with Pages on page 8-56 and 8.25 Working with Graphs on page 8-57. Lets you reapply chart properties.

Applies/removes grid for the selected graph. See 8.25.1 Grids and Legends on page 8-57.

Displays/hides cursors and data. See 8.25.2 Cursors on page 8-58 for details.

Displays/hides Undoes negative chart propimage of erties. graph or chart.

Lets you export analysis results to MathCAD. See 12.4.1 Exporting to MathCAD on page 12-3.

Close the window. or Toggle off Analysis/Display Graphs.

Multisim User Guide

8-55

Analyses

8.24

Working with Pages


Every analysis you perform on a circuit displays its results on a separate page. Every trace may also appear on a separate page, if that is how you have set up your analysis. ! To view a page, click its tab. ! To scroll through pages (when there are too many tabs to fit in the available space), click the forward or reverse arrow buttons that appear at the right edge of the tabs. ! To change page properties: 1. Select a page by clicking its tab. 2. Click the Properties button. The Page Properties screen appears.

Analyses

To change: Name of the tab Title of chart or graph Titles font Background color of page Which diagrams appear on the page

Do this: modify Tab Name field modify Title field click Font button and choose from fonts displayed select from Background Color drop-down list box click Show/Hide Diagrams on Page and select from the list that appears.

3. To apply the change and close the screen, click OK. To apply the change and leave the screen open for additional selections, click Apply.

8-56

Electronics Workbench

Working with Graphs

8.25

Working with Graphs


To help you examine graphical data, you can use a grid, a legend and vertical cursors. You can also zoom in on any part of a graph. You can apply these tools separately or together. In addition, you can change several graph display characteristics from the tabs of the Graph Properties screen. Note To display the Graph Properties screen or to use the buttons described in this section, you must have a graph selected. If the Page Properties screen appears, you have a page selected rather than a graph. Click on a graph to select it. A red arrow appears to the left of the graph to indicate it is selected. Note The Graph Properties screen allows you to click either OK or Apply. Clicking OK applies the change and closes the screen. Clicking Apply applies the change and leaves the screen open for additional selections.

Analyses

8.25.1 Grids and Legends


! To apply a grid to a graph: 1. Select a graph by clicking anywhere on it. 2. Click the Toggle Grid button. To remove the grid, click the button again. or 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. Click the General tab.

Multisim User Guide

8-57

Analyses

3. Enable the Grid On option. If desired, change the grid pen size and color. ! To apply a legend to a graph: 1. Select a graph by clicking anywhere on it. 2. Click the Toggle Legend button. To remove the legend, click the button again. or Analyses 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. 3. Select the General tab. 4. Enable the Legend On option. If desired, change the labels of the traces using the Traces tab. See 8.25.6 Traces on page 8-62 for details.

8.25.2 Cursors
When you activate the cursors, two vertical cursors appear on the selected graph. At the same time, a window pops up, displaying a list of data for one or all traces.

Cursors Cursor data

The cursor data includes:


x1,y1 x2,y2 dx dy 1/dx 1/dy (x,y) co-ordinates for the left cursor (x,y) co-ordinates for the right cursor x-axis delta between the two cursors y-axis delta between the two cursors reciprocal of the x-axis delta reciprocal of the y-axis delta

8-58

Electronics Workbench

Working with Graphs

min x, min y max x, max y

x and y minima within the graph ranges x and y maxima within the graph ranges

! To activate the cursors: 1. Select a graph by clicking anywhere on it. 2. Click the Toggle Cursors button. To remove the cursors, click the button again. or 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. 3. Select the General tab. 4. Enable the Cursors On option. 5. Select Single Trace to view cursor data for one trace or All Traces to view cursor data for all traces. If you select Single Trace and there is more than one trace in your graph, use the Trace field to select the one you want. ! To move a cursor, click and drag it horizontally. Analyses

8.25.3 Zoom and Restore


! To zoom in on any part of a graph: 1. Select a graph by clicking anywhere on it. 2. Click and drag the pointer until the dotted enlargement box covers the region of the graph that you want to zoom in on. .

Enlargement box for zooming

Multisim User Guide

8-59

Analyses

3. Release the mouse button. The axes are scaled and the graph redrawn based on the enlargement box. or 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. Analyses 3. Click an axis tab to zoom along that axis. For example, choose the Bottom Axis tab to zoom along the horizontal dimension. (Check the Traces tab to see which axis is used for the range you want to zoom.)

4. Type a new minimum and maximum. ! To restore a graph to its original scale, click the Restore button.

8.25.4 Title
! To apply a title to a graph: 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. 3. Choose the General tab. 4. Type a new title. To change the titles font, click the Font button.

8-60

Electronics Workbench

Working with Graphs

8.25.5 Axes
You can change several characteristics of a graphs axes from the four axes tabs in the Graph Properties screen. The options are identical in each of the tabs. Analyses

! To change the characteristics of an axis: 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. 3. Click the axis tab for the axis you want to change. 4. Change any of the axis characteristics, using the following fields:.
Field Label Pen Size Use Label for the axis. To change the axis font, click Font. Controls the thickness and color of the axis and the font of the axis values. To change color or font, click Color or Font. Controls the minimum and maximum values displayed. Values change when you zoom. Sets the number of tick marks on axis. Sets the occurrence of values on tick marks. For example, 2 means that a value appears every two tick marks. Sets the number of significant digits for axis values. Sets a multiplication factor for axis values. Changes the scale of the axis.

Minimum/Maximum Number Frequency

Precision Scale

Multisim User Guide

8-61

Analyses

Field Enabled

Use Determines whether or not the axis appears.

Analyses

8.25.6 Traces
You can change several characteristics of each trace in a graph from the Traces tab in the Graph Properties screen.

! To change the characteristics of a trace: 1. Select a graph by clicking anywhere on it. 2. Click the Properties button. The Graph Properties screen appears. 3. Click the Traces tab. 4. Select a trace. 5. Change any of the traces characteristics, using the following fields:
Field Trace Label Pen Size Use Specifies the trace whose properties are being affected. Specifies a label for the trace. Appears in legend. Controls the thickness of the trace.

8-62

Electronics Workbench

Viewing Charts

Field Color Bottom Axis/Top Axis Left Axis/Right Axis X Offset/Y Offset

Use Controls the color of the trace. The Sample box shows a preview. Controls the X range of the trace. Controls the Y range of the trace. Value to offset the trace from its original coordinates.

Analyses

8.26

Viewing Charts
To help you examine and organize a chart, you can sort rows, adjust column widths, change precision and add a title. ! To sort a row of data, click the column name button of the column you want to sort by. Sorting order is from low to high for numbers; otherwise, it is alphabetical. ! To adjust the width of a column, click and drag the left edge of the column name button. ! To change the charts column precision (number of significant digits) or title: 1. Select a chart by clicking anywhere on it. 2. Click the Properties button. The Chart Properties screen appears. 3. To change the chart title, type a new title. To change the font, click the Font button. 4. To change a columns precision, select a column number and a precision (number of significant digits). Precision only affects columns that contain numerical values. 5. Click OK.

8.27

Cut, Copy and Paste


The Grapher window lets you cut, copy and paste pages, graphs and charts. Note You must use the cut, copy and paste buttons from this window. You cannot use the Multisim menus, buttons or keyboard shortcuts for these functions. ! To cut, copy and paste pages: 1. Select a page by clicking on its tab. 2. Click the Cut or Copy button. 3. Click the Paste button. The cut or copied page appears. Note When a page is selected (the red arrow points to the tab), cut, copy and paste affect page properties only. They do not affect the graphs or charts on the page.

Multisim User Guide

8-63

Analyses ! To cut, copy and paste graphs and charts: 1. Select a graph or chart. 2. Click the Cut or Copy button. 3. Click the Paste button to paste the graph or chart onto the same page. or, to paste onto a new page: Analyses 4. Click the New button. 5. Choose New Page. 6. Type a tab name and click OK. 7. Click the Paste button. Note When a graph or chart is selected (the red arrow points to the graph or chart), cut, copy and paste affect the selected graph or chart only. They do not affect overall page properties. ! To open a new page, click the New button and choose New Page. ! To delete all pages, click the New button and choose Delete All Pages. ! To open an existing graph file: 1. Click the Open button. A file browser appears. 2. Select the file you want to open. Graph files have the file extension .gra. 3. Click Open. ! To save a graph file: 1. Click the Save button. A file browser appears. 2. Select a file you want to overwrite or type a new filename. Graph files have the file extension .gra. The file extension is automatically added. 3. Click Save.

8.28

Print and Print Preview


! To view the printed pages before you print: 1. Click the Print Preview button. One or two pages appear in the window. Use Next Page and Prev Page to scroll through the pages. Use One Page/Two Page to toggle between viewing one or two pages at a time. Use Zoom In, Zoom Out to control the zoom on the pages.

8-64

Electronics Workbench

Analysis Options

2. Click the Print button to open the print screen and print the pages. or Click Close to close print preview. ! To print pages: 1. Click the Print button on the toolbar or from the print preview. The print screen appears. 2. If desired, enable Print to file. 3. Choose a print range. 4. Choose the number of copies. 5. Enable Collate if required. 6. Click OK. Printed graphs indicate a key to the line colors or styles (for black and white printers) and label the names of all traces. Note Colored lines are distinguished through different line styles for black and white printers. Analyses

8.29

Analysis Options
Multisim lets you control many aspects of the simulation used within the analyses, such as resetting error tolerances, selecting simulation techniques and viewing the results. Simulation efficiency is also dependent on the options you choose. This section briefly describes the simulation options you have for controlling simulation used within the analyses and lists their default values. You will find these options through the Miscellaneous Options tabs of the various analyses screens, as explained in 8.3.4 The Miscellaneous Options Tab on page 8-6.

Multisim User Guide

8-65

Analyses

Code ACCT

Option Name Print simulation statistics

Description Turns on/off display of statistical data on simulation-related information. Data may be useful for debugging simulationrelated problems. Data appears in the Grapher screen. Resets the minimum conductance used in any circuit branch. Cannot be zero. Increasing this may positively improve the convergence of the solution; however, it will also negatively affect simulation accuracy. Resets the relative error tolerance of the simulation, which is the universal accuracy control. The value can significantly affect the convergence of the solution and the simulation speed. Value must be between 1 and 0. Resets the absolute current error tolerance. Default is suitable for most bipolar transistor VLSI circuits. Resets the absolute voltage error tolerance of the program.

Default Off

Unit -

Recommendation -

Analyses

GMIN

Minimum conductance

1.0e-12

mho

Do not change default.

RELTOL

Relative error tolerance

0.001

Use typical values between 1.0e-06 and 0.01.

ABSTOL

Absolute error tolerance

1.0e-12

Generally, set to 6 to 8

VNTOL

Voltage error tolerance

1.0e-06

Generally, set to 6 to 8 orders of magnitude smaller than the largest voltage signal in the circuit. Use default value

TRTOL

Truncation error overestimation factor Charge error tolerance Minimum acceptable pivot

Resets transient error tolerance. Only used in the local truncation error criterion. Resets the charge tolerance in coulombs. Resets the absolute minimum value for a matrix entry to be accepted as a pivot.

CHGTOL

1.0e-14

Do not change default.

PIVTOL

1.0e-13

Do not change default.

8-66

Electronics Workbench

Analysis Options

PIVREL

Minimum acceptable ratio of pivot

Resets the relative value between the largest column entry in the matrix and an acceptable pivot value. Value must be between 1 and 0. Resets the normal temperature at which model parameters are measured and calculated.

0.001

Do not change default.

TNOM

Nominal temperature

27

Do not change unless you want your circuit to match data book specifications that were extracted at a temperature other than 27C.

Analyses

ITL1

DC iteration limit

Resets the upper bound limit to the number of Newton-Raphson iterations during a DC operating point analysis.

100

If you receive the error message No convergence in DC analysis, increase the ITL1 value to 500 or 1000 and rerun the analysis.

ITL2

DC transfer curve iteration limit Upper transient iteration limit

Resets the DC transfer curve iteration limit.

50

ITL4

Resets the upper bound limit to the number of Newton-Raphson iterations at each transient time point. Increasing the value may slow down transient simulation time. Decreasing the value increases the chance of in-convergence. Resets the value for MOS channel length.

10

If you receive the error message Time step too small or No convergence in transient analysis, increase the ITL4 value to 15 and rerun the analysis.

DEFL

Default MOSFET length

0.0001

Use default value unless you know how to specify a value from a MOS device datasheet. Use default value unless you know how to specify a value from a MOS device datasheet. Use default value unless you know how to specify a value from a MOS device datasheet.

DEFW

Default MOSFET width

Resets the value for MOS channel width

0.0001

DEFAD

Default MOSFET area of drain

Resets the value for MOS drain diffusion area.

m2

Multisim User Guide

8-67

Analyses

DEFAS

Default MOSFET area of source

Resets the value for MOS source diffusion area.

m2

Use default value unless you know how to specify a value from a MOS device datasheet. Do not change default.

BYPASS

Analyses

Allow bypass of unchanging elements

Turns off/on the device bypass scheme for nonlinear model evaluation. Turning off may increase simulation time. Sets the maximum order for integration when GEAR chosen as transient analysis integration method. Must be between 2 and 6. Using a higher order theoretically leads to more accurate results, but slows down simulation. Resets the temperature at which the entire circuit will be simulated. Setting in the Analysis Parameters screen will override.

On

MAXORD

Maximum integration order

Use the default value for most circuit simulation.

TEMP

Operating temperature

27

OLDLIMIT

Use SPICE2 MOSfet limiting Steps in source stepping algorithm Sets the number of steps in the Gmin stepping algorithm. Helps find a solution during a DC operating point analysis. See 7.4.8 Convergence Assistance Algorithms on page 7-9 for more information. Sets the number of steps in the Gmin stepping algorithm. Helps find a solution during the DC operating point analysis. See 7.4.8 Convergence Assistance Algorithms on page 7-9 for more information. If a zero value is specified, the Gmin stepping algorithm is disabled.

ITL6

10

--

GMINSTEPS

Number of Gmin steps

10

MINBREAK

Minimum time between breakpoints

8-68

Electronics Workbench

Analysis Options

NOOPITER

Go directly to Gmin stepping Integration method Selects for transient analysis. Default provides faster simulations with same numerical accuracy, but can produce unintended results.

METHOD

TRAPEZOIDAL

Use GEAR (gear integration method) if unwanted numerical oscillations occur during simulation or if circuit contains ideal switches. Use default if circuit operates in oscillation mode, for example, oscillator circuits. Be aware that Gear integration may overdamp results. -

Analyses

TRYTOCOMPACT

Try compaction for LTRA lines

Applicable only to lossy transmission line component. When option turned on, Multisim tries to reduce data storage and memory usage needed for transient simulation of circuits containing lossy transmission lines.

Off

BADMOS3

Use old mos3 model (discontinuous with respect to kappa) Record operating point for each small-signal analysis Do not do analog/event alternation in DCOP Transient analysis supply ramping time Ramps independent sources, capacitor and inductor initial conditions from zero to their final values during the time period specified. Retains the operating point information whether an AC, Distortion, or Pole-Zero analysis is run.

KEEPOPINFO

Particularly useful if the circuit is large and you do not want to run a redundant ".OP" analysis.

NOOPALTER

RAMPTIME

MAXEVTITER

Maximum event iterations at analysis point

Multisim User Guide

8-69

Analyses

MAXOPALTER

Maximum analog/event alternations in DCOP Enable convergence assistance on code models Absolute step allowed by code model inputs between iterations Fractional step allowed by code model inputs between iterations Use auto-partial computation for all models Shunt resistance from analog nodes to ground Inserts resistance to ground at all analog nodes in the circuit. Reducing value reduces simulation accuracy. Enables/disables a convergence algorithm used in some built-in component models.

CONVLIMIT

ON

Analyses

CONVABSSTEP

Controls automatic convergence assistance by establishing an absolute step size limit in solving for the DC operating point. Controls automatic convergence assistance by establishing a relative step size limit in solving for the DC operating point.

0.1

CONVSTEP

0.25

AUTOPARTIAL

RSHUNT

Disabled (1.0e12 when disabled)

Should be set to some very high resistance, say

1e+12. If you get a No DC path to ground or a Matrix is nearly singular error message, try decreasing RSHUNT to 1e+9 or 1e+6.

Temporary file size for simulation.

Allows you to adjust the file size for storage of simulation results. When the file reaches its maximum size, you are prompted to stop simulation, use remaining disk space and continue, or discard existing data and continue.

10

Mb

If your circuit has many nodes and you want to scroll the oscilloscope back in time to the start of the simulation, you may need to increase the temporary file size.

8-70

Electronics Workbench

Analysis Options

Analyses

Multisim User Guide

8-71

Analyses

Analyses 8-72

Electronics Workbench

C h a p te r 9 Postprocessor
9.1 9.2 9.3 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 Introduction to the Postprocessor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-1 Using the Postprocessor. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.1 Basic Steps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.1.1 Using the Default Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.1.2 Creating Multiple Traces . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9.3.2 Working with Pages, Graphs and Charts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-2 9-2 9-4 9-6 9-7 Postprocessor

9.4 9.5

Postprocessor Variables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8 Available Functions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-8

Multisim User Guide

Postprocessor

Electronics Workbench

Chapter 9 Postprocessor
9.1 About this Chapter
This chapter explains how to use the Postprocessor to mathematically manipulate the results of simulation obtained through analyses in different ways. Several examples are provided at the end of the chapter. To use the Postprocessor, you must have performed at least one analysis on your circuit. This chapter assumes that you are familiar with the analyses offered by Multisim, and the Grapher function that displays analysis results. For details, see Chapter 8, Analyses. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description. Postprocessor

9.2

Introduction to the Postprocessor


The Postprocessor allows you to manipulate the output from analyses performed on a circuit and plot the results on a graph or chart. The plotted results are referred to as traces. Types of mathematical operations that can be performed on analysis results include: arithmetic, trigonometric, exponential, logarithmic, complex, vector, logic, etc. The following examples illustrate possible uses of the Postprocessor: Divide the output curve by the input curve obtained from a transient analysis, and observe the results. Multiply a voltage by a current to observe circuit power. Assess the differences caused by minor changes to your circuit. For example, run an analysis on a circuit, then change one condition of the circuit (such as changing the input voltage of the components value) and run the analysis again. Subtract one set of results from the other to show the effect of the circuit modification.

Multisim User Guide

9-1

Postprocessor

9.3

Using the Postprocessor


The Postprocessor calculates the results of equations and plots these results as traces on graphs and charts. To use the Postprocessor, you build the equations yourself by combining the variables from previous circuit analysis results with mathematical functions. To build equations for the Postprocessor, you must have performed at least one analysis. When you perform an analysis on a circuit, the results appear in the Grapher screen and are stored for use by the Postprocessor. Some analysis results may have been saved only for the Postprocessor. For information on performing analyses, see Chapter 8, Analyses.

9.3.1
Postprocessor

Basic Steps
! To construct an equation from which a trace will be plotted, you select variables (from previous analyses) and mathematical operators, successively moving from the left side of the equation to the right. Follow the steps below: 1. Click the Postprocessor button on the Design Bar. The Postprocessor screen appears.
This is where you will build your Postprocessor equations.

This is the name of the circuit on which analyses have been performed in this session. This is an analysis performed on this circuit.

These are the variables that resulted from the selected analysis.

These are the mathematical functions available for use in your equations.

2. In the Analysis Results list, click the + sign beside the item naming the first analysis whose results you want to work with. Each analysis is identified with a name followed by

9-2

Electronics Workbench

Using the Postprocessor

a code in brackets. That code will be used to identify the variables from that analysis when the trace is plotted. The variables that resulted from that analysis appear in the Analysis Variables list. For more about the syntax of these variables, see 9.4 Postprocessor Variables on page 9-8. To filter the Analysis Variables list to show only certain variables, choose from the dropdown list of options to show: all variables top level variables only (not those in subcircuits) subcircuit variables only open pins variables only device parameters variables only. 3. From the Analysis Variables list, select the variables you want included in the equations being used to define the trace, and click Copy Variable to Trace. The variable appears in the Traces to plot window, prefixed with the code of the analysis from which it is drawn (unless the selected analysis is the default analysis for details on using the default analysis, see 9.3.1.1 Using the Default Analysis on page 9-4).

Postprocessor

Here is the equation you are building, which will be used to plot the trace. Note how the variables are prefixed with the analysis code. In this example, the first variable comes from the analysis disto03 and the second from analysis dc05.

4. From the Available Functions list, select the mathematical function you want included in the equation for this trace, and click Copy Function to Trace. To filter the list to show only certain mathematical functions, choose from the drop-down list of options. For details about the available functions, see 9.5 Available Functions on page 9-8. Note Although it is possible to manually type or modify a traces equation, manual intervention can introduce syntax errors. Wherever possible, use the copy buttons to build your traces.

Multisim User Guide

9-3

Postprocessor

5. Continue to choose analyses, variables and functions until the equation is complete. For example, your equation might look like this:

6. To plot the traces defined by this equation, click Draw. 7. You are prompted for a page name. This is the name of the tabbed page that will display the results in the Grapher. It is also the name given to the Postprocessor page on which the trace will be stored. Depending on the trace, you are prompted for a Grapher graph name, plot name, or both. If prompted for both, and you do not want to create one of them, click Cancel when prompted for that name. Postprocessor The results of the Postprocessor plotting the trace appear in the Grapher screen, on pages with the names you specified (that is, one for a plot, one for a graph), along with the results of the analyses previously performed. Results, including errors, are also recorded in the audit trail. If the audit trail is not already open, you can open it from the View menu. The equation as shown in the Traces to plot window moves down a line, leaving the top line free for a new trace. For more on working with multiple traces, see 9.3.1.2 Creating Multiple Traces on page 9-6.

9.3.1.1 Using the Default Analysis


The equation you build using the Postprocessor contains variables that are prefixed with their analysis code. To simplify the equation and the trace displayed on the graph, you can set one of the analyses to be the default analysis.

9-4

Electronics Workbench

Using the Postprocessor

The Analysis Results list always contains one analysis defined as the default. The default is the analysis that, in the absence of any other indication, the Postprocessor uses for calculations. Variables from the default analysis do not have identifying prefixes in the equation or when the trace is plotted.

The default analysis

Postprocessor

The default analysis is identified on the Postprocessor screen at the bottom of the Analysis
Results list.

! To change the default analysis, select the desired analysis and click Set Default Analysis Results. The equation changes to reflect your choice.

Multisim User Guide

9-5

Postprocessor

9.3.1.2 Creating Multiple Traces


The Postprocessor screen uses the same conventions as the Grapher screen, as shown below:
Page name

Postprocessor

Graph or chart name

! To add another trace to a page: 1. Click on the tab of the page to which you want to add the trace. 2. Create the trace as usual. 3. When you click Draw, the trace is added to the current page. To add it without drawing, click Add Trace.

9-6

Electronics Workbench

Using the Postprocessor

9.3.2

Working with Pages, Graphs and Charts


! To add another page for holding traces, click New Page. You are prompted for a name for the page. When you click OK, a tab with that name is added to the Postprocessor.
These tabs represent the available pages. Click the arrows to browse through the available pages.

Postprocessor

! To add a graph or chart to an existing page: 1. Click on the tab of the page to which you want to add the graph or chart. 2. Click New Graph or New Chart. You are prompted for a name. 3. The name is added to the drop-down list for that page.
The drop-down list shows the graphs and charts in the page.

Each chart or graph on a page appears on the same tab in the Grapher screen. ! To remove a trace, select it and click Delete Trace. ! To delete a page, select it and click Delete Page. ! To save the current set of pages, click Save Pages. Navigate to the location where you want to save the file and provide a file name. ! To load a saved set of pages, click Load Pages, navigate to the location of the saved file, select it and click Open.

Multisim User Guide

9-7

Postprocessor

9.4

Postprocessor Variables
The variables that appear in the Analysis Variables list of the Postprocessor are based on the selected analysis. They can include any or all of the following:
v(#) vv# #branch expr.x# voltage in node, where # represents the node number branch current through voltage source vv# (vv# represents the voltage source name) expression within subcircuit x#

9.5
Postprocessor

Available Functions
The functions you can apply to the Postprocessor variables are:
Symbol + * / ^ % , abs() sqrt() sin() cos() tan() atan() gt lt ge Type Algebraic Algebraic Algebraic Algebraic Algebraic Algebraic Algebraic Algebraic Algebraic Trigonometric Trigonometric Trigonometric Trigonometric Relationship Relationship Relationship Description plus minus times divided by to the power of percentage complex 3,4 = 3 + j (4) absolute value square root sine cosine tangent inverse tangent greater than less than greater than or equal to

9-8

Electronics Workbench

Available Functions

Symbol le ne eq and or not db() log() ln() exp() j() real() image() vi() vr() mag() ph() norm()

Type Relationship Relationship Relationship Logic Logic Logic Exponential Exponential Exponential Exponential Complex Complex Complex Complex Complex Vector Vector Vector

Description less than or equal to not equal to equal to and or not 20 log10(mag(vector)) logarithm (base 10)

Postprocessor

natural logarithm (base 3) e to the vector power i (sqrt(-1)) times vector real component of vector imaginary part of vector vi(x) = image (v(x)) vr(x) = real (v(x)) magnitude phase vector normalized to 1 (that is, the largest magnitude of any component is 1) random results in a scalar (a length 1 vector) that is the mean of the elements of the vector results in a vector of length number, with elements 0, 1, ... number-1. If number is a vector than just the first element is taken, and if it isnt an image then the floor of the magnitude is used. length of vector

rnd() mean()

Vector Vector

Vector(number)

Vector

length()

Vector

Multisim User Guide

9-9

Postprocessor

Symbol deriv()

Type Vector

Description derivative of vector uses numeric differentiation by interpolating a polynomial and may not produce satisfactory results, particularly with iterated differentiation. Only calculates the derivative with respect to the real component of the vectors scale. maximum value from vector minimum value from vector vm(x) = mag (v(x)) vp(x) = ph (v(x)) yes true no false pi natural logarithm base speed of light in vacuum square root of -1 degrees kelvin fundamental charge Boltzmans constant Plancks constant

max() min() vm() vp() yes

Vector Vector Vector Vector Constat Constat Constat Constat Constat Constat Constat Constat Constat Constat Constat Constat

Postprocessor

true no false pi e c i kelvin echarge boltz planck

9-10

Electronics Workbench

C h a p te r

10

HDLs and Programmable Logic


10.1 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-1 10.2 Overview of HDLs within Multisim . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.1 About HDLs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.2 Using Multisim with Programmable Logic . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.3 Using Multisim for Modeling Complex Digital ICs . . . . . . . . . . . . . . . . . . . . . 10.2.4 How to Use HDLs in Multisim. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.5 Introduction to VHDL . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.5.1VHDL: A Standard Language . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10.2.5.2A Brief History of VHDL. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 10-2 10-2 10-2 10-3 10-3 10-4 10-5 10-5

HDLs

Multisim User Guide

HDLs

Electronics Workbench

Chapter 10 HDLs and Programmable Logic


10.1 About this Chapter
This chapter deals with Hardware Description Languages (HDLs) generally, and more specifically with the two most common HDLs: VHDL and Verilog HDL, and their usage in Multisim. It also addresses one of the most common applications of using HDLs designing with programmable logic devices and the process of synthesis. This chapter is divided into three main parts: the first section provides a brief overview of HDLs within Multisim, the second section deals with VHDL, and the third section deals with Verilog HDL. Important information, particularly for newcomers to HDLs, also exists in the VHDL appendix. This chapter is primarily of use to those with the VHDL or Verilog HDL Design, Simulate and Debug module of Multisim, included in the Power Professional version and available as an add-on product to Professional Edition users. Also available is a separate add-on product that includes the ability to simulate a circuit containing a device for which the model already exists in VHDL or Verilog HDL, but not the ability to write or design VHDL/Verilog HDL source code. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description. See 1.5 Multisim Features Summary on page 1-3 for a description of the features available in your version.

HDLs

Multisim User Guide

10-1

HDLs and Programmable Logic

10.2

Overview of HDLs within Multisim

10.2.1 About HDLs


HDLs are designed specially to describe the behavior of complex digital devices. For this reason they are referred to as behavioral level languages. They can use behavioral level models (instead of transistor/gate level, like SPICE) to describe the behavior of these devices. Using HDLs avoids the unwieldy task of describing such devices at the gate level, greatly simplifying the design process. Designers typically choose from two different HDLs: VHDL and Verilog HDL. Multisim supports both of these languages. HDLs are commonly used for modeling complex digital ICs that could not easily be modeled in SPICE, or for designing circuits in programmable logic. Multisim supports both of these applications of HDLs.

10.2.2 Using Multisim with Programmable Logic


Designing circuits using programmable logic is becoming increasingly common as engineers deal with the need for shorter design cycles, smaller products, and lower cost results. Programmable logic devices (PLDs) generally fall into three broad categories (listed in order of increasing complexity): PLAs (the original Programmable Logic Devices, introduced just after the earlier, simpler Programmable Array Logic) CPLDs (Complex PLDs) FPGAs (Field Programmable Gate Arrays). All such devices share a common characteristic: they have standard blocks of digital logic and a means of interconnecting these blocks on the semiconductor. This allows you to program the device to perform a particular function. In spite of this common characteristic, however, each of these three broad classes of devices uses a different architecture for its logic blocks and the interconnections between them. Describing these varying architectures that the device vendors use for implementing blocks/interconnects within the semiconductor wafers is beyond the scope of this chapter, but is covered sufficiently in many text books on the subject.

HDLs 10-2

Electronics Workbench

Overview of HDLs within Multisim

This chapter deals with CPLDs and FPGAs because simple PLDs are not often designed using VHDL or Verilog HDL. Such simple PLDs are now less common and typically programmed with the older ABEL or CUPL languages, not addressed in Multisim. The following is a list of the key steps in designing with CPLDs and FPGAs: creating/writing source code in VHDL or Verilog HDL simulating/analyzing the operation/performance of that code debugging the code to generate final source code synthesizing the source code (specific to a particular device vendor) fitting (for CPLDs) or placing a routing (for FPGAs) physically programming the device. The last two steps in the process must be done with tools provided by the programmable logic device vendor and are therefore not a part of Multisim.

10.2.3 Using Multisim for Modeling Complex Digital ICs


In addition to using Multisim for Programmable Logic design, you may also use it to write VHDL or Verilog HDL code, modeling the behavior of complex digital ICs. Alternatively, you may accomplish the same thing by obtaining models for certain devices through the public domain, from device vendors, or from others in your company who have written or obtained them. If you already have a model, you do not need to program in VHDL or Verilog HDL. Multisim will simulate such components as part of a board level circuit, as long as the model exists. See 10.3 Simulating a Circuit Containing a VHDL-Modeled Device on page 10-7 for details.

HDLs

10.2.4 How to Use HDLs in Multisim


! To use Multisim to simulate a circuit containing a device for which the model exists in VHDL or Verilog HDL (instead of SPICE), just begin simulation, as described in Chapter 7, Simulation. As long as the model exists in Multisim, or you have added it (as described in Chapter 5, Component Editing), Multisim will automatically select the appropriate type of simulation engine when you begin simulation, as explained in 10.3 Simulating a Circuit Containing a VHDL-Modeled Device on page 10-7. Multisim also deals with the communication between the various simulation engines, without manual intervention. This function is unique to Multisim and is described in 10.3 Simulating a Circuit Containing a VHDL-Modeled Device on page 10-7. ! To use Multisim for writing, simulating and debugging HDL source code and/or for synthesizing HDLs, click the VHDL/Verilog HDL button on the design bar. From the pop-up menu that appears, select from the following options:

Multisim User Guide

10-3

HDLs and Programmable Logic

VHDL simulation described in 10.4 Designing, Simulating, and Debugging with Multisims VHDL on page 10-8. VHDL synthesis described in 10.5 VHDL Synthesis and Programming of FPGAs/ CPLDs on page 10-49 Verilog HDL simulation described in 10.6 Simulating a Circuit Containing a Verilog HDL Modeled Device on page 10-61

10.2.5 Introduction to VHDL


VHDL is a programming language that has been designed and optimized for describing the behavior of digital hardware circuits and systems. As such, VHDL combines features of a simulation modeling language, a design entry language, a test language, and a netlist language. VHDL is an extremely comprehensive and extensive language, and cannot be entirely covered in this manual. However, an introduction to programming in VHDL, including a detailed primer and a set of examples, can be found in the appendices. As a simulation modeling language, VHDL includes many features appropriate for describing the behavior of electronic components ranging from simple logic gates to complete microprocessors and custom chips. Features of VHDL allow electrical aspects of circuit behavior (such as rise and fall times of signals, delays through gates, and functional operation) to be precisely described. The resulting VHDL simulation models can then be used as building blocks in larger circuits (using schematics, block diagrams or system-level VHDL descriptions) for the purpose of simulation. Just as high-level programming languages allow complex design concepts to be expressed as computer programs, VHDL allows the behavior of complex electronic circuits to be captured into a design system for automatic circuit synthesis or for system simulation. This process is called design entry, and is the first step taken when a circuit concept is to be realized using computer-aided design tools. Design entry using VHDL is very much like software design using a software programming language. Like Pascal, C and C++, VHDL includes features useful for structured design techniques, and offers a rich set of control and data representation features. Unlike these other programming languages, VHDL provides features allowing concurrent events to be described. This is important because the hardware being described using VHDL is inherently concurrent in its operation. Users of PLD programming languages such as PALASM, ABEL, CUPL and others will find the concurrent features of VHDL quite familiar. Those who have only programmed using software programming languages will have some new concepts to grasp. One area where hardware design differs from software design is in the area of testing. One of the most important (and under-utilized) aspects of VHDL is its use as a way to capture the performance specification for a circuit, in the form of a test bench. Test benches are VHDL

HDLs 10-4

Electronics Workbench

Overview of HDLs within Multisim

descriptions of circuit stimulus and corresponding expected outputs that verify the behavior of a circuit over time. Test benches should be an integral part of any VHDL project and should be created in parallel with other descriptions of the circuit. VHDL is also useful as a low-level form of communication between different tools in a computer-based design environment. VHDLs structural language features allow it to be effectively used as a netlist language, replacing (or augmenting) other netlist languages such as EDIF.

10.2.5.1 VHDL: A Standard Language


One of the advantages of using VHDL is that it is a standard in the electronic design community. As a result, you will be able to use your design concepts because the design entry method you have chosen is supported in a newer generation of design tools. You will also be able to take advantage of the most up-to-date design tools and have access to a knowledge base of thousands of other engineers, many of whom are solving problems similar to your own.

10.2.5.2 A Brief History of VHDL


VHDL (VHSIC [Very High-Speed Integrated Circuit] Hardware Description Language) was developed in the early 1980s as a spin-off of a high-speed integrated circuit research project funded by the U.S. Department of Defense. During the VHSIC program, researchers had to describe circuits of enormous scale (for their time) and manage very large circuit design problems that involved multiple teams of engineers. With only gate-level design tools available, it soon became clear that better, more structured design methods and tools would be needed. There are a number of progressively more advanced standards that define VHDL in detail, as described in Appendix A, VHDL Primer. All are supported by Multisim. The major milestones in the VHDL standards evolution are summarized below: first introduction of publicly available version of VHDL (1985) IEEE Standard 1076 basis of almost all of todays products, released in 1987 and updated in 1993/94 IEEE Standard 1164 solves the problem of non-standard types IEEE Standard 1076.3 the standard for synthesis IEEE Standard 1076.4 adds timing information, known as VITAL.

HDLs

Multisim User Guide

10-5

HDLs and Programmable Logic

HDLs 10-6

Electronics Workbench

C h a p te r Reports

11

11.1 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1 11.2 Bill of Materials (BOM) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-1 11.3 Database Family List . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-3 11.4 Component Detail Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-4 11.5 Instrument Maps . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11-6

Reports

Multisim User Guide

Reports

Electronics Workbench

Chapter Reports
11.1

11

About this Chapter


Multisim allows you to generate a number of reports. This chapter explains the major types of reports: Database Family List, Component Detail Report and Instrument Maps. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

11.2

Bill of Materials (BOM)


A Bill of Materials lists the components used in your design and therefore provides a summary of the components needed to manufacture the circuit board. Information provided in the Bill of Materials includes: quantity of each component needed description, including the type of component (for example, resistor) and value (for example, 5.1 Kohm) reference ID of each component package or footprint of each component Note The Bill of Materials is intended primarily to assist in procurement and manufacturing, and therefore only includes real components. Reports If you have purchased the Project/Team Design module, included in the Power Professional and optional in the Professional Edition, the Bill of Materials will include all user fields and their values for each component that has such fields completed. For more on defining and completing user fields, see 13.7 Working with User Fields on page 13-8.

Multisim User Guide

11-1

Reports ! To create a BOM for your circuit: 1. Click the Reports button on the Design Bar and choose Bill of Materials from the pop-up menu that appears. 2. The report appears, looking similar to this:

! To print the Bill of Materials, click the Print button. A standard Windows print screen appears, allowing you to choose the printer, number of copies, and so on. ! To save the Bill of Materials to a file, click the Save button. A standard Windows file save screen appears, allowing you to specify the path and file name. Because the Bill of Materials is primarily intended to assist in procurement and manufacturing, it includes only real parts. That is, it excludes parts that are not real or able to be purchased, such as sources or virtual components. ! To see a list of components in your circuit that are not real components, click the Others button. A separate window appears, showing these components only. Reports 11-2

Electronics Workbench

Database Family List

11.3

Database Family List


You can produce a Database Family List showing all the components in a family. Note Although this report appears in the pop-up menu under the Reports Design Bar button, when you choose Database Family List you are reminded that this report is accessed through the Browser screen only. The Database Family List report is included in the Reports pop-up menu only as a way of listing all available Multisim reports in one location. ! To produce a Database Family List showing all the components in a specific family: 1. Access the database, as described in 3.3 Setting up Your Circuit Window on page 3-1, to select a component Parts Bin and a family within that Parts Bin (for example, the 74STD of the TTL group)..

2. From the Browser screen, click List Report Note Normally when you use the Browser you first select a specific component. This is not necessary when creating a Component Family list, since the list shows all the parts in this family.

Reports

Multisim User Guide

11-3

Reports

3. A Notepad screen appears, listing all the components found within the currently selected family. For example:

4. Use any of the standard Notepad functions to search, scroll, file, edit or print this information. 5. When done, choose File/Exit.

11.4

Component Detail Report


You can produce a Database Detail Report showing all the information stored in the Multisim database about a particular component. Note Although this report appears in the pop-up menu under the Reports Design Bar button, when you choose Database Family List you are reminded that this report is accessed through the Browser screen only. The Database Family List report is included in the Reports pop-up menu only as a way of listing all available Multisim reports in one location.

Reports 11-4

Electronics Workbench

Component Detail Report

! To produce a database family list showing detailed information about a specific component: 1. Access the database, as described in 3.3 Setting up Your Circuit Window on page 3-1, to select a component Parts Bin and a family within that Parts Bin (for example, the 74STD of the TTL group). 2. In the Browser screen, select a specific component in the family and click Detail Report.

3. This produces a screen that contains all the details about the selected component, including its schematic symbols, manufacturer, electrical parameters, simulation model and footprint (package). For example:

Reports

4. Scroll through the information as necessary. 5. To print the information, click Print. You are prompted, with a standard Windows print screen, to choose a destination printer. 6. To close the screen, click OK.

Multisim User Guide

11-5

Reports

11.5

Instrument Maps
The Instrument Maps show the faces of any or all of the instruments in your circuit. Any simulation data for the circuit appears on the instrument map. ! To produce a map of the instruments: 1. Click the Reports button on the Design Bar and choose Instrument Maps from the pop-up menu that appears. 2. You are prompted to choose which of the possible instruments in your circuit to include in printing:

3. Click on any instrument to select/deselect it, then click Print to print the selected instruments. 4. A standard print dialog appears. Choose the desired print options and click OK.

Reports 11-6

Electronics Workbench

C h a p te r 1 2 Transfer/Communication
12.1 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 12.2 Introduction to Transfer/Communication. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 12.3 Transferring Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-1 12.3.1Transferring from Multisim to Ultiboard for PCB Layout . . . . . . . . . . . . . . . . . 12-1 12.3.2Transferring to Other PCB Layout . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2 12.4 Exporting Simulation Results . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3 12.4.1Exporting to MathCAD . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3 12.4.2Exporting to Excel . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-3

Transfer

Multisim User Guide

Transfer

Electronics Workbench

Chapter 12 Transfer/Communication
12.1 About this Chapter
This chapter explains how to use Multisim to transfer either circuit schematics themselves (in whole or in part), or the results of simulation. Some of the features described in this chapter may not be available in your version of Multisim. Such features have an icon in the column next to their description.

12.2

Introduction to Transfer/Communication
Multisim makes it easy to transfer schematic and simulation data to other programs for further processing. In a unique capability, Multisim can even combine schematic information and simulation data for transfer together. For example, when transferring your schematic to perform a PCB layout, Multisim can include optimized trace width information (calculated using the Trace Width Analysis during simulation).

12.3

Transferring Data

12.3.1 Transferring from Multisim to Ultiboard for PCB Layout


One of the most common applications to which you may want to transfer data is a PCB layout program. Ultiboard, also from Electronics Workbench, is one of the industrys leading PCB layout tools and offers many advantages over other layout programs, including trace width optimization synchronized with Multisim simulation. ! To transfer a circuit design from Multisim to Ultiboard, in order to perform a PCB layout: Transfer

Multisim User Guide

12-1

Transfer/Communication

1. Click the Transfer button on the Design Bar. 2. From the menu that appears, choose Transfer to Ultiboard. A standard file browser appears. 3. Specify the name and location of the file to be created. Multisim then creates files that can then be loaded into Ultiboard. 4. Load the created files into Ultiboard, following the instructions in the Ultiboard User Guide. Note If changes are made to your design while in Ultiboard, you may want to backannotate them in Multisim. This is done using the Transfer menu (not the Design Bar button) and is explained in 2.6.5 Transfer Menu on page 2-24.

12.3.2 Transferring to Other PCB Layout


If you are using a PCB layout package produced by a vendor other than Electronics Workbench, you can create files in the necessary formats for transfer to the following third party layout packages: Eagle Lay OrCAD Protel Tango PCAD

! To transfer the circuit design to a third party layout package: 1. Click the Transfer button on the Design Bar. 2. From the menu that appears, choose Transfer to Other PCB Layout. A standard file browser appears. 3. Navigate to the desired folder, enter a file name and choose the desired manufacturer from the drop-down list. Multisim creates a file of the appropriate format that can then be loaded into the layout package of your choice.

Transfer

12-2

Electronics Workbench

Exporting Simulation Results

12.4

Exporting Simulation Results

12.4.1 Exporting to MathCAD


You can export the results of your simulation to MathCAD, allowing you to perform sophisticated mathematical operations on your data. Note This function is only available if you have MathCAD installed on your computer. ! To export the simulation results to a MathCAD session: 1. Click the Transfer button on the Design Bar. 2. From the list that appears, choose Export Simulation Results to MathCAD. A prompt screen appears, asking you to confirm that you want to open the Grapher and continue with the export process. 3. Click OK. The Grapher appears, showing the results of your simulation and/or analysis. 4. Use the Grapher to define which data will be transferred to MathCAD. By default, MathCAD will assign the x and y coordinates of the current trace to the variables in1 and in2. If necessary, move the trace to the correct location by clicking on it (to check which trace is current, enable the cursors). 5. Click the Transfer to MathCAD button. 6. A new MathCAD session is started. Note MathCAD will shut down when Multisim shuts down.

12.4.2 Exporting to Excel


You can export your simulation results to Excel, allowing you to use the data for further processing in a spreadsheet. Note This function is only available if you have Excel installed on your computer. ! To export the simulation results to an Excel spreadsheet: 1. Click the Transfer button on the Design Bar. 2. From the list that appears, choose Export Simulation Results to Excel. A prompt screen appears, asking you to confirm that you want to open the Grapher and continue with the export process. 3. Click OK. The Grapher appears, showing the results of your simulation and/or analysis. 4. Use the Grapher to define which data will be transferred to MathCAD. The Excel spreadsheet will contain the x and y coordinates of the current trace. If necessary, move the trace Transfer

Multisim User Guide

12-3

Transfer/Communication

to the correct location by clicking on it (to check which trace is current, enable the cursors). 5. Click the Transfer to Excel button. A new Excel spreadsheet is created, with data from the x coordinates in column one and data from the y coordinates in column two. 6. If desired, save the Excel spreadsheet.

Transfer

12-4

Electronics Workbench

Educators Guide

C h a p te r

13

Educators Guide
13.1 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 13.2 Circuit Creators Name . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 13.3 Assigning Faults to Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-1 13.3.1Setting a Placed Components Faults . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-2 13.3.2Using the Auto Fault Option . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 13.4 Using Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-3 13.4.1Setting Global Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-4 13.4.2Setting Circuit Restrictions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-6 13.4.3Setting Passwords for Restrictions. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-9 13.5 Remote Control/Circuit Sharing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13-10

Multisim User Guide

Educators Guide

Electronics Workbench

Educators Guide

Chapter 13 Educators Guide


13.1 About this Chapter
This chapter describes the tools that Multisim offers to allow you to exercise greater control over the programs interface and functionality when sharing circuits with students, as well as to set certain aspects of a circuits behaviour for instructional purposes. These features include assigning faults to components in a circuit, setting global and circuit restrictions, and sharing/ controlling files over a network.

13.2

Circuit Creators Name


Multisim provides a feature by which the name of the creator of each circuit is stored with that circuit. This name is taken from the name entered at the time Multisim is installed. Educators can take advantage of this feature to identify the student who, for example, created the circuit being submitted as the answer to an assignment (provided that the student uses his/her own copy of the program to create the circuit). The name appears on the Circuit Restrictions screen, which you can view as long as no passwords have been set see 13.4.2 Setting Circuit Restrictions on page 13-6 for more information.

13.3

Assigning Faults to Components


You may want to assign faults to components for instructional purposes, such as troubleshooting exercises. You can manually assign faults to individual components in a circuit or let Multisim randomly assign faults to various components across a circuit.

Multisim User Guide

13-1

Educators Guide

Educators Guide

13.3.1 Setting a Placed Components Faults


You can assign a fault to any terminal of the placed component using the Faults tab of that components properties screen. ! To assign a fault to a placed component: 1. Double-click on the component. The components properties screen appears. 2. Click the Faults tab:
Shows the terminals of the placed component

Choose which type of fault to assign to the selected terminals

3. Select the terminals to which the fault should apply. 4. Enable the type of fault you want assigned to a terminal. The options are:
Option None Open Description No fault Assigns a very high resistance to the terminals, as if the wire leading to the terminals was broken. Assigns a very low resistance to the terminal, so the component has no measurable affect on the circuit. Assigns the resistance value specified in the fields below the option, in parallel with the selected terminals. This causes the current to leak past the terminals instead of going through them.

Short

Leakage

13-2

Electronics Workbench

Using Restrictions

Educators Guide

5. To cancel your changes, click Cancel. To save your changes, click OK.

13.3.2 Using the Auto Fault Option


When you use the Auto Fault option, you specify the number of faults or, optionally, the number of faults per different type of fault, that you want Multisim to assign to placed components in the circuit. ! To use the auto fault option: 1. Choose Simulate/Auto Fault Option. The Auto Fault screen appears:

2. Use the up/down arrow keys or enter numerical values directly in the Short, Open, and Leak fields, or enter a numerical value in the Any field to let Multisim randomly select the type of faults to assign. 3. If you specify a number of leaks, enter a number and unit of measurement in the Specify Leak Resistance fields. 4. Click OK to apply the faults, or Cancel to cancel, and return to the circuit window.

13.4

Using Restrictions
Restrictions are useful in a number of ways: (a) when you are designing circuits for demonstration purposes and want to limit the functionality available to students; (b) when you are sharing circuits with students and want (i) to prevent them from being able to edit the circuit in any way;

Multisim User Guide

13-3

Educators Guide

Educators Guide

(ii) to limit the types of modifications they can make to a circuit; (iii) to limit the types of analyses they can perform on it; (iv) to limit the information they can see about certain parts of the circuit (for example, the value of a resistor you want them to calculate). You can set global-level restrictions, which become default Multisim settings, or circuit-level restrictions, which affect only specific circuits. To ensure that only you can set or modify restrictions, you use passwords which can protect both global and circuit restrictions. It is important that you set passwords immediately when using restrictions that you want to keep secure against any modification by students. The password for global restrictions is encrypted and stored in the Multisim program file. The password for circuit restrictions (for restricting only a particular circuit) is encrypted and stored in the circuit file.

13.4.1 Setting Global Restrictions


Use global restrictions to set the basic level of functionality of Multisim available to students in all circuits with which they will work. You can select a default path where circuits are to be saved, hide databases, parts bins and the In Use list, and determine whether students may edit components or place instruments. You can also choose which analyses will be available to students to run. Note Global restrictions are overriden by circuit restrictions if the circuit restrictions are saved with the circuit. See 13.4.2 Setting Circuit Restrictions on page 13-6 for information. ! To set general global restrictions: 1. Choose Edit/Global restrictions. Enter the default password testbench. You can, and should, change this default password. (See 13.4.3 Setting Passwords for Restrictions on page 13-9 for more information.) Enter your password in the Password screen, and click OK. The Global restrictions screen appears:

13-4

Electronics Workbench

Using Restrictions

Educators Guide

2. If it is not displayed, click the General tab:

Click here to select a default path/location to store circuits. Enable these checkboxes to disallow component editing, to hide parts bins, databases, and InUse list.

3. Set your options by enabling the appropriate checkboxes. Select from the following options:
Circuit path Sets the default path and location where students find and save files. Ensures that students cannot edit component properties. Makes the Component editing unavailable and disables the Tools/Edit Component command. Hides the Multisim database and Parts Bins from the interface. Hides the user database and Parts Bins from the interface. Makes instruments unvailable to be placed in the circuit. Hides the Instruments Design Bar button from the interface, and disables the Simulate/Instruments command. Hides the In Use list from the interface.

Disable component editing

Hide Multisim DB

Hide User DB

Hide Instruments parts bin

Hide In Use list

4. Click OK.

Multisim User Guide

13-5

Educators Guide

Educators Guide

Your options are immediately set for all circuits, unless you have set circuit restrictions. (See 13.4.2 Setting Circuit Restrictions on page 13-6.) ! To set global analyses restrictions: 1. From the Global restrictions screen, click the Analyses tab:

2. Enable the desired analyses by clicking the appropriate checkboxes (for more information on analyses, see Chapter 8, Analyses.), and click OK. Only the analyses you check will be enabled on the Simulate/Analyses menu or when the student clicks the Analyses Design Bar button. These options are immediately set for all circuits, unless you have set circuit restrictions. (See 13.4.2 Setting Circuit Restrictions on page 13-6.)

13.4.2 Setting Circuit Restrictions


Use circuit restrictions to set restrictions on individual circuits. Circuit restrictions override global restrictions. They are saved with your circuit and invoked each time the circuit is loaded. In addition to hiding parts bins and databases and setting available analyses, you can set a schematic to be read-only, i.e. not editable by students, you can hide components values, faults and uses in analyses, and you can lock subcircuits to make them unavailable for opening by students.

13-6

Electronics Workbench

Using Restrictions

Educators Guide

Note Remember that circuit restrictions only apply to the current circuit; i.e. when you create a new circuit, only the global restrictions will apply (see 13.4.1 Setting Global Restrictions on page 13-4 for details). If you want circuit restrictions to apply to a new circuit, you will need to reset those restrictions each time you create a new circuit. ! To set general circuit restrictions: 1. Choose Edit/Circuit restrictions. If you have created a password, you will be prompted for it. (See 13.4.3 Setting Passwords for Restrictions on page 13-9 for more information.) Enter your password in the Password screen, and click OK. The Circuit restrictions screen appears. 2. If it is not displayed, click the General tab:
The creator of the circuit. (This information is supplied by the installation program.)

Enable to set the schematic as read-only.

Enable checkboxes to hide component properties and lock subcircuits. Enable the appropriate checkboxes to hide desired parts bins, databases, and In-Use list.

3. Set the desired options by enabling the appropriate checkboxes. Select from the following options:
Schematic read-only Prevents students from saving the circuit, and hides parts bins. Students will only be able to draw wires between instruments and an open pin on an existing connector. Also, they can only remove wires that are between an instrument and a connector. Marks the Values tab of components properties screens with an X and hides values. You may wish to provide false values using labels.

Hide component values

Multisim User Guide

13-7

Educators Guide

Educators Guide

Hide component analysis tab Hide component faults

Hides the Analysis setup tab of components properties screens. Marks the Faults tab of components properties screens with an X, and hides faults. Prevents students from opening subcircuits and seeing their contents. Students must measure the input and ouput of a hidden subcircuit to determine its contents. Hides the Multisim database and Parts Bins from the current circuit. Hides the user database and Parts Bins from the current circuit. Makes instruments unavailable to be placed on the circuit. Hides the Instruments Design Bar button and disables the Simulate/Instruments command for the current circuit. Hides the In-Use list from the current circuit.

Lock subcircuits

Hide Multisim DB

Hide User DB

Hide Instruments parts bin

Hide In-Use list

4. Click OK. The options you select are immediately invoked in the circuit. 5. To have the restrictions apply each time the circuit is opened, choose File/Save to save the restrictions in the circuit file.

13-8

Electronics Workbench

Using Restrictions

Educators Guide

! To set circuit analyses restrictions: 1. From the Circuit restrictions screen, click the Analyses tab:

2. Enable the desired analyses by clicking the appropriate checkboxes (for more information on analyses, see Chapter 8, Analyses), and click OK. Only the analyses you check will be enabled on the Simulate/Analyses menu or when the student clicks the Simulate Design Bar button. 3. To have these analyses apply each time the circuit is opened, choose File/Save to save the restrictions.

13.4.3 Setting Passwords for Restrictions


When using restrictions, you should create a password immediately to ensure that your settings are secure. ! To create/change a password: 1. For global restrictions, choose Edit/Global restrictions. For circuit restrictions, choose Edit/Circuit restrictions.

Multisim User Guide

13-9

Educators Guide

Educators Guide

2. From the restrictions screen that appears, click Password. The Change Password screen appears:

3. If you are choosing a password for the first time, leave the Old password field blank. If you are changing a password, enter the old password in the Old password field. 4. Enter your (new) password in the New password field. 5. Confirm your password by entering it again in the Confirm password field. 6. Click OK to return to the Global restrictions screen, or Cancel to begin again. Note If you want to change global/circuit restrictions, you will need to enter the password you have assigned in either case. Be sure to keep your passwords written down and in a safe place, as you will not be able to retrieve them from the program or circuit files, where they are stored in encrypted form. Note A circuit password is not automatically transferred to a new circuit when you go to set circuit restrictions for it, so you will need to recreate the password every time you create circuit restrictions that you want to keep secure.

13.5

Remote Control/Circuit Sharing


Multisims Remote Control/Circuit Sharing module allows you to share a circuit with students over a network, and to control Multisim running on each others PCs. This module offers a way for you and groups of students to work with the same circuit in a laboratory environment, and is useful for demonstrations and examples, allowing students to see you making changes to the circuit in real time. It can also be very useful for tutors trying to assist a student having difficulty at home. To use Multisims Remote Control/Circuit Sharing capability, you must have access to a network or the Internet, and have the free Microsoft application Netmeeting installed. To install a copy of this product, go to http://www.microsoft.com/netmeeting. Once you have established a connection with students, you can: send text messages back and forth (in a chat mode) see and/or talk to the students (if you have the necessary software and hardware to support audio/video links)

13-10

Electronics Workbench

Remote Control/Circuit Sharing

Educators Guide

use an electronic whiteboard to present ideas to students send circuit files to students control students machines, so you can show them the changes you are making to a circuit.

! To use the Remote Control/Design Sharing module: 1. Click the Transfer button on the Design Bar. 2. From the list that appears, choose Remote Control/Design Sharing. Netmeeting is launched.

Multisim User Guide

13-11

Educators Guide

Educators Guide

13-12

Electronics Workbench

C h a p te r

14

RF

RF
14.1 About this Chapter . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1 14.2 Introduction to the Multisim RF Module . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-1 14.3 Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2 14.3.1About RF Components . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2 14.3.2Multisims RF Components. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-2 14.3.3Theoretical Explanation of the RF Models . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3 14.3.3.1Striplines/Microstrips/Waveguides. . . . . . . . . . . . . . . . . . . . . . . . . . . 14-3 14.3.3.2RF Resistors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5 14.3.3.3RF Capacitors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-5 14.3.3.4RF Inductors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6 14.3.3.5Active Devices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-7 14.4 RF Instruments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 14.4.1Spectrum Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 14.4.1.1About the Spectrum Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 14.4.1.2Using Multisims Spectrum Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . 14-9 14.4.1.3Frequency Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-10 14.4.1.4Frequency Spans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-10 14.4.1.5Frequency Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-11 14.4.1.6Amplitude Range . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-12 14.4.1.7Reference Level . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-12 14.4.1.8Frequency Resolution . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13 14.4.1.9Examples. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-13 14.4.2Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-15 14.4.2.1About the Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-15 14.4.2.2Using the Network Analyzer . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-16 14.4.2.3Marker Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17 14.4.2.4Trace Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17 14.4.2.5Format Controls. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-17 14.4.2.6Data Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18 14.4.2.7Mode Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-18

Multisim User Guide

14.5 RF Analyses. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.5.1RF Characterizer Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.5.2Matching Network Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.5.3Noise Figure Analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.5.3.1Noise Figure Analysis Tabs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6 RF Model Makers. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.1Waveguide. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.2Microstrip Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.3Open End Microstrip Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.4RF Spiral Inductor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.5Strip Line Model. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.6Stripline Bend . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.7Lossy Line . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.6.8Interdigital Capacitor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.7 Tutorial: Designing RF Circuits . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.7.1Selecting Type of RF Amplifier . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.7.2Selecting an RF Transistor . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.7.3Selecting a DC-operating Point . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.7.4Selecting the Biasing Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14.7.4.1Selecting an Operating Frequency Point . . . . . . . . . . . . . . . . . . . . 14.7.4.2Analyzing the RF Network . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . .

14-18 14-18 14-20 14-25 14-26 14-27 14-27 14-28 14-29 14-31 14-32 14-33 14-34 14-36 14-37 14-38 14-38 14-39 14-39 14-41 14-41

RF

Electronics Workbench

Chapter RF
14.1

14

RF

About this Chapter


This chapter describes the key capabilities included in the RF Design Module. This module is part of the Multisim Power Professional product, and is available as an optional add-in to the Professional Edition. This chapter contains descriptions of the elements (components, model makers, instruments, analyses) of Multisims RF Design module, as well as a tutorial demonstrating their use and some specific examples of RF functionality.

14.2

Introduction to the Multisim RF Module


The Multisim RF module is intended to provide fundamental RF circuit design features needed by engineers to design, analyze and simulate RF circuits. The Multisim RF module is made up of the following: RF-specific components, including customized RF SPICE models model makers for creating your own RF models two RF-specific instruments (Spectrum Analyzer and Network Analyzer) several RF-specific analyses (circuit characterizer, matching network cells, noise figure). Elements of the RF Design module are fully integrated into Multisim. That is, the instruments, analyses and components are installed in the same places and invoked in the same way as all other instruments, analyses and components. You will not see a separate access to the RF Design Module in Multisims interface. Instead, for example, the RF components go into their own Parts Bin on the component toolbar and the RF instruments are accessed through the Design Bars Instrument button.

Multisim User Guide

14-1

RF

14.3

Components

14.3.1 About RF Components


RF Components in the electronics field fall into two categories lumped components and distributed components. Lumped components are smaller than the wavelength, where:
c = f

In these cases, the wavelength of the voltages and currents at which they are operating is significantly larger than the components themselves. Ohm's Law, in this case, is valid. For example, a 1/4 Watt resistor is about 0.270 inch long and 0.090 inch in diameter. RF components, on the other hand, are most often distributed elements, where the phase of a voltage or current changes significantly over the physical extent of the device, because the device dimensions are similar to, in some cases even larger than, the wavelength. Standard circuit theory is therefore not always applicable to circuits that are working at a few MHz to above GHz. The usual models for lumped components are often not valid in the RF world. For example, a capacitor can behave as an inductor or an inductor can behave like a capacitor at high frequencies. RF components exhibit parasitic effects, and have models different from those used in low frequencies. Connections between two nodes at high frequencies would exhibit different behavior from those at low frequencies, and are modeled using capacitances and inductances. These connections behave as transmission lines when implemented on a Printed Circuit Board (PCB). The board itself becomes part of the circuit, interfering with the normal circuit function. That is why low frequency circuit simulation EDA tools can become unreliable at higher frequencies. Standard RF components include capacitors, inductors, toroids, ferrite beads, couplers, circulators, transmission lines or striplines, waveguides, and high frequency active devices such as transistors and diodes. More complex components, such as quadrature hybrids, mixers, filters, and attenuators, are built using these standard components. This chapter deals with the standard components and their models in high frequencies.

14.3.2 Multisims RF Components


The RF Design module contains over 100 parts and models specifically built for accuracy at higher frequencies. This ability to handle higher frequencies helps overcome a typical problem with SPICE models, which tend to perform poorly at such frequencies.

14-2

Electronics Workbench

Components

These parts are found in the Component toolbar near the bottom in the Parts Bin that looks like this:
RF component toolbar Parts Bin RF component family toolbar

RF

You access RF components as you would any other Multisim components. There are several Component Families in the RF Parts Bin, including: RF capacitors, RF inductors, RF NPN BJTs, RF PNP BJTs, RF MOSFETs, RF tunnel diodes and RF striplines/waveguides. Families containing components with wide commercial availability (e.g. RF NPN BJT) have a large number of components within them. Families containing components that are not readily available off-the-shelf (e.g. RF inductors) contain only a few components. The latter were modelled using Multisims RF Model Makers, explained in 14.4 RF Instruments on page 14-9. RF Model Makers are also used to customise your own parts, as explained in 14.6 RF Model Makers on page 14-27.

14.3.3 Theoretical Explanation of the RF Models


This section explains some of the scientific theory behind the operation of RF components and why they must be modelled differently at higher frequencies. You do not need to understand this information to use the RF components in Multisim, but it may be of interest to you. If it is not of interest, you may proceed to section 14.4 and begin using the RF components immediately.

14.3.3.1 Striplines/Microstrips/Waveguides
Wires are used to connect two internal nodes on a circuit and show no difference in phase and magnitude between two connecting nodes. Wires, however, behave differently at RF frequencies depending on their length and diameter. One effect at RF frequencies is called the skin effect, explained below. A conductor, at low frequencies, utilizes its entire cross-sectional area as a transport medium for charge carriers. As the frequency is increased, an increased magnetic field at the center of the conductor presents an impedance to the charge carriers, thus decreasing the current density at the center of the conductor and increasing it around its perimeter. This effect is called the skin effect, and occurs in all conductors, including resistor leads, capacitor leads, and inductor leads. As the frequency increases, this effect is more pronounced.

Multisim User Guide

14-3

RF

RF

A simple wire connecting two nodes in high frequencies behaves as a transmission line. The following figure shows the equivalent circuit of a transmission line. There are four components. The capacitor is the result of an actual capacitance existing between the center of the conductor and the ground. Between these two plates is the dielectric, which is not perfect. This leakage is modeled using conductance G and is given per unit length of line. Also, due to the resistance of the conductor itself, we have a series resistance R. Its value depends on the resistivity of the material used, the length, the cross-section of the conductor, and the skin effects.

Every transmission line has a resistance, called its characteristic impedance. Most microwave systems have a characteristic impedance of 50 Ohm. This value is a compromise between maximum power handling capability and minimum attenuation. At 50 Ohm, there is a reasonably low attenuation, and adequate power handling capability. If the outer diameter of the conductor of a coaxial line is shown by D, and the inner diameter is shown in d, and is the dielectric constant of the cable, the characteristic impedance is calculated by the following formula:
138 D Z 0 = -------- log 10 --- d

The components C and L shown in the figure above are calculated as follows:
7.354 C = ---------------------------log 10 ( D d ) L = 0 1404 log 10 ( D d ) ( ( PF ) ( ft ) )

( ( H ) ( ft ) )

A stripline is a useful form of transmission line. The stripline consists of a conducting strip lying between, and parallel to, two wide conducting planes. The region between the strip and the planes is filled with a uniform dielectric. Microstrips are easy to fabricate using photolithographic processes. At the same time that a transistor is placed on top of the board, for example, a microstrip can also be placed. Micros-

14-4

Electronics Workbench

Components

trip is, therefore, easily integrated with other passive and active devices. A conductor of width W is printed on a thin, grounded dielectric substrate of thickness d and relative permittivity r. A waveguide is a structure, or part of a structure, that causes a wave to propagate in a chosen direction. If the waveguide boundaries change direction, the wave is constrained to follow. Waveguides come in a variety of types: simple parallel plate structure, cylindrical structures with conducting boundaries, rectangular waveguides, and circular waveguides. A transmission line or a stripline is a special case of waveguide. RF

14.3.3.2 RF Resistors
Resistors find many applications as terminators or attenuators. The equivalent circuit of a resistor at radio frequency is shown in the following figure. The inductor is calculated using the physical geometry of the resistor.

4l L = 0.002 l 2.3 log ------ - 0.75 ( H ) d l = length of wire in cm d = diameter in cm

14.3.3.3 RF Capacitors
Capacitors are used for interstage coupling, for bypassing, in resonant circuits, and in filters. RF capacitors must be chosen carefully to ensure the best performance for specific applications. RF capacitors consist of two metal plates separated by a dielectric. The capacitance of an ideal capacitor has a direct relationship with the area (A), and is proportional inversely to the thickness of the dielectric (d). Its relationship is expressed in the following formula, where is the dielectric constant of the dielectric material.
A C = -d

Multisim User Guide

14-5

RF

The actual capacitor shows imperfection. One type of capacitor is modeled as shown in the following figure.

RF

In order to find the numerical values of the ideal elements in the model above, we need to consider a number of factors. Let represent the phase of current compared to the voltage. This phase is ideally 90, but is smaller for real components. The power factor (PF) is defined as COS (). This factor is a function of temperature, frequency, and the dielectric material. The power factor is usually used to describe the capacitor in low frequencies. This factor in higher frequencies is sometimes referred to as the dissipation factor. This factor describes how much power is dissipated, lost, or transformed to heat energy in RF frequencies. Another factor that defines the quality of the capacitor is closely related to power factor and is called Q factor. This factor is the reciprocal of dissipation factor. The larger the Q, the better the capacitor. No dielectric material is perfect. Therefore, there is always leakage current between two plates. This behavior is best described by Rp which is usually around 100,000 MOhm. The series resistor is the AC resistance of the capacitor in high frequencies, and is obtained using COS ()/Cw * 1e6. Here, =2*pi*f. There is a frequency point above which the capacitor starts to behave like an inductor.

14.3.3.4 RF Inductors
Inductors are extensively used in resonant circuits, filters, and matching networks. The following figure shows a typical inductor modeled for RF frequencies. An inductor is a wire wound or coiled. Each two windings are at close proximity, which creates a distributed capacitor, Cd. The inductor would behave like a capacitor at high frequencies. There is always a series resistance which prevents the coil from resonating. The ratio of an inductor's reactance

14-6

Electronics Workbench

Components

to its series resistance is often used as a measure of the quality of the inductor. The larger the ratio, the better the inductor is.

RF

14.3.3.5 Active Devices


In low frequencies, active devices are modeled using a number of ideal components such as resistors and capacitors. In high frequencies, each of these ideal components should be replaced by its equivalent, as discussed earlier. For example, a resistor should be replaced by a resistor in series with an inductor. Some simplifications would reduce redundant components.

Multisim User Guide

14-7

RF

Two inductors in series, for example, can be replaced by one inductor. A typical equivalent circuit of RF transistor is shown in the following figures.
Lb rbb Cbc Lc

Cbe

rbe

RF

Cbe gmVbe Re

Cce

Le

Rg Lg Ci +V i -

Cgd

Rd

Ld

GmVi rds Cds

Vi

Rs

Ls

The cutoff frequency fc can be derived from the equivalent circuit and is inversely proportional to the transit time c:
gm vs 1 fc = --------------- = ------------- = ------------2 C i 2 c 2 Lg

14-8

Electronics Workbench

RF Instruments

where Lg is the effective length of the gate, and vs is the saturation velocity that electrons travel. Active components included in Multisim are RF_BJT_NPN, RF_BJT_PNP, RF_MOS_3TDN, and tunnel diode. See Appendix M, RF Components for information on these components. RF

14.4

RF Instruments
Multisims RF Design module provides two key instruments for successful RF circuit design and analysis: the Spectrum Analyzer and the Network Analyzer.

14.4.1 Spectrum Analyzer


14.4.1.1 About the Spectrum Analyzer
The spectrum analyzer is used to measure amplitude versus frequency. This instrument is capable of measuring a signal's power and frequency components, and helps determine the existence of harmonics in the signal. One area that has an interest in spectrum measurement is communications. For example, cellular radio systems must be checked for harmonics of the carrier signal that might interfere with other RF systems. Other interesting applications of spectrum analysis are distortions of the message modulated onto a carrier. The spectrum analyzer displays its measurements in the frequency domain rather than the time domain. Usually the reference frame in signal analysis is time. In that case, an oscilloscope is used to show the instantaneous value as a function of time. Sometimes a sine waveform is expected but the signal, rather than being a pure sinusoidal, has a harmonic on it. As a result, it is not possible to measure the waveforms level. If the same signal were displayed on a spectrum analyzer, its amplitude would be displayed, but so would its frequency components, that is, its fundamental frequency and any harmonics it may contain. Time domain measurements such as rise and fall times, pulse width, repetition rates, delays, etc., cannot be easily obtained in frequency domain measurements. Therefore, both techniques are important.

14.4.1.2 Using Multisims Spectrum Analyzer


The spectrum analyzer in Multisim does not generate the noise one normally expects in a real spectrum analyzer. In reality, the noise generated by the spectrum analyzer itself (due to the random electron motion through the various circuit elements of an analyzer) is amplified by

Multisim User Guide

14-9

RF

the various gain stages in the analyzer, and ultimately appears on the CRT as a noise signal below which measurement cannot be made. With Multisims spectrum analyzer, no additional noise is introduced by the instrument itself. A number of parameters characterize a spectrum analyzer: frequency range in which the instrument operates frequency spans reference level measurement range. These are all represented on the Multisim spectrum analyzer, and must be set manually.

RF

f-start and f-end can be set manually.

14.4.1.3 Frequency Range


Frequency range is the range of frequencies over which the spectrum analyzer will analyze signals. There are two frequencies that you manually set: f-start (minimum value 1kHz) is entered in the Start field and f-end (maximum value of 4 Ghz) is entered in the End field. Zero frequency is not allowed for any of the frequency settings.

14.4.1.4 Frequency Spans


This parameter indicates the following frequency range to be displayed:

If Full Span is selected, the entire instrument range, which is 1kHz to 4GHz, is displayed. If Zero Span is selected, a single frequency defined by the Center field is displayed. If Set span is selected, the frequency span is determined using either span control or frequency control, as explained in 14.4.1.5 Frequency Analysis on page 14-11.

14-10

Electronics Workbench

RF Instruments

14.4.1.5 Frequency Analysis


There are two methods to select the frequency range: span control frequency control.

Span Control
RF This technique sets the span and the center frequencies, i.e., f-center and f-span. ! To set the span and the center frequencies, click Enter (in the Frequency area of the instruments display) to automatically calculate the values of f-start and f-end using the following expressions: f-start = (f-center - f-span / 2) f-end = (f-center + f-span/2)

Frequency Control
You can define the starting and ending frequencies manually. In order to do this, you need to enter the numerical values of frequencies in the Frequency area of the screen. Their values should be non-zero values. When Enter is clicked, the center frequency (f-center) and the range of frequency displayed on the spectrum analyzer (f-span) are calculated automatically. The relationship among these parameters is expressed as follows: f-center = (f-start + f-span = (f-end f-end)/2 f-start)

These two techniques are interrelated, that is, it is not possible to set all four parameters independently. Both techniques are useful. For example, if you want to see frequency components around one specific frequency such as100 Mhz +/- 100kHz, then the frequency control technique is easier to apply. The center frequency, in this example, is 100 Mhz, and the span is 2*(100kHz) = 200 kHz.

Multisim User Guide

14-11

RF

14.4.1.6 Amplitude Range

RF

You can set the amplitude range of the signal visible on the screen by choosing one of the following three options: dB - This option stands for 20*log10(V), where log10 is the logarithm in base 10, and V is the amplitude of the signal. When this option is used, the signal is displayed by dB per division, shown in the right-hand side of the spectrum analyzer. The dB reading is of interest when measuring the power of the signal. dBm - This option stands for 10*log10(V/0.775). Zero dBm is the power dissipated in a 600 Ohm resistor when the voltage across it is 0.775 V. This power is equal to 1 mW. If the level of a signal is +10 dBm, it means that its power is 10 mW. When this option is used, the signal power is displayed based on the reference of 0 dBm. For applications in which the terminating resistor is 600 Ohm, such as in telephone lines, it is more convenient to read dBm as it is directly proportional to the power dissipation. However, in dB, you need to include the value of the resistor to find the dissipated power in the resistor. In dBm, the value of the resistor has been accounted for already. LIN - This option selects a linear display of the signal. To change the maximum amplitude displayed on the screen, enter a voltage value in the Range field.

14.4.1.7 Reference Level


The reference level is used to set the range of the input signal that can be displayed on the screen. The axes of the spectrum analyzer are not marked by units and values. You can read the frequency and the amplitude of each point displayed on the screen by using the cursor. When the cursor is moved and placed on the point of interest, the frequency and the amplitude in V, dB, or dBm are displayed at the right-lower part of the analyzer. You can observe more than one frequency and evaluate the results for the entire frequency range shown. You may want to know when the amplitude (in dB or dBm) of some components is above a certain limit in dB or dBm. For example, say you were interested in the (-3dB) amplitude. By locating (-3dB) points you can estimate the bandwidth of the amplifier. By clicking Display-Ref, you can set the reference level to (-3dB) and, using the cursor at the same time, you can find the lower edge and upper edge of the pass band. You can also find out whether the amplitude of the signal is less than a certain value for a certain band of frequency. To do this, observe signals on the spectrum analyzer, and use the reference button. The maximum reference value in dB is set to (+30 dB). Display-Ref is available only if either dB or dBm are activated.

14-12

Electronics Workbench

RF Instruments

14.4.1.8 Frequency Resolution


The frequency resolution is initially set to a minimum value of f = f_end/1024. However, you can change it to a greater value and observe the spectrum. You need to select the frequency resolution so that the frequencies are integer multiples of frequency resolutions. Note For an accurate reading, the frequency components should not be below f. RF

14.4.1.9 Examples
Example 1
The following figure shows a mixer, which is often used in communications applications.

There are two input sinusoidal waveforms. Their frequencies are 0.8 MHz and 1.2 MHz. The amplitudes are set at 8 V and 10 V, respectively. Note that the amplitude is the peak value of the sinusoidal waveformit is not the RMS value of the waveform. The mixer is set to multiply the signals with unity gain without introducing an offset in either of the input signals. You can expect to find two components at the output placed at (1.2+0.8) = 2 MHz, and (1.2 - 0.8) = 0.4 MHz. ! If you want to try this example for yourself, do the following: 1. Construct the network as shown above. 2. Double click on the multiplier and set the gains to 1 and the offsets to zeros. 3. Double-click on the spectrum analyzer and initialize it using one start and end frequencies (example 3 in this chapter shows another way to initialize the spectrum analyzer). Set Span to 3MHz and Center to 1.8MHz. Click Enter. The frequency value of f-start is automatically set to (1.8MHz - 3/2 MHz) = 300 kHz. The frequency value of f-end is automatically set to (1.8MHz + 3/2 MHz) = 3.3MHz.

Multisim User Guide

14-13

RF

Since the amplitude of the component is around (8*10)/2 = 40V, set the amplitude range to 100 V in LIN mode. 4. Run the simulator. 5. Double-click on the spectrum analyzer. 6. Click Start and wait until the signal stabilizes The spectrum analyzer starts performing the Fourier Transform of the input signal in time domain. However, since it begins with only a few samples, it does not provide accurate results initially. You must wait until the screen is refreshed a few times to obtain accurate readings of the frequency components and their magnitudes. At this time, the internal frequency resolution is equal to the user-defined frequency resolution. Both of these values are shown on the device. Using the cursor on the screen, you can read the amplitude and frequency of each component. In the example, the readings are the same as the calculated values, that is, two frequency components at 2MHz and 0.4 MHz, with 40 V magnitude. RF

Example 2
In order to run another example, you need to choose Run/Stop and stop the simulation running for the previous example. The second example has the same circuit structure, as shown below.

However, DC offsets (1 V) to the input and the output signals are introduced. Due to the offsets, you will have components at DC, as well as 0.8 MHz and 1.2 MHz. The spectrum analyzer shows the components and their amplitude on the screen if the same setting used in the previous example is also used here.

14-14

Electronics Workbench

RF Instruments

Example 3
This example considers an active device that works in saturation. This structure is shown below:

RF

! To initialize the spectrum analyzer: 1. Double-click on the spectrum analyzer. 2. Set Start to 1kHz and End to 11MHz. 3. Click Enter. The frequency value of f-span is (11MHz - 1kHz) = 10.999kHz. The frequency value of f-center is (11MHz + 1kHz)/2 = 5.5005 MHz. 4. Set the range to 2 dB/division, and set the reference to 4 dB to demonstrate the application of reference dB level. The frequency values of f-start and f-end are set so that the frequency components of interest are captured and their magnitudes can be studied. Using the spectrum analyzer, you can verify that there are more than two frequency components present at the output node. There are three components above 4 dBzero frequency, 2MHz, and 4 MHz. Other frequency components are at higher frequencies and have dB level less than 4 dB.

14.4.2 Network Analyzer


14.4.2.1 About the Network Analyzer
The network analyzer is used to measure the scattering parameters (or S-parameters) of a circuit, commonly used to characterize a circuit intended to operate at higher frequencies. These S-parameters are used for a variety of purposes, including in Multisim RF analyses. The network analyzer also calculates H, Y, Z parameters.

Multisim User Guide

14-15

RF

14.4.2.2 Using the Network Analyzer


The circuit is idealized as a two-port network. To properly use the network analyzer, the circuit must be left open at its input and output ports. During simulation the network analyzer completes the circuit being analyzed by inserting its sub-circuits. You need to remove these sub-circuits from the circuit before performing other analysis and simulation. When you start simulation, the network analyzer automatically executes two AC analyses. The first AC analysis is applied at the input port to compute the forward parameters S11 and S21. The second analysis is applied at the output port to compute the reverse parameters S22 and S12. After the S-parameters are determined, you can use the network analyzer to view the data in many ways and perform further analyses based on the data. RF

Marker and circuit information

Select an appropriate representation for the data. Show or hide individual traces. Select a different set of parameters to be displayed on the chart. Work with network analyzer data. Select a mode of operation.

Chart display

The display of the network analyzer is divided into two regions: The left region contains a chart display area and a text display area that shows marker and circuit information. The right region contains the controls for the network analyzer.

14-16

Electronics Workbench

RF Instruments

14.4.2.3 Marker Controls


Select from the Marker drop-down list how you want data represented: Real/Imaginary Magnitude/Phase dB (Magnitude)/Phase. Use the scroll bar below the list to step through each data point acquired for the circuit. Initially, the frequency points are selected in decade. The default frequency sweep range is between 1 MHz and 10 GHz. You can also use the PAGE UP and PAGE DOWN keyboard keys to navigate the data set.

RF

14.4.2.4 Trace Controls


Use the Trace buttons to show and hide each individual trace on the chart. When the network analyzer is opened, S11 and S22 are shown in the trace area. The trace buttons change depending on what parameter or analysis is being looked at. The possible sets in Measurement mode are {S11, S12, S21, S22}, {Z11, Z12, Z21, Z22}, {H11, H12, H21, H22}, {Y11, Y12, Y21, Y22}, {K, ||}. The possible sets in RF Characterizer mode are {P.G., T.P.G., A.P.G.}, {V.G.}, and {Zin, Zout}. For more about these modes, see 14.4.2.7 Mode Controls on page 14-18.

14.4.2.5 Format Controls


Parameter Options
Select the set of parameters to be displayed on the chart. The parameters available depend on the network analyzers mode. In Measurement mode, you can choose from S-, Y-, H-, Zparameters, and stability factors K and ||. In RF Characterizer mode, select from Power gains, Voltage gains, and Impedances.

Format Buttons
Use these buttons to display data using different chart formats. The formats available depend on the selected parameter group. Use the Scale button to change the scaling of the current chart. Only Polar plot, Real/Imaginary plot and Magnitude/Phase plot can be changed. Use the Auto Scale button to automatically scale the data so that it can be displayed within the current chart. Use the Setup button to change the various display properties of the network analyzer.

Multisim User Guide

14-17

RF

14.4.2.6 Data Controls


Use the Save button to save the current S-parameter data set to file. Use the Load button to load a previously saved S-parameter data set into the network analyzer. Once the data is loaded, you can use all the functions provided by the network analyzer to view and analyze the data. The saved S-parameter file has the file extension .sp. Use the Exp button to export the data set of the selected parameter group to a text file. For example, if the selected parameter group is Z-parameters displayed in magnitude/phase chart format, Z-parameters will be exported, and their values will be in magnitude/phase format. Use the Print button to print the selected chart. RF

14.4.2.7 Mode Controls


From the Mode drop-down list, select the network analyzer mode: measurement mode, which provides the parameters in different formats RF Characterizer mode, which provides the power gains, voltage gains, and impedances seen from input and output ports Match Net. designer mode, which opens a new window, explained in 14.5.2 Matching Network Analysis on page 14-20. Use the first of the above choices to use the Network analyzer to perform measurement (its normal application). Use the second and third options to access the two RF analyses explained in 14.5 RF Analyses on page 14-18. Use the Setup button to enter the measurement settings for computing the circuits S-parameters.

14.5

RF Analyses

14.5.1 RF Characterizer Analysis


Multisims RF characterizer analysis tool helps designers study RF circuits in terms of the power gains, voltage gain, and input/output impedances. A typical application is an RF amplifier. The source signal at the input of an amplifier is usually provided by a receiver and its power is relatively small. The RF designer often intends to magnify the input signal and provide an output signal in terms of both voltage and current: i.e., the output power delivered to the load is considerably higher than that of the input signal. That is why the power transferability of the designed circuit is of interest. The power gains in Multisim are calculated by assuming that source and load impedances are 50 Ohm. You can change these values by click-

14-18

Electronics Workbench

RF Analyses

ing the Setup button next to the Mode drop-down list to specify that the RF simulator assumes
Zl = Zo and Zs = Zo or s = l = 0.

Another aspect of a circuit is the input and output impedances of the amplifier. An RF amplifier usually has more than one stage of amplification. Each stage of the amplifier is loaded by the input port of the next stage. The loading effect is best understood by studying the input/output impedances. Most engineers would like to design an amplifier which has maximum input impedance in low RF frequencies, to reduce its loading effect on previous stage. On the other hand, the smaller the output impedance is, the better the output signal would be delivered. In higher RF frequencies, it is desirable to have an output impedance matching that of the load to minimize the reflection of signals. The Multisim RF characterizer analysis toolbox helps designers to study these impedances and choose the most appropriate frequency of operation. ! To use the simulator in order to read the desired variable: 1. Connect the network analyzer to the amplifier. 2. Run the simulator. Ignore the DC warnings and wait until the AC analyses are complete. 3. Double click on the network analyzer. 4. At the bottom of the right side of the control panel of the network analyzer, select RF characterizer from the Mode drop-down list. 5. Under the Trace options, set the desired variable, from among T.G., A.P.G., and T.P.G. While the curves are plotted versus frequency, the numerical values are displayed at the top of curves for each frequency point. 6. From the Parameter drop-down list, select Gains. Here, the voltage gain (V.G>) is plotted versus frequency and its value is given at the top of the curve. Note Use Auto Scale each time you change the parameters to get a better reading. 7. In the Parameter drop-down list, select Impedances. The input/output impedances are provided in the form of a curve as well as printed out at the top of the curves. 8. Use the frequency scroll bar to select the desired frequency for a specific variable. RF

Power Gains
The Multisim RF Simulator calculates the General Power Gain (PG), Available Power Gain (APG) and Transducer Power Gain (TPG) for Zo = 50Ohm at a given frequency. The dBMag is derived as 10log10 |PG|. PG is defined as the ratio of the power delivered to the load and the average power delivered to the network from the input, and is given as PG = |S21|2/(1-|S11| 2).

Multisim User Guide

14-19

RF

The Transducer Power Gain, TPG is the ratio of the power delivered to the load to the power available from the source. For Gs = GL= 0, TPG = |S21| 2 . The Available Power Gain, APG is the ratio of the power available from the output port of the network to the power available from the source and it is expressed as
2 2 APG = |S21| / (1- |S22| )

RF

Voltage Gain
Voltage Gain, VG, is obtained for s = l = 0 and is expressed as VG = S21/(1 + S11). Voltage Gain expressed in dBMag is calculated as 20log 10 |VG|. If you observe the time domain signals of the input and output while the transistors are operating in the linear region, you find that the amplitude of the output voltage signal (when 50 Ohm load and source impedances are used) to the amplitude of the input voltage signal is the same as V.G. given by Multisim. Note, however, that V.G. is calculated using S-parameters.

Input/Output Impedances
These values are calculated assuming s = l = 0. For this condition, we have:

Zin= ( 1 + in) / (1 - in) where in = S11 and Zout= ( 1 + out) / (1 - out) where out = S22.
One must note that these values are normalized. The simulator prints denormalized values of Zin and Zout.

14.5.2 Matching Network Analysis


While designing RF amplifiers using Multisim, RF engineers need to analyze and, if necessary, modify circuit behavior. The Matching Network Analysis provides three options for analyzing circuit behavior: Stability circles Unilateral gain circles Impedance matching. These options are described in detail in this section. Depending on the application, one or more of the options is used. For example, to design oscillators only stability circles are used. On the other hand, to match an unconditionally stable circuit, the simulator first analyzes the stability properties of the circuit then uses automatic impedance matching. The three options are accessed from the Match Net. Designer window.

14-20

Electronics Workbench

RF Analyses

! To open the Match Net. Designer window: 1. Double-click the Network Analyzer on the circuit window. 2. From the Mode drop-down list, select Match Net. Designer. The Match Net. Designer screen appears:

RF

Stability Circles
Stability circles are used to analyze the stability of a circuit at different frequency points. In an ideal design, when an input signal is delivered to the input port of a two-port network, the entire source signal is delivered without any loss. In practice, however, part of the input signal bounces back to the source. Then, when the amplified signal is delivered to the load impedance, part of this signal bounces back to the output port of the amplifier. The amplifier, if it is not unilateral, transfers the reflected wave back to the source impedance. A circuit is considered unstable if the signal reflected is equal to the signal delivered in either the input or the output port. An RF engineer aims to minimize this bounce effect and deliver maximum signal to the load. The stability circles in the network analyzer help achieve this goal. ! To perform the analysis: 1. Connect the biased amplifier to the network analyzer using two series capacitors (usually 100 F). The values of these capacitors are selected to minimize the numerical errors. In practice, however, two capacitors must be used to isolate the amplifier from the pre- and post-stage amplifiers in DC mode. Note that the impedance of these capacitors should not contribute to the attenuation of the input or output signal. The impedance of a capacitor is frequency dependant and is calculated using X_c = 1/(jwC) where w is (2*pi*f).

Multisim User Guide

14-21

RF

2. To activate the network analyzer, click the Simulate button on the Design Bar and choose Run/Stop from the pop-up menu. Wait until the AC-analyses are complete. Ignore the warning for DC-analysis. 3. Double-click on the Network Analyzer icon on the circuit window. 4. From the Mode drop-down list select Match Net. Designer. 5. From the Match Net. Designer screen, click the Stability Circles tab. RF 6. Select the desired operating frequency from the field in the lower left corner of the screen, and click OK. The result is a Smith Chart showing an input stability circle and an output stability circle. A stability circle represents the boundary between the values of source or load impedance that cause instability and those that do not. The perimeter of the circle thus represents the locus of points which forces K=1. Note that either the inside or the outside of the circle may represent an unstable region. Unstable regions are hashed on the Smith Chart. There are three possible scenarios on the Smith Chart, as described below: None of the Smith Chart is hashed In this case the circuit is said to be unconditionally stable, meaning that any area of the Smith Chart represents a valid passive source or load impedance. The designer can, then, select the input or output impedances using other criteria (such as gain or noise criteria). Parts of the Smith Chart are hashed In this case the circuit is potentially unstable, meaning it is possible to select passive input or output impedance and still maintain the stability of the circuit. An input impedance should fall outside the hashed area of the input stability circle to achieve stability at the input port, while an output impedance should be selected outside the output stability circle to achieve stability at the output port. The entire Smith Chart is hashed In this case, the circuit is unstable regardless of input or output impedances. The designer has a number of options to achieve stability, including changing the frequency of operation, changing the DC biasing of the transistor, changing the transistor itself, or changing the entire structure of the amplifier. In addition to stability circles, there are two numerical values printed on the Match Net. Designer screen. They are and K. The design is unconditionally stable if (|| < 1) and K>1. For K<1, for example, the circuit is potentially unstable, and will most likely oscillate with certain combinations of source or load impedance.

Unilateral Gain Circles


This option is used to analyze the unilateral property of a circuit. A transistor is said to be unilateral when there is no bounce effect, meaning the signal reflected from the output port to the input port is zero. This occurs if the reverse transmission coefficient, S12 or the reverse

14-22

Electronics Workbench

RF Analyses

transducer power gain, |S12|2 is equal to 0. This means that the input section of the amplifier is completely isolated from the output section. (Note that passive networks are usually not unilateral.) The unilateral property of a network is determined by calculating the Unilateral Figure of Merit (U). If necessary, the frequency can be adjusted to improve the unilateral property. ! To calculate the Unilateral Figure of Merit: RF 1. From the Match Net. Designer screen click the Unilateral Gain Circles tab. 2. Read the value of U or the Unilateral Figure of Merit. 3. Calculate the upper and lower limits of the following inequality using U. 1/(1 + U) 2 < GT/GTU < 1/(1-U) 2 where, GT transducer power gain, is defined as the ratio of the output power delivered to a load by a source and the maximum power available from the source, and GTU represents the transducer power gain assuming unilateral property (S12=0) for the network. You need not calculate GT or GTU since only the limits are of interest here. If the limits are close to one, or U is close to zero, the effect of S12 is small enough to assume unilateral property for the amplifier. If it is not, go to the next step. 4. Change the frequency so that the minimum U is read. This frequency suggests an operating point for the amplifier where the unilateral property is best met. Note The operating frequency to achieve the best unilateral property for the amplifier does not necessarily coincide with the maximum gain for the circuit. The unilateral gain circles are developed to identify the best load and source impedances to minimize the error due to unilateral assumption and maintain a satisfactory level of gain. The gain circles are also used for a trade off between the gain and the bandwidth. Wide Band Amplifier ! To design a wide band amplifier, first find the maximum gain delivered by the circuit: 1. Double-click the network analyzer icon on the circuit window. 2. From the Mode drop-down list, select RF characterizer. 3. Read the value of TPG (transducer power gain or GT). This value is printed in dB. 4. From the Mode drop-down list, select Match Net. Designer. 5. From the Match Net. Designer screen, click the Unilateral Gain Circles tab. 6. Change the value of Gs and Gl manually and individually until the circles become a dot on the Smith Chart. 7. Calculate the maximum transferable power. Gmax = Gs (dB) + TPG (dB) + Gl(dB)

Multisim User Guide

14-23

RF

The maximum gain is achieved only for a narrow band. Since the slightest change in the circuit component would change its performance, the maximum gain is not achievable in reality. For a wider band of frequency, use a gain of less than the maximum. ! Knowing the level of gain you want to achieve, select input and output impedances: 1. Select the desired gain (should be less than the maximum gain calculated above). 2. Choose Gs and Gl so that these three conditions are met: RF Gs + GTU + Gl < Gmax Gs < Gsmax Gl < Glmax. 3. Enter the selected values for Gs and Gl and observe the circles. Select points on the circles closest to the center of the Smith Chart. These points are shown on the Smith Chart and circles by two triangles. The circle for Gl = 0 dB always passes through the center. Therefore, the best point of the Gl to produce Gl = 0 dB. Any point selected on the Smith Chart is a normalized point. These points provide the impedances for the input and output ports that you then design manually. Note To ensure these points will not cause instability, we recommend you follow the instructions in Stability Circles on page 14-21. If the amplifier is unconditionally stable, it would be stable for any passive load or source network, so you need not check stability circles in this case.

Impedance Matching
Occasionally, a design is considered unconditionally stable, meaning the amplifier does not oscillate in the presence of any passive load or source impedance. In this case, you can use the impedance matching option to automatically modify the structure of an RF amplifier to achieve maximum gain impedance. To deliver maximum power, a circuit must match at both its input and output ports. In other words, there needs to be maximum matching between the output of the amplifier and the output impedance, and the input of the amplifier and the source impedance. There are eight possible structures for each port, although only a few of these provide complete matching. ! To use impedance matching to find a matching network: 1. Connect the network analyzer to your amplifier as described in Stability Circles on page 14-21. Do not forget the capacitors in order to prevent DC loading of the network analyzer. 2. Run the simulation. 3. Double-click the network analyzer icon. 4. From the Mode drop-down list, select Match Net. Designer. 5. On the Match Net. Design screen, click the Impedance Matching tab.

14-24

Electronics Workbench

RF Analyses

6. Change the frequency to the desired operating point. 7. Enable Auto Match. The instrument provides the structure as well the numerical values of components. You can click on the left and right sides of the Impedance Matching window and change the structure. However, only a few of the eight structures can provide matching. RF

14.5.3 Noise Figure Analysis


A measure of signal quality is its signal/noise ratio. Noise accompanies any signal at the input to a two-part device such as an amplifier or attenuator. Designers are interested to know how much noise is added to the output signal of a two-part network since these networks contribute to the output noise. Passive components (i.e. resistors) add Johnson noise while active components add shot or flicker noise. A measure of this signal/noise degradation is given by the noise figure:
SS NS F = ----------------SO NO

where Ss/Ns is the input signal to noise ratio and So/No is the output signal to noise ratio. Multisim calculates the noise figure using the equation:
NO F = ---------GNS

where No is the output noise power (which includes the noise due to two-part network and the magnified input noise) Ns is the thermal noise of the source resistor (this resistor generates noise equal to the output noise of the previous stage), and G is the AC gain of the circuit (the ratio of the output signal to the input signal of the two-part network). Note that the bandwidth of the signal has been considered in source resistor. Finally, Multisim prints the Noise Figure in dB, that is 10 log10 (F).

Multisim User Guide

14-25

RF

14.5.3.1 Noise Figure Analysis Tabs


Just as for other Multisim analyses, you need to fill in the appropriate fields from the Analysis Parameters tab. Analysis parameters are shown below:

RF

Choose an input source.

Enter temperature in degrees Kelvin.

Setting Noise Figure Analysis Parameters for Normal Use


For normal use, you only need to: select an input source from the Input noise reference source drop-down list select an output node from the Output node drop-down list select a reference node from the Reference node drop-down list enter a value in the Frequency field enter a value in the Temperature field. The default setting of 300.15 degrees Kelvin is equivalent to 27 degrees Celsius. You can filter the variables displayed to include internal nodes (such as nodes inside a BJT model or inside a SPICE subcircuits), open pins, as well as output variables from any submodules contained in the circuit.

14-26

Electronics Workbench

RF Model Makers

! To filter the variables displayed: 1. Click the Change Filter button. The Filter Nodes screen appears.

RF

2. Enable one or more settings. 3. Click OK.

14.6

RF Model Makers
As with the other Multisim Model Makers, RF Model Makers automatically simulate models based on the input you provide. Whereas input for other model makers usually comes from data books, RF Model Makers can also receive other types of input, such as operating characteristics or physical dimensions, depending on the type of components you are modelling. Multisim has RF Model Makers for the following types of components:

14.6.1 Waveguide
For Waveguide models, enter values in the two tabs shown below. Use the following diagram for assistance in identifying the values:

Slot width

Central strip width

Conductor thickness Relative dielectric constant (r) Conductor length

Dielectric thickness

Multisim User Guide

14-27

RF

RF

14.6.2 Microstrip Line


For the Generic Microstrip Line models, enter values in the two tabs shown below. Use the following diagram for assistance in identifying the values:
Conductor width Conductor thickness

Conductor length Relative dielectric constant (r) Dielectric thickness

14-28

Electronics Workbench

RF Model Makers

RF

14.6.3 Open End Microstrip Line


For Open End Microstrip models, enter values on the following tabs:

Multisim User Guide

14-29

RF

RF 14-30

Electronics Workbench

RF Model Makers

14.6.4 RF Spiral Inductor


For the RF Spiral Inductor models, enter values in the two tabs shown below. Use the following diagram for assistance in identifying the values:

Inner diameter

Outer diameter

RF

Conductor width

Space between conductors

Multisim User Guide

14-31

RF

14.6.5 Strip Line Model


For the Strip Line models, enter values in the two tabs shown below. Use the following diagram for assistance in identifying the values:

RF

Dielectric thickness

Conductor thickness

Conductor length

Conductor width

14-32

Electronics Workbench

RF Model Makers

14.6.6 Stripline Bend


For the Stripline Bend models, enter values in the two tabs shown below. Use the following diagram for assistance in identifying the values (refer to the Stripline diagram in 14.6.5 Strip Line Model on page 14-32 for Relative dielectric constance (r), Dielectric thickness and Conductor thickness): RF
Stripline conductor width

Bend angle

Multisim User Guide

14-33

RF

RF

14.6.7 Lossy Line


For Lossy Line models, enter values in the two tabs shown below. Use the following catalogue excerpt for assistance in identifying the values:

14-34

Electronics Workbench

RF Model Makers

Phase velocity

Characteristic impedance

RF

Line length

Nominal attenuation @ lower frequency bound

Nominal attenuation @ higher frequency bound

Multisim User Guide

14-35

RF

14.6.8 Interdigital Capacitor


For Interdigital Capacitor models, enter values in the two tabs shown below. Use the following diagram for assistance in identifying the values:
Number of fingers = 7 Finger length

RF

Finger width

Space between fingers

Finger end gap

Relative dielectric constant (r)

14-36

Electronics Workbench

Tutorial: Designing RF Circuits

RF

14.7

Tutorial: Designing RF Circuits


This tutorial is intended to: provide an introduction to simple RF circuit design demonstrate to engineers how to use Multisim for designing an RF circuit. Each design step is accompanied by the required simulation steps in Multisim. The methodology that an RF engineer uses to design an RF circuit differs from that used for a low-frequency circuit design. An RF designer looks at performance parameters such as Sparameters, input/output impedances, power gain, noise figure, and stability factor. These design parameters are not directly available from a SPICE simulation. Impedance matching is a phase of RF circuit designs where the designer uses a Smith Chart, and calculates the values of matching elements such that maximum power is transferred to the load impedance. The Smith Chart or the calculations are not provided by SPICE simulation.

Multisim User Guide

14-37

RF

14.7.1 Selecting Type of RF Amplifier


Select the type of amplifier based on the application. Amplifiers designed for low-power applications are different than those for low-noise applications. Similarly, broad-band amplifiers are different in terms of design and structure than those for high-gain amplifiers. Some of the possible applications are: RF Maximum Power Transfer These types of amplifiers operate in a very narrow band of frequencies. Design for Specified Gain Designers may intentionally introduce mismatching at the input and/or the output ports to improve the bandwidth, even though the resulting power transfer is not maximal. Low-Noise Amplifier Design In receiver applications, you need a pre-amplifier with as low a figure noise as possible since the first stage of a receiver front end has a dominant effect on the noise performance of the overall system. It is not possible to obtain both minimum noise figure and maximum gain for an amplifier. Oscillators To produce a sinusoidal steady-state RF signal, you can use active elements and intentionally introduce negative resistance. The network analyzer provided by Multisim is not intended for high-power RF amplifiers, because the network analyzer performs small-signal analyses of the RF network only. In this tutorial, we will design the amplifier for maximum power transfer. Then, we will provide design steps for constant gains. ! To prepare for the tutorial, open a new circuit window.

14.7.2 Selecting an RF Transistor


Different types of transistors are designed for a broad range of applications. For example, you may find many transistors for low noise applications. Cost of the transistor plays a significant role in choosing from those offered. In this tutorial, we will choose MRF927T1 because it is used for low power, low noise applications at relatively high frequencies. ! To select the MRF927T1 transistor in Multisim, do the following: 1. Click the RF Parts Bin. 2. From the RF component family toolbar, click the RF_BJT_NPN bipolar transistor button. The Browser screen appears. 3. Scroll down in the component list until you find MRF927T1 and select it. Component data for that component appears in the screen. 4. Click OK. The Product-RF screen closes and your cursor changes to indicate a transistor is ready to be placed.

14-38

Electronics Workbench

Tutorial: Designing RF Circuits

5. Click to place the transistor on the circuit window. The results look similar to this:

14.7.3 Selecting a DC-operating Point


DC-operating point is referred to as Vce and Ic. There are many reasons to select a specific DC-operating point. You need to consider maximum swing at the output, small/portable power source, and gain-bandwidth. Some DC-operating points are available in the data book, and others must be decided based on the application.

RF

Vce Settings
Vce is always less than Vcc, and it is usually around Vcc/2 for maximum swing in a commonemitter configuration. For this tutorial Vce=3V and Vcc=9V are selected.

Ic Settings
The nominal value of Ic for the selected transistor is 5mA. The power dissipated in transistor at any time is Ic*Vce. For this tutorial, Ic=3mA is selected to dissipate less power and to be close to the nominal value of Ic. This will let us achieve relatively good current-gain bandwidth and moderate voltage gain. (The voltage gain is maximum at Ic=1mA and the current gain-bandwidth is maximum at Ic=5mA.)

14.7.4 Selecting the Biasing Network


There are a number of possible structures to select from for proper DC-biasing of the network. It is important to note that the performance of the transistor and the amplifiers depends on DC-operating points. The following figure shows one possible biasing network.

Multisim User Guide

14-39

RF

RF

This is the simplest structure for a biasing network. However, its thermal stability is poor. To find the resistor values for this structure you need to know five values: Vce, Ic, Vcc, Vbe, and Beta (which is the DC-current gain of the transistor and is given in most data books). Beta relates Ic to Ib as Beta = Ic/Ib. Vbe is the base-emitter voltage of transistor when it is active, and is typically 0.7 V. Both Beta and Vbe depend on the values of Ic and Ib. The initial design process starts with typical values of Rc and Rb in the structure shown above. However, if accuracy is critical, you should use Multisim to ensure that the values of Ic and Vce are as intended. In this tutorial, these selections are used: Vce=3V Ic=3mA Vcc=9V Vbe=0.7V Beta=100. The initial values of Rc and Rb are calculated as shown below. Rc Ib = (Vcc-Vce)/Ic = (9V - 3V)/ 3mA = 2 KOhm = Ic/Beta = 3mA/100 = 30 A

Rb = (Vcc - Vbe)/Ib = (9V - 0.7V)/30 A = 277 KOhm ! To select the DC operating points: 1. Draw the circuit shown above with Rb=277 KOhm and Rc=2KOhm. Note that Vcc=9V and the transistor is MRF927T1. 2. Click the Analysis button on the Design Bar and choose DC Operating Point. The DC Operating Point Analysis screen appears. 3. Select the nodes representing the collector and base of the transistor. 4. Click Plot during Simulation. 5. Click Simulate. The results will show a reading of Vc and Vb. In the DC-biasing network, Vc is Vce and Vb is Vbe. The first readings are Vce=3.33V and Vbe=0.8V. You can modify the value of either Rc or Rb or both, to achieve the desired DC operating point. After a number of iterations, you arrive at Rc=2KOhm and Rb=258KOhm. Reading the values of Vce and Vbe for the final simulation, you will note that Beta = Ic/Ib = Rb*(Vcc-Vce) / [Rc. (Vcc-Vbe)] = 94.36 which is close to the initial value of Beta.

14-40

Electronics Workbench

Tutorial: Designing RF Circuits

14.7.4.1 Selecting an Operating Frequency Point


The operating frequency point chosen depends on the type of application, and is usually defined in the design specifications. For this tutorial, you can assume a single (center) frequency analysis of 3.02 GHz.

14.7.4.2 Analyzing the RF Network


RF ! To perform the simulation: 1. Connect the biased transistor to the network analyzer using two series capacitors. These capacitors are used to isolate the network analyzer from the biasing network in DC mode. This step is necessary whenever the biasing network is important, that is, for active circuits only. The connection should look like this:

2. Choose Simulate/Run and wait until the AC analyses are complete. Ignore the warning for DC analyses. 3. Double-click on the Network Analyzer icon on circuit window, and from the Mode dropdown list, select Match Net. designer. 4. On the Match Net. designer window that appears, do the following: set the frequency to 3.02 GHz since the circuit is unconditionally stable for this frequency point, click Impedance
Matching

Multisim User Guide

14-41

RF

since the circuit is unconditionally stable, automatic impedance matching is possible. Click Auto Match. The window provides the structure and the values necessary for conjugate matching; hence, maximum power transfer is achieved. Below is our design for maximum power transfer at f=3.02 GHz: RF

Note 1F is needed to isolate the active network from its matching network to keep the transistor in its biasing state. Impedance matching yields maximum power transfer for a very narrow bandwidth. In real applications, however, you need to balance the power transfer and the bandwidth. For this reason, mismatching is intentionally introduced to the circuit. ! To design the amplifier for a constant gain less than the maximum possible gain, for better frequency response: 1. Open the DC biased transistor circuit discussed previously. 2. Open the network analyzer and change settings as follows: select RF Characterizer from the Mode drop-down list select Power Gain from the Parameter drop-down list select dB MAG from the Marker drop-down list set the frequency to 3.02 GHz click TPG (Transducer Power Gain) under Trace and set its value to 4.3652 dB for our example. 3. From the Mode drop-down list, select Match Net. Designer and, in the Match Net. designer screen, click Unilateral Gain Circles. 4. Change the value of Gs and Gl manually and individually until the circles become a dot on the Smith Chart.The example shows Gs=0.042 dB and Gl=1.2650 dB.

14-42

Electronics Workbench

Tutorial: Designing RF Circuits

5. Calculate the maximum transferable power. Pmax = 0.042 + 4.3652 + 1.2650 = 5.6722 dB. 6. Select the gain desired. This gain should be less than 5.6752 dB. 3.5302 dB was selected as the power gain. 7. Choose Gs and Gl so that Gs + 4.3652 + Gl = 3.5302 dB and Gs < 0.042 dB and Gl < 1.2650 dB. You selected Gs = -0.08350 dB and Gl = 0 dB. 8. Enter the selected values for Gs and Gl and observe the circles. Select point or points on the circles which are closest to the center of the Smith Chart. The circle for Gl = 0 dB always passes through the center. Therefore, the best point of the Gl is the center itself. This means that 50 Ohm load is sufficient to produce Gl = 0 dB. The best point on Gs for the example is Zl = 2 (normalized). Using this value, you can design the matching network at the input port of the amplifier. You must make sure that the selected point or points are stable. Therefore, it is recommended that you go back to Stability Circles, and confirm the stability of the design. Since the amplifier is unconditionally stable, it would be stable for any passive load or source network. Hence, you need not check the stability circles. The complete amplifier is shown in the following figure: RF

Note The matching elements are calculated manually in this example.

Multisim User Guide

14-43

RF

RF 14-44

Electronics Workbench

Index
A
AC analysis 8-11 AC sensitivity analysis 8-27 adding components 5-9 adding subcircuits to circuit 3-27 addressing, word generator 6-32 algorithm Gmin stepping 7-9 source stepping 7-10 ammeter multimeter measurement options 6-20 analyses about 8-1 AC 8-11 AC sensitivity 8-27 Analysis Parameters tab 8-3 audit trail 8-53 batched 8-51 cutting/copying/pasting pages, graphs, and charts 8-63 DC operating point 8-9 DC sensitivity 8-27 determining component use 3-20 distortion 8-23 Fourier 8-16 incomplete 8-9 miscellaneous options tab 8-6 Monte Carlo 8-47 noise 8-19 noise figure 14-18 options 8-65 output variables tab 8-3 parameter sweep 8-31 performing (general instructions) 8-2 pole zero 8-42 printing graphs and charts 8-64 results 8-53 summary tab 8-8 temperature sweep 8-34 transfer function 8-36 transient 8-13 user-defined 8-52 viewing charts 8-63 viewing graphs 8-57 working with pages 8-56 worst case 8-38 analysis default settings for instruments 6-5 analysis output, manipulating 9-1, 9-2, 9-7 Analysis Parameters tab, about 8-3 assigning faults to components 13-1 audit trail 8-53 Auto Fault option 13-3 automatic wiring 3-11 autosave 2-8 axes, Bode plotter settings 6-7

B
batched analyses 8-51 Bill of Materials 11-1 Bode plotter about 6-6 axes settings 6-7 magnitude 6-7 phase 6-7 readouts 6-8 settings 6-7 Boolean expressions, entering 6-14 Browser screen 3-6 browsing database 3-3 BSpice model 5-28 Bspice support 7-5

C
changing component value/model 3-18 channel settings 6-26 charts

Multisim User Guide

cut/copy/paste 8-63 printing 8-64 using in postprocessor 9-7 viewing 8-63 circuit adding instruments to 6-2 adding subcircuits 3-27 consistency, checking in simulation 7-4 controlling display 2-5 equation 7-7 printing files 3-28 setting up as subcircuit 3-27 simulation. See simulation circuit restrictions setting 13-6 circuit window placing components 3-4 clock logic analyzer 6-17 color component 3-10 schemes 2-5 wire 3-14 complex digital ICs, modeling 10-3 Complex Programmable Logic Device. See CPLD component color 3-10 component detail report 11-4 Component Editing about 5-1 Footprint tab 5-29 General tab 5-7 component symbol editing 5-16 component value/model, changing 3-18 component wizard 5-9 components about 4-1 adding 5-9 assigning faults 13-1 Auto Fault option 13-3 changing value/model 3-18

classification in database 4-3 copying model 5-27 copying symbols 5-17 creating model 5-25 creating symbols 5-18 determining use in analyses 3-20 displaying information 3-16 editing 5-5 editing model 5-25 editing symbol 5-16 flipping 3-15 general properties 5-7 information stored 4-23 labels, assigning 3-22 moving 3-8 package information 5-29 pins 5-29 placed, properties 3-16 placing 3-4, 3-8 placing on circuit window 3-4 reference ID, assigning 3-22 removing 5-14 rotating 3-15 searching for 4-21 using global 4-26 virtual 3-4 wiring 3-10 connector, adding 3-14 convergence assistance 7-9 copying component model 5-27 component symbol 5-17 CPLD 10-3 creating components 5-9 customizing interface 2-4 cut/copy/paste pages, graphs, and charts 8-63

D
data ready 6-33 database classification of parts 4-3 component classification 4-3

ii

Electronics Workbench

File menu 2-11 family list 11-3 levels 4-1 flipping components 3-15 selecting components 3-3 Footprint tab 5-29 structure of 4-1 Fourier analysis 8-16 DC operating point analysis 8-9 FPGA 10-3 DC sensitivity analysis 8-27 frequency 6-33 decibels 6-21 function generator about 6-11 default analysis, postprocessor 9-4 default instrument settings 6-5 rise time 6-12 default path 2-8 signal options 6-12 deleting components 5-14 Description window 3-25 G description, adding to circuit 3-25 general component properties 5-7 design bar 2-3 General tab 5-7 DIN symbols 3-3 global components, using 4-26 display details 2-5 global restrictions displaying information about placed components passwords 13-9 3-16 setting 13-4 displaying or hiding grid, title block and page Gmin stepping 7-9 borders 3-2 Grapher 8-54 distortion analysis 8-23 Grapher window 8-54 distortion analyzer 6-9 graphs harmonic distortion 6-10 about Grapher window 8-54 SINAD 6-10 cut/copy/paste 8-63 dual-channel oscilloscope 6-24 printing 8-64 using in post processor 9-7 E viewing 8-57 grid Edit menu 2-13 displaying or hiding 3-2 editing component symbol 5-16 showing 2-6 components 5-5 grounding oscilloscope 6-25 equation solution 7-7 error log/audit trail 8-53 H Excel, exporting simulation results to 12-3 hardware description language See HDL exporting harmonic distortion simulation results to Excel 12-3 distortion analyzer 6-10 simulation results to MathCAD 12-3 HDLs about 10-2 F in Multisim 10-3 hierarchy 3-26 faults, assigning to components 13-1 Field Programmable Gate Array. See FPGA horizontal Bode plotter settings 6-7

Multisim User Guide

iii

I
importing model 5-28 In Use list 3-8 incomplete analyses 8-9 information stored for components 4-23 instruments about 6-1 adding to a circuit 6-2 Bode plotter 6-6 default analysis settings 6-5 distortion analyzer 6-9 function generator 6-11 logic analyzer 6-15 logic converter 6-13 measurement options 6-20 multimeter 6-19 multiple 6-4 network analyzer 6-33 oscilloscope 6-24 spectrum analyzer 6-28 using 6-3 wattmeter 6-29 word generator 6-30 integration order, maximum 7-9 integration, numerical 7-8 interactive simulation 7-4 interdigital model 14-36 interface 2-2 customizing 2-4 design bar 2-3 elements 2-2 internal settings of multimeter 6-22

loading model 5-28 logic analyzer about 6-15 adjusting clock 6-17 reset 6-16 start 6-16 stop 6-16 triggering 6-18 logic converter 6-13 constructing a truth table 6-14 deriving truth table from a circuit 6-13 entering Boolean expressions 6-14 lossy line model 14-34

M
magnitude 6-7 manual wiring 3-12 MathCAD, exporting simulation results to 12-3 maximum integration order 7-9 measurement options ammeter 6-20 decibels 6-21 ohmmeter 6-21 voltmeter 6-20 menu Edit 2-13 File 2-11 pop-up 3-30 Simulate 2-19 Symbol Editor 5-19 Tools 2-25 Transfer 2-24 View 2-17 Window 2-26 microstrip line model 14-28 microstrip open end model 14-29 miscellaneous options tab, about 8-6 model changing component 3-18 copying 5-27 creating See model creation loading 5-28

J
junction, adding 3-14

L
labels assigning to components 3-22 assigning to nodes 3-23 levels of database 4-1

iv

Electronics Workbench

model creation by importing 5-28 module RF 14-1 Monte Carlo analysis 8-47 moving component 3-8 multimeter 6-19 internal settings of 6-22 signal mode 6-22 using ammeter measurement option 6-20 using decibel measurement option 6-21 using ohmmeter measurement option 6-21 using voltmeter measurement option 6-20 multiple instruments 6-4 multiple traces, postprocessor 9-6 Multisim about 1-1 features 1-1 interface 2-2

N
network analyzer 6-33, 14-15 nodes, assigning labels 3-23 noise analysis 8-19 noise figure analysis 14-18 numerical integration 7-8

O
ohmmeter 6-21 oscilloscope about 6-24 channel settings 6-26 grounding 6-25 time base 6-25 trigger 6-27 output variables tab, about 8-3

pages cut/copy/paste 8-63 using in analyses 8-56 using in postprocessor 9-7 parameter sweep analysis 8-31 passwords, creating/changing 13-9 phase 6-7 pin information 5-29 pins, adding to symbols 5-23 placed components, properties 3-16 placing components 3-4, 3-8 PLD, about 10-2 pole zero analysis 8-42 pop-up menu 3-30 postprocessor about 9-1, 9-2, 9-8 basic steps 9-2 creating multiple traces 9-6 functions 9-8 pages, graphs and charts 9-7 screen 9-2 using the default analysis 9-4 variables 9-8 pre-defined fields in database 4-24 print page setup 2-9 printing circuit files 3-28 graphs and charts 8-64 Programmable Logic Device. See PLD properties of placed components 3-16 PSpice model 5-28

R
readouts 6-8 Recent Files 2-13 reference ID, assigning to components 3-22 Remote 13-10 removing components 5-14 reports Bill of Materials 11-1 component detail 11-4 database family list 11-3

P
package information 5-29 page borders, displaying or hiding 3-2 page bounds, showing 2-6

Multisim User Guide

resizing toolbars 2-9 signal mode 6-22 restrictions signal options 6-12 about 13-3 Simulate menu 2-19 setting circuit 13-6 simulation about 7-1 setting global 13-4 RF components Bspice/Xspice support 7-5 about 14-2 checking circuit consistency 7-4 interdigital model 14-36 choosing type 7-1 lossy line model 14-34 circuit 7-6 microstrip line model 14-28 circuit equation 7-7 microstrip open end model 14-29 equation solution 7-7 RF model makers 14-27 Gmin stepping 7-9 RF spiral inductor model 14-31 interactive 7-4 strip line model 14-32 maximum integration order 7-9 stripline bend model 14-33 miscellaneous SPICE capabilities 7-4 waveguide model 14-27 numerical integration 7-8 RF instruments RF 7-10 network analyzer 14-15 source stepping 7-10 spectrum analyzer 14-9 stages of 7-6 RF module 14-1 starting and stopping 7-3 about 14-1 supported types 7-2 components (see also RF components) 14-2 using 7-3 instruments (see also RF instruments) 14-9 VHDL 7-11 RF simulation 7-10 SINAD 6-10 RF tutorial 14-37 solution, equation 7-7 rise time 6-12 source stepping 7-10 rotating components 3-15 spectrum analyzer 6-28, 14-9 SPICE simulation BSpice/Xspice support 7-5 S circuit 7-6 schematic capture 3-1 circuit equation 7-7 search results 4-23 equation solution 7-7 searching for components 4-21 Gmin stepping 7-9 setting circuit restrictions 13-6 maximum integration order 7-9 setting global restrictions 13-4 miscellaneous capabilities 7-4 setting up a circuit as a subcircuit 3-27 numerical integration 7-8 settings, oscilloscope channel 6-26 source stepping 7-10 sheet size 2-6 spiral inductor, RF 14-31 sheet size, setting up 3-2 standard searching 4-21 show strip line model 14-32 grid 2-6 stripline bend model 14-33 page bounds 2-6 structure of database 4-1 title block 2-6

vi

Electronics Workbench

subcircuit adding to circuit 3-27 setting up a circuit as 3-27 subcircuits 3-26 summary tab, about 8-8 symbol adding pins 5-23 copying 5-17 creating 5-18 editing 5-16 labels 5-21 pin 5-22 shape 5-21 Symbol Editor menus 5-19 palette 5-20 screen 5-18 symbol set 2-8 choosing 3-3 system toolbar 2-10

constructing 6-14 deriving from circuit 6-13

U
Ultiboard, transfer to 12-1 user interface about 2-2 customizing 2-4 design bar 2-3 elements 2-2 user preferences, about 2-4 user-defined analysis 8-52 using instruments 6-3

V
value, changing component 3-18 variables, postprocessor 9-8 vertical Bode plotter settings 6-7 VHDL introduction to 10-4 simulation 7-11 View menu 2-17 virtual components 3-4 voltmeter multimeter measurement options 6-20

T
temperature sweep analysis 8-34 time base 6-25 title block about 3-23 displaying or hiding 3-2 showing 2-6 tolerances 4-26 toolbars resizing 2-9 system 2-10 Tools menu 2-25 transfer function analysis 8-36 Transfer menu 2-24 transfer to Ultiboard PCB Layout 12-1 transient analysis 8-13 trigger, oscilloscope 6-27 triggering logic analyzer 6-18 word generator 6-33 truth table

W
wattmeter 6-29 waveguide model 14-27 Window menu 2-26 wire color 3-14 re-shaping 3-13 wiring automatic 3-11 combining automatic and manual 3-13 manual 3-12 wiring components 3-10 wiring options 2-8 word generator 6-30 addressing 6-32

Multisim User Guide

vii

enabling data 6-33 entering words 6-31 setting clock frequency 6-33 triggering 6-33 using word patterns in 6-32 worst case analysis 8-38

X
Xspice model 5-28 simulation 7-5

Z
zoom level, default 2-6

viii

Electronics Workbench

You might also like