You are on page 1of 64

Sputtering

Eyal Ginsburg

WW46/02

R R

Contents
Metallization

structure PVD System Overview Sputtering: yield, conditioning, methods Film nucleation and growth

R R R R

Contact & Metal Lines - SEM


W Plug Via 2

M3

M2

M1

Silicon substrate

R R R R

Glue Layer (Cont. 1)

R R R R

Aluminum - General
Al-alloys thin films were selected for the first 30 years of the IC industry. They continue to be the most widely used materials, although copper. Al has low resistivity (=2.7-cm), and its compatibility with Si and SiO2. Al forms a thin native oxide (Al2O3) on its surface upon exposure to oxygen, and affect the contact resistance.

R R R R

Aluminum - General (cont.)

Al thin films can also suffer from corrosion (ex. Al dry etch may leave chlorine residues on Al surface and lead to formation of HCl and then attack the Al).

R R R R

Aluminum interconnects

The material used in interconnects is not pure aluminum, but an aluminum alloy. Usually with Cu (0.5-2%), sometimes with Si. The Cu in Al-alloy slows the electromigration (EM) phenomenon. Si slows EM slightly, used in contact level to prevent spiking. Al-alloys decrease the melting point, increase the resistivity and need to be characterized (ex. Dry etch).

R R R R

Metal line stack


Usually the metal line contains 4-5 layers: Al - This layer makes the contacts with the Tungsten plugs. It is the primary current carrier. TiN Layer - Creates a barrier between the Al/Cu and the Titanium layers because of the increasing temperature at a downstream process will increase the rate of the reaction of Al with Ti.
R R R R

Metal stack (Cont. 1)

Titanium Layer - Provides an alternate current path (shunt) around flaws in the primary current carrier. And thus improves electromigration characteristics.

R R R R

Metal stack (Cont. 2)

TiN ARC Layer - This is an anti-reflecting coating which aides lithography to keep control of critical dimensions and to absorb light during the resist exposure. It also functions as a hillock suppressant.

R R R R

Metal stack - SEM

Metal line

ILD
TiN Ti TiN Al
R R R R

W- Via2

Metal line

PVD System Overview (Endura)

R R

Endura PVD system

R R R R

Endura standard mainframe

R R R R

Mainframe Components

Preclean Ch. Applies a light. Non selective plasma etch to the wafer before the PVD process. Cooldown Ch. Cools the wafer after the PVD process. Expansion Ch. (C&D) Optionally configured for PVD or other processes such as etch. Wafer orienter/degas Ch. Orients the wafer flat to a designated angle and degasses the wafer to remove water vapor before the preclean process. PVD Ch. DC magnetron sputter deposition chambers for depositing materials used in interconnects metalization (ex. Al, Ti, TiN, TiW). Cassette loadlocks The starting point for wafer transfers. Accept 1 cassette with 25 wafers.

R R R R

Vacuum system
PVD system uses Ultra-High Vacuum (UHV) to reduce particulates and provide purer film qualities. The tool uses staged vacuum regimes to achieve UHV.

R R R R

Pressure regions and vacuum stages

R R R R

PVD chambers and pumps

R R R R

Sputter deposition for ULSI

R R

Sputtering General

Sputtering is a term used to describe the mechanism in which atoms are ejected from the surface of a material when that surface is stuck by sufficiency energetic particles. Alternative to evaporation. First discovered in 1852, and developed as a thin film deposition technique by Langmuir in 1920. Metallic films: Al-alloys, Ti, TiW, TiN, Tantalum, Nickel, Cobalt, Gold, etc.

R R R R

Reasons for sputtering

R R R R

Use large-area-targets which gives uniform thickness over the wafer. Control the thickness by Dep. time and other parameters. Control film properties such as step coverage (negative bias), grain structure (wafer temp), etc. Sputter-cleaned the surface in vacuum prior to deposition.

Sputtering steps
1.

2.
3.

4.

Ions are generated and directed at a target. The ions sputter targets atoms. The ejected atoms are transported to the substrate. Atoms condense and form a thin film.

R R R R

Sputtering
Coating process that involves the transport of material from the target to the wafer. Atoms from the target are ejected as a result of momentum transfer between incident ions and the target. The particles traverse the vacuum chamber and are deposited on the wafer.

R R R R

Application of Sputtering

Thin film deposition:

Microelectronics Decorative coating Protective coating

Etching of targets:

Microelectronics patterning Depth profiling microanalysis Hardening Corrosion treatment

Surface treatment:

R R R R

The billiard ball model

R R R R

There is a probability that atom C will be ejected from the surface as a result of the surface being stuck by atom A. In oblique angle (45-90) there is higher probability for sputtering, which occur closer to the surface.

Sputter yield

Defined as the number of atoms ejected per incident ion. Typically, range 0.1-3. Determines the deposition rate. Depends on:
1. 2. 3. 4. 5.

Target material. Mass of bombarding ions. Energy of the bombarding ions. Direction of incidence of ions (angle). Pressure

R R R R

Sputter yield (Cont. 1)


Target materials: Al/Cu(0.5%) Grain size: 200m 2

1 Grain size: 45m

R R R R

Sputter yield (Cont. 2)

Molecule size need to be about the same


size as the sputtered material:

too big cause layer deformation and yield a lot of material. too small cause layer deformation w/o ejecting atoms. Target deformation = Less uniform dep.

R R R R

Sputter yield (Cont. 3)


Ion energy Vs. sputter yield:

R R R R

Sputter yield (Cont. 4)


Sputter yield peaks at <90. Atoms leave the surface with cosine distribution.

R R R R

Sputter yield (Cont. 5)

Pressure reduction allow better


deposited atoms/molecules flux flow towards the substrate. Expressed by Mean free path which is the average distance an atom can move, in one direction without colliding at another atom.

R R R R

Process conditions
Type of sputtering gas. In purely physical sputtering (as opposed to reactive sputtering) this limits to noble gas, thus Argon is generally the choice. Pressure range: usually 2-3 mTorr (by glow discharge). Electrical conditions: selected to give a max sputter yield (Dep rate).

R R R R

Sputter deposition film growth

Sputtered atoms have velocities of 3-6E5 cm/sec and energy of 10-40 eV. Desire: many of these atoms deposited upon the substrate. Therefore, the spacing is 5-10 mm. The mean free path is usually <5-10 mm. Thus, sputtered atoms will suffer one or more collision with the sputter gas.

R R R R

Sputter dep. film (Cont. 1)

The sputter atoms may therefore:


1.

2.

Arrive at surface with reduce energy (1-2 eV). Be backscattered to target/chamber.

The sputtering gas pressure can impact on film deposition parameters, such as Dep rate and composition of the film.

R R R R

Sputtering additional methods


Reactive sputtering RF sputtering Magnetron sputtering Collimated sputtering Hot sputtering

R R R R

Reactive sputtering

R R R R

Reactive gas is introduced into the sputtering chamber in addition to the Argon plasma. The compound is formed by the elements of that gas combining with the sputter material (Ex. TiN). The reaction is usually occurs either on the wafer surface or on the target itself. As you add more reactive gas at some point the reaction rate exceeds the sputtering rate. At this point the target surface switches from clean metal to compound over a short time.

Reactive sput. (Cont. 1)

The transition in target chemistry changes sputtering conditions dramatically !

R R R R

Reactive sput. (Cont. 2)


Typical compounds deposited by reactive sputtering:
Target Reactive Gas Compound

Al Al Ti Ti Si Ta Zn In-Sn
R R R R

O2 N2 O2 N2 N2 O2 O2 O2

Al2O3 AlN TiO2 TiN Si3N4 Ta2O5 ZnO In2O3-SnO2

RF sputtering

DC sputter deposition is not suitable for insulator deposition, because the positive charge on the target surface rejects the ion flux and stop the sputtering process. RF voltages can be coupled capacitively through the insulating target to the plasma, so conducting electrodes are not necessary. The RF frequency is high enough to maintain the plasma discharge.

R R R R

RF sputtering (Cont. 1)

During the first few complete cycles more electrons than ions are collected at each electrode (high mobility), and cause to negative charge to be buildup on the electrodes. Thus, both electrodes maintain a steadystate DC potential that is negative with respect to plasma voltage, Vp. A positive Vp aids the transport of the slower positive ions and slow down the negative electrodes.

R R R R

RF sputtering (Cont. 2)

The induced negative biasing of the target due to RF powering means that continuous sputtering of the target occurs throughout the RF cycle. But it is also means that this occurs at both electrodes.

R R R R

RF sputtering (Cont. 3)
The wafer will be sputtered at the same rate as the target since the voltage drops would be the same at both electrodes for symmetric system. It would thus be very difficult to deposit any material in that way. Smaller electrode requires a higher RF current density to maintain the same total current as the larger electrode.

R R R R

RF sputtering (Cont. 4)
By making the area of the target electrode smaller than the other electrode, the voltage drop at the target electrode will be much greater than at the other electrode. Therefore almost all the sputtering will occur at the target electrode.

R R R R

RF sputtering (Cont. 5)

We also use RF sputtering to clean out bottoms of Contacts and Vias before the actual deposition.

Remove native oxides and etch residues from Contacts/Vias.

During this step, a controlled thickness of surface material is sputtered off the wafer, removing any contaminants or native oxide. A film can then be sputter deposited immediately afterward without breaking the vacuum. This process was done in the pre-clean chamber. This may also be done by BIAS SPUTTERING (reversing the electrical connections).

R R R R

Magnetron sputtering

Here magnets are used to increase the percentage of electrons that take part in ionization events, increase probability of electrons striking Ar, increase electron path length, so the ionization efficiency is increased significantly. Another reasons to use magnets:

Lower voltage needed to strike plasma. Controls uniformity. Reduce wafer heating from electron bombardment. Increased deposition rate

R R R R

Magnetron sputtering (Cont. 1)

Lower voltage:

Magnets produce magnetic field Magnetic field make an electron go in curved path (helix) Curved paths are longer more collisions More collisions make more ions easier to strike plasma. Electrons paths are more curved near stronger magnetic field. More ions collide with target in regions of high magnetic field. More ion collisions lead to more target atoms sputtering. More magnets near edge/center makes edge/center thick deposition.

Controls uniformity:

R R R R

Magnetron sputtering (Cont. 2)

A magnetic field is applied at right angle to electric field by placing large magnets behind the target. This traps the electrons near the target surface, and causes them to move in spiral motion until the collide with an Ar atom. Dep rate increases up to 10-100 times faster than without magnetron configuration.

R R R R

Magnetron sput (Cont. 3)


Magnetron sputtering can be done in either DC or RF modes, but the former is more common. Target erodes rapidly in the ring region resulting in a deep groove in the target face, which cause to non-uniformity film.

R R R R

Collimated sputtering

During the PVD process, metal atoms are sputtered at all angles. The standard process deposits metal on all areas of the process kit and at various angles on the wafer. A small range of arrival angles during deposition can cause nonuniform film. This leads to poor bottom coverage of small geometry, high aspect ratio contacts and vias as the holes seal off at the top before filling up at the bottom.

R R R R

Collimated sput. (Cont. 1)

One way to improve this by having a narrow range of arrival angles, while atoms arriving perpendicularly to the wafer. This method called collimated sputtering (first proposed in 1992). A hexagonal holes plate is placed between the target and the wafer.

R R R R

Collimated sput. (Cont. 2)

As the sputtered atoms travel through the collimator toward the wafer, only those with nearly normal incidence trajectory will continue to strike the wafer. The collimator thus acts as a physical filter to low angle sputter atoms.

R R R R

Collimated sput. (Cont. 3)

70-90% of atoms are filtered and therefore the Dep rate is significantly reduced. In addition the collimator should be cleaned and replaced, resulting additional downtime of the tool = COST. Suitable for contact and barrier layers where lot of material is not needed to be deposited. Benefit with cover the bottom of Vias.

R R R R

Collimated sput. (Cont. 4)

The next figure shows the bottom coverage of collimated sputtering compared to conventional versus contact aspect ratio.

R R R R

Hot sputtering

R R R R

Hot sputtering is a method used to fill spaced during deposition as well as to improve overall coverage. The basic idea is to heat the substrate to 450-500C during deposition. Surface diffusion is significantly increased so that filling in spaces, smoothing edges and planarization are accomplished, driven by surface energy reduction. The temperature in Via planarization processes is generally lower than that in contact to protect previously deposited Al layers.

Hot sputtering (Cont. 1)

The lower power in the hot aluminum step increases the length of time that the Al atoms can diffuse, increasing the distance that they travel before they stop. Usually, a thin cold deposition is done first with substrate at room temperature, which has better adhesion to the underlying material. Then is followed by hot PVD deposition. Main drawbacks is the relatively high temp. (reaction, thermal-budget, etc).

R R R R

Film Nucleation and Growth

R R

Things affect film structure

The things that control grain structure are:


Substrate Base pressure (or contamination level) Deposition temperature Deposition rate Later processing temperature Process pressure (#collisions)

R R R R

Film microstructure

The film microstructure gives a graphic representative of how changing process pressure and wafer temperature affects the structure of a PVD film.

R R R R

Grain size
Al grains - AFM photos.

What is the reason for the differences between these pictures ?

A.
R R R R

B.

What happened to this Ti target ?

R R R R

Target malfunction

Ti target was warped near the edge of the target The root cause: the flatness of the backing plates, being out of specification. The epoxy did not adhere to the blank. During sputtering, the area where the epoxy did not adhere to the blank experienced high temperatures that could no longer be dissipated by the backing plate due to the minimal contact to the blank. Thus, as the area in question became hotter, the more likely that assembly warped.

R R R R

The crystal structure of Ti:


HCP up to 882 C BCC above 882 C

R R R R

Dep rate Vs. KWHR

R R R R

Where to Get More Information

S. Wolf, Silicon Processing for the VLSI era, Vol 1-2. Peter Van Zant, Microchip Fabrication. Stephen A. Campbell, The science and engineering of microelectronic fabrication. J. D. Plummer, M. D. Deal and P.B. Griffin, Silicon VLSI technology. J.L. Vossen and W. Kern, Thin film processing II.

R R R R

You might also like